KR102684628B1 - 유전체 상에 옥사이드의 선택적 peald - Google Patents
유전체 상에 옥사이드의 선택적 peald Download PDFInfo
- Publication number
- KR102684628B1 KR102684628B1 KR1020197033614A KR20197033614A KR102684628B1 KR 102684628 B1 KR102684628 B1 KR 102684628B1 KR 1020197033614 A KR1020197033614 A KR 1020197033614A KR 20197033614 A KR20197033614 A KR 20197033614A KR 102684628 B1 KR102684628 B1 KR 102684628B1
- Authority
- KR
- South Korea
- Prior art keywords
- reactant
- plasma
- metal
- substrate
- oxygen
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 239000003989 dielectric material Substances 0.000 title description 3
- 239000000376 reactant Substances 0.000 claims abstract description 188
- 229910052751 metal Inorganic materials 0.000 claims abstract description 138
- 239000002184 metal Substances 0.000 claims abstract description 137
- 238000000151 deposition Methods 0.000 claims abstract description 97
- 238000000034 method Methods 0.000 claims abstract description 95
- 239000000758 substrate Substances 0.000 claims abstract description 90
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 84
- 239000001301 oxygen Substances 0.000 claims abstract description 80
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 75
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 62
- 239000007789 gas Substances 0.000 claims abstract description 59
- 239000001257 hydrogen Substances 0.000 claims abstract description 57
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 52
- 239000010703 silicon Substances 0.000 claims abstract description 46
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 42
- 238000000231 atomic layer deposition Methods 0.000 claims abstract description 20
- 239000010409 thin film Substances 0.000 claims abstract description 19
- 239000010408 film Substances 0.000 claims description 114
- 230000008021 deposition Effects 0.000 claims description 83
- 230000008569 process Effects 0.000 claims description 63
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 47
- 229910044991 metal oxide Inorganic materials 0.000 claims description 47
- 150000004706 metal oxides Chemical class 0.000 claims description 46
- 239000012686 silicon precursor Substances 0.000 claims description 41
- 238000002161 passivation Methods 0.000 claims description 39
- 229910004298 SiO 2 Inorganic materials 0.000 claims description 16
- JPMBLOQPQSYOMC-UHFFFAOYSA-N trimethoxy(3-methoxypropyl)silane Chemical compound COCCC[Si](OC)(OC)OC JPMBLOQPQSYOMC-UHFFFAOYSA-N 0.000 claims description 16
- 229910052718 tin Inorganic materials 0.000 claims description 14
- 150000002431 hydrogen Chemical class 0.000 claims description 13
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 11
- 229910052802 copper Inorganic materials 0.000 claims description 10
- 239000000463 material Substances 0.000 claims description 10
- 125000002887 hydroxy group Chemical group [H]O* 0.000 claims description 9
- 229910052721 tungsten Inorganic materials 0.000 claims description 9
- 229910052707 ruthenium Inorganic materials 0.000 claims description 6
- 229910052759 nickel Inorganic materials 0.000 claims description 4
- 239000002243 precursor Substances 0.000 abstract description 120
- 210000002381 plasma Anatomy 0.000 description 170
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 109
- 229910052757 nitrogen Inorganic materials 0.000 description 60
- 238000006243 chemical reaction Methods 0.000 description 59
- 239000003446 ligand Substances 0.000 description 58
- 125000000217 alkyl group Chemical group 0.000 description 43
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 41
- 239000010410 layer Substances 0.000 description 36
- 229910052799 carbon Inorganic materials 0.000 description 32
- VXUYXOFXAQZZMF-UHFFFAOYSA-N titanium(IV) isopropoxide Chemical compound CC(C)O[Ti](OC(C)C)(OC(C)C)OC(C)C VXUYXOFXAQZZMF-UHFFFAOYSA-N 0.000 description 26
- 125000004429 atom Chemical group 0.000 description 21
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 21
- 239000000203 mixture Substances 0.000 description 21
- 125000004123 n-propyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])* 0.000 description 19
- 239000004215 Carbon black (E152) Substances 0.000 description 18
- 229930195733 hydrocarbon Natural products 0.000 description 18
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 17
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 17
- 239000010949 copper Substances 0.000 description 16
- 238000010926 purge Methods 0.000 description 16
- 238000005137 deposition process Methods 0.000 description 15
- 150000002430 hydrocarbons Chemical class 0.000 description 15
- 239000012071 phase Substances 0.000 description 14
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 14
- 229910052786 argon Inorganic materials 0.000 description 13
- 125000004432 carbon atom Chemical group C* 0.000 description 13
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 13
- -1 H 2 gas Chemical class 0.000 description 12
- 239000006227 byproduct Substances 0.000 description 12
- 229910052814 silicon oxide Inorganic materials 0.000 description 12
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 10
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 10
- 229910052756 noble gas Inorganic materials 0.000 description 10
- 229910010271 silicon carbide Inorganic materials 0.000 description 10
- 241000894007 species Species 0.000 description 10
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 10
- 229910052723 transition metal Inorganic materials 0.000 description 10
- 150000003624 transition metals Chemical class 0.000 description 10
- 229910018540 Si C Inorganic materials 0.000 description 9
- 229910018557 Si O Inorganic materials 0.000 description 9
- RTZKZFJDLAIYFH-UHFFFAOYSA-N Diethyl ether Chemical compound CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 8
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 7
- 229910052717 sulfur Inorganic materials 0.000 description 7
- VLDPXPPHXDGHEW-UHFFFAOYSA-N 1-chloro-2-dichlorophosphoryloxybenzene Chemical compound ClC1=CC=CC=C1OP(Cl)(Cl)=O VLDPXPPHXDGHEW-UHFFFAOYSA-N 0.000 description 6
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 229910010413 TiO 2 Inorganic materials 0.000 description 6
- 125000003545 alkoxy group Chemical group 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 6
- 229910052736 halogen Inorganic materials 0.000 description 6
- 150000002367 halogens Chemical class 0.000 description 6
- 150000002835 noble gases Chemical class 0.000 description 6
- 150000003254 radicals Chemical class 0.000 description 6
- 229910052719 titanium Inorganic materials 0.000 description 6
- 239000010936 titanium Substances 0.000 description 6
- 229930195735 unsaturated hydrocarbon Natural products 0.000 description 6
- 229910007991 Si-N Inorganic materials 0.000 description 5
- 229910006294 Si—N Inorganic materials 0.000 description 5
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 5
- 125000003342 alkenyl group Chemical group 0.000 description 5
- 125000003277 amino group Chemical group 0.000 description 5
- 150000004985 diamines Chemical class 0.000 description 5
- 125000001183 hydrocarbyl group Chemical group 0.000 description 5
- 239000012528 membrane Substances 0.000 description 5
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 5
- 229920000642 polymer Polymers 0.000 description 5
- 239000011593 sulfur Substances 0.000 description 5
- 239000012808 vapor phase Substances 0.000 description 5
- 239000004642 Polyimide Substances 0.000 description 4
- 229910008048 Si-S Inorganic materials 0.000 description 4
- 229910006336 Si—S Inorganic materials 0.000 description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 4
- 150000001299 aldehydes Chemical class 0.000 description 4
- 150000004703 alkoxides Chemical class 0.000 description 4
- 125000000304 alkynyl group Chemical group 0.000 description 4
- 125000004103 aminoalkyl group Chemical group 0.000 description 4
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 4
- 125000003178 carboxy group Chemical group [H]OC(*)=O 0.000 description 4
- 125000004122 cyclic group Chemical group 0.000 description 4
- 150000002148 esters Chemical class 0.000 description 4
- 229910052737 gold Inorganic materials 0.000 description 4
- NAQMVNRVTILPCV-UHFFFAOYSA-N hexane-1,6-diamine Chemical compound NCCCCCCN NAQMVNRVTILPCV-UHFFFAOYSA-N 0.000 description 4
- 125000001867 hydroperoxy group Chemical group [*]OO[H] 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 229910052741 iridium Inorganic materials 0.000 description 4
- 229910052742 iron Inorganic materials 0.000 description 4
- FPYJFEHAWHCUMM-UHFFFAOYSA-N maleic anhydride Chemical group O=C1OC(=O)C=C1 FPYJFEHAWHCUMM-UHFFFAOYSA-N 0.000 description 4
- 229910052763 palladium Inorganic materials 0.000 description 4
- 125000000864 peroxy group Chemical group O(O*)* 0.000 description 4
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 4
- 229910052697 platinum Inorganic materials 0.000 description 4
- 229920001721 polyimide Polymers 0.000 description 4
- 229910052702 rhenium Inorganic materials 0.000 description 4
- 229910052703 rhodium Inorganic materials 0.000 description 4
- 125000006527 (C1-C5) alkyl group Chemical group 0.000 description 3
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 description 3
- 239000004952 Polyamide Substances 0.000 description 3
- GTDPSWPPOUPBNX-UHFFFAOYSA-N ac1mqpva Chemical compound CC12C(=O)OC(=O)C1(C)C1(C)C2(C)C(=O)OC1=O GTDPSWPPOUPBNX-UHFFFAOYSA-N 0.000 description 3
- 150000001412 amines Chemical class 0.000 description 3
- 229910052787 antimony Inorganic materials 0.000 description 3
- WPYMKLBDIGXBTP-UHFFFAOYSA-N benzoic acid Chemical compound OC(=O)C1=CC=CC=C1 WPYMKLBDIGXBTP-UHFFFAOYSA-N 0.000 description 3
- 229910052797 bismuth Inorganic materials 0.000 description 3
- 239000012159 carrier gas Substances 0.000 description 3
- 238000002474 experimental method Methods 0.000 description 3
- 229910052733 gallium Inorganic materials 0.000 description 3
- 229910052738 indium Inorganic materials 0.000 description 3
- 229910052745 lead Inorganic materials 0.000 description 3
- 229910052748 manganese Inorganic materials 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 150000002738 metalloids Chemical group 0.000 description 3
- 229910052758 niobium Inorganic materials 0.000 description 3
- 239000010955 niobium Substances 0.000 description 3
- 239000011368 organic material Substances 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 229920002647 polyamide Polymers 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- 239000003039 volatile agent Substances 0.000 description 3
- PLIKAWJENQZMHA-UHFFFAOYSA-N 4-aminophenol Chemical compound NC1=CC=C(O)C=C1 PLIKAWJENQZMHA-UHFFFAOYSA-N 0.000 description 2
- NIXOWILDQLNWCW-UHFFFAOYSA-M Acrylate Chemical compound [O-]C(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-M 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 2
- 229910052684 Cerium Inorganic materials 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 2
- CERQOIWHTDAKMF-UHFFFAOYSA-M Methacrylate Chemical compound CC(=C)C([O-])=O CERQOIWHTDAKMF-UHFFFAOYSA-M 0.000 description 2
- KKEYFWRCBNTPAC-UHFFFAOYSA-N Terephthalic acid Chemical compound OC(=O)C1=CC=C(C(O)=O)C=C1 KKEYFWRCBNTPAC-UHFFFAOYSA-N 0.000 description 2
- NJSVDVPGINTNGX-UHFFFAOYSA-N [dimethoxy(propyl)silyl]oxymethanamine Chemical compound CCC[Si](OC)(OC)OCN NJSVDVPGINTNGX-UHFFFAOYSA-N 0.000 description 2
- PWAXUOGZOSVGBO-UHFFFAOYSA-N adipoyl chloride Chemical compound ClC(=O)CCCCC(Cl)=O PWAXUOGZOSVGBO-UHFFFAOYSA-N 0.000 description 2
- 125000003282 alkyl amino group Chemical group 0.000 description 2
- VHRGRCVQAFMJIZ-UHFFFAOYSA-N cadaverine Chemical compound NCCCCCN VHRGRCVQAFMJIZ-UHFFFAOYSA-N 0.000 description 2
- 239000011203 carbon fibre reinforced carbon Substances 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 229910052804 chromium Inorganic materials 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- 150000004820 halides Chemical class 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 229910052746 lanthanum Inorganic materials 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 239000007769 metal material Substances 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 239000002052 molecular layer Substances 0.000 description 2
- 229910052750 molybdenum Inorganic materials 0.000 description 2
- 239000000178 monomer Substances 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 229910052762 osmium Inorganic materials 0.000 description 2
- CTSLXHKWHWQRSH-UHFFFAOYSA-N oxalyl chloride Chemical compound ClC(=O)C(Cl)=O CTSLXHKWHWQRSH-UHFFFAOYSA-N 0.000 description 2
- XNGIFLGASWRNHJ-UHFFFAOYSA-N phthalic acid Chemical compound OC(=O)C1=CC=CC=C1C(O)=O XNGIFLGASWRNHJ-UHFFFAOYSA-N 0.000 description 2
- 229920001296 polysiloxane Polymers 0.000 description 2
- KIDHWZJUCRJVML-UHFFFAOYSA-N putrescine Chemical compound NCCCCN KIDHWZJUCRJVML-UHFFFAOYSA-N 0.000 description 2
- 229910052761 rare earth metal Inorganic materials 0.000 description 2
- 229910052706 scandium Inorganic materials 0.000 description 2
- 229910052709 silver Inorganic materials 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 238000010301 surface-oxidation reaction Methods 0.000 description 2
- LXEJRKJRKIFVNY-UHFFFAOYSA-N terephthaloyl chloride Chemical compound ClC(=O)C1=CC=C(C(Cl)=O)C=C1 LXEJRKJRKIFVNY-UHFFFAOYSA-N 0.000 description 2
- 125000003396 thiol group Chemical group [H]S* 0.000 description 2
- 150000003573 thiols Chemical class 0.000 description 2
- KQTIIICEAUMSDG-UHFFFAOYSA-N tricarballylic acid Chemical compound OC(=O)CC(C(O)=O)CC(O)=O KQTIIICEAUMSDG-UHFFFAOYSA-N 0.000 description 2
- FOQJQXVUMYLJSU-UHFFFAOYSA-N triethoxy(1-triethoxysilylethyl)silane Chemical compound CCO[Si](OCC)(OCC)C(C)[Si](OCC)(OCC)OCC FOQJQXVUMYLJSU-UHFFFAOYSA-N 0.000 description 2
- XFNJVJPLKCPIBV-UHFFFAOYSA-N trimethylenediamine Chemical compound NCCCN XFNJVJPLKCPIBV-UHFFFAOYSA-N 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 229910052720 vanadium Inorganic materials 0.000 description 2
- 229910052727 yttrium Inorganic materials 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- ZLYYJUJDFKGVKB-OWOJBTEDSA-N (e)-but-2-enedioyl dichloride Chemical compound ClC(=O)\C=C\C(Cl)=O ZLYYJUJDFKGVKB-OWOJBTEDSA-N 0.000 description 1
- ALQLPWJFHRMHIU-UHFFFAOYSA-N 1,4-diisocyanatobenzene Chemical compound O=C=NC1=CC=C(N=C=O)C=C1 ALQLPWJFHRMHIU-UHFFFAOYSA-N 0.000 description 1
- OVBFMUAFNIIQAL-UHFFFAOYSA-N 1,4-diisocyanatobutane Chemical compound O=C=NCCCCN=C=O OVBFMUAFNIIQAL-UHFFFAOYSA-N 0.000 description 1
- CBCKQZAAMUWICA-UHFFFAOYSA-N 1,4-phenylenediamine Chemical compound NC1=CC=C(N)C=C1 CBCKQZAAMUWICA-UHFFFAOYSA-N 0.000 description 1
- DDHUNHGZUHZNKB-UHFFFAOYSA-N 2,2-dimethylpropane-1,3-diamine Chemical compound NCC(C)(C)CN DDHUNHGZUHZNKB-UHFFFAOYSA-N 0.000 description 1
- CDAWCLOXVUBKRW-UHFFFAOYSA-N 2-aminophenol Chemical class NC1=CC=CC=C1O CDAWCLOXVUBKRW-UHFFFAOYSA-N 0.000 description 1
- IKYAJDOSWUATPI-UHFFFAOYSA-N 3-[dimethoxy(methyl)silyl]propane-1-thiol Chemical compound CO[Si](C)(OC)CCCS IKYAJDOSWUATPI-UHFFFAOYSA-N 0.000 description 1
- DCQBZYNUSLHVJC-UHFFFAOYSA-N 3-triethoxysilylpropane-1-thiol Chemical compound CCO[Si](OCC)(OCC)CCCS DCQBZYNUSLHVJC-UHFFFAOYSA-N 0.000 description 1
- SJECZPVISLOESU-UHFFFAOYSA-N 3-trimethoxysilylpropan-1-amine Chemical compound CO[Si](OC)(OC)CCCN SJECZPVISLOESU-UHFFFAOYSA-N 0.000 description 1
- UUEWCQRISZBELL-UHFFFAOYSA-N 3-trimethoxysilylpropane-1-thiol Chemical compound CO[Si](OC)(OC)CCCS UUEWCQRISZBELL-UHFFFAOYSA-N 0.000 description 1
- HLBLWEWZXPIGSM-UHFFFAOYSA-N 4-Aminophenyl ether Chemical compound C1=CC(N)=CC=C1OC1=CC=C(N)C=C1 HLBLWEWZXPIGSM-UHFFFAOYSA-N 0.000 description 1
- 239000005711 Benzoic acid Substances 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 241000579895 Chlorostilbon Species 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- BWGNESOTFCXPMA-UHFFFAOYSA-N Dihydrogen disulfide Chemical compound SS BWGNESOTFCXPMA-UHFFFAOYSA-N 0.000 description 1
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical group NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 229910005900 GeTe Inorganic materials 0.000 description 1
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 1
- QIGBRXMKCJKVMJ-UHFFFAOYSA-N Hydroquinone Chemical compound OC1=CC=C(O)C=C1 QIGBRXMKCJKVMJ-UHFFFAOYSA-N 0.000 description 1
- OFOBLEOULBTSOW-UHFFFAOYSA-N Malonic acid Chemical compound OC(=O)CC(O)=O OFOBLEOULBTSOW-UHFFFAOYSA-N 0.000 description 1
- 241000233805 Phoenix Species 0.000 description 1
- 239000002202 Polyethylene glycol Substances 0.000 description 1
- 239000005700 Putrescine Substances 0.000 description 1
- 229910004541 SiN Inorganic materials 0.000 description 1
- UCKMPCXJQFINFW-UHFFFAOYSA-N Sulphide Chemical compound [S-2] UCKMPCXJQFINFW-UHFFFAOYSA-N 0.000 description 1
- 238000000026 X-ray photoelectron spectrum Methods 0.000 description 1
- DUVRJGHTIVORLW-UHFFFAOYSA-N [diethoxy(methyl)silyl]methanethiol Chemical compound CCO[Si](C)(CS)OCC DUVRJGHTIVORLW-UHFFFAOYSA-N 0.000 description 1
- CUJRVFIICFDLGR-UHFFFAOYSA-N acetylacetonate Chemical compound CC(=O)[CH-]C(C)=O CUJRVFIICFDLGR-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 125000004183 alkoxy alkyl group Chemical group 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- 150000008064 anhydrides Chemical class 0.000 description 1
- DVRAIMULGDWKOC-UHFFFAOYSA-N azanylidyne(sulfinooxysulfonylsulfanyl)methane Chemical compound S(=O)(O)OS(=O)(=O)SC#N DVRAIMULGDWKOC-UHFFFAOYSA-N 0.000 description 1
- 235000010233 benzoic acid Nutrition 0.000 description 1
- QPKOBORKPHRBPS-UHFFFAOYSA-N bis(2-hydroxyethyl) terephthalate Chemical compound OCCOC(=O)C1=CC=C(C(=O)OCCO)C=C1 QPKOBORKPHRBPS-UHFFFAOYSA-N 0.000 description 1
- OMWQUXGVXQELIX-UHFFFAOYSA-N bitoscanate Chemical compound S=C=NC1=CC=C(N=C=S)C=C1 OMWQUXGVXQELIX-UHFFFAOYSA-N 0.000 description 1
- 229950002418 bitoscanate Drugs 0.000 description 1
- GHWVXCQZPNWFRO-UHFFFAOYSA-N butane-2,3-diamine Chemical compound CC(N)C(C)N GHWVXCQZPNWFRO-UHFFFAOYSA-N 0.000 description 1
- 150000001732 carboxylic acid derivatives Chemical group 0.000 description 1
- 150000004770 chalcogenides Chemical class 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 125000000753 cycloalkyl group Chemical group 0.000 description 1
- 125000000058 cyclopentadienyl group Chemical group C1(=CC=CC1)* 0.000 description 1
- INSRQEMEVAMETL-UHFFFAOYSA-N decane-1,1-diol Chemical compound CCCCCCCCCC(O)O INSRQEMEVAMETL-UHFFFAOYSA-N 0.000 description 1
- 239000000539 dimer Substances 0.000 description 1
- 150000002009 diols Chemical class 0.000 description 1
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical group [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 description 1
- 229910052876 emerald Inorganic materials 0.000 description 1
- 239000010976 emerald Substances 0.000 description 1
- ZSWFCLXCOIISFI-UHFFFAOYSA-N endo-cyclopentadiene Natural products C1C=CC=C1 ZSWFCLXCOIISFI-UHFFFAOYSA-N 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 125000000524 functional group Chemical group 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 125000005842 heteroatom Chemical group 0.000 description 1
- JIGKUHLVMYRXRR-UHFFFAOYSA-N hexane-1,1-diol;dihydrochloride Chemical compound Cl.Cl.CCCCCC(O)O JIGKUHLVMYRXRR-UHFFFAOYSA-N 0.000 description 1
- 229960004337 hydroquinone Drugs 0.000 description 1
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 1
- QQVIHTHCMHWDBS-UHFFFAOYSA-N isophthalic acid Chemical compound OC(=O)C1=CC=CC(C(O)=O)=C1 QQVIHTHCMHWDBS-UHFFFAOYSA-N 0.000 description 1
- 150000002540 isothiocyanates Chemical class 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- 229910052747 lanthanoid Inorganic materials 0.000 description 1
- 150000002602 lanthanoids Chemical class 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 229910052914 metal silicate Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 125000000956 methoxy group Chemical group [H]C([H])([H])O* 0.000 description 1
- 229910003455 mixed metal oxide Inorganic materials 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- 229910000484 niobium oxide Inorganic materials 0.000 description 1
- URLJKFSTXLNXLG-UHFFFAOYSA-N niobium(5+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Nb+5].[Nb+5] URLJKFSTXLNXLG-UHFFFAOYSA-N 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- 150000002831 nitrogen free-radicals Chemical class 0.000 description 1
- 229910000510 noble metal Inorganic materials 0.000 description 1
- FVXBCDWMKCEPCL-UHFFFAOYSA-N nonane-1,1-diol Chemical compound CCCCCCCCC(O)O FVXBCDWMKCEPCL-UHFFFAOYSA-N 0.000 description 1
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 description 1
- OEIJHBUUFURJLI-UHFFFAOYSA-N octane-1,8-diol Chemical compound OCCCCCCCCO OEIJHBUUFURJLI-UHFFFAOYSA-N 0.000 description 1
- 239000012044 organic layer Substances 0.000 description 1
- 235000006408 oxalic acid Nutrition 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 229960003540 oxyquinoline Drugs 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- YKEKYBOBVREARV-UHFFFAOYSA-N pentanedioic acid Chemical compound OC(=O)CCCC(O)=O.OC(=O)CCCC(O)=O YKEKYBOBVREARV-UHFFFAOYSA-N 0.000 description 1
- QCDYQQDYXPDABM-UHFFFAOYSA-N phloroglucinol Chemical compound OC1=CC(O)=CC(O)=C1 QCDYQQDYXPDABM-UHFFFAOYSA-N 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 229920000728 polyester Polymers 0.000 description 1
- 229920001223 polyethylene glycol Polymers 0.000 description 1
- 229920002635 polyurethane Polymers 0.000 description 1
- 239000004814 polyurethane Substances 0.000 description 1
- 238000007781 pre-processing Methods 0.000 description 1
- HJSRRUNWOFLQRG-UHFFFAOYSA-N propanedioic acid Chemical compound OC(=O)CC(O)=O.OC(=O)CC(O)=O HJSRRUNWOFLQRG-UHFFFAOYSA-N 0.000 description 1
- SXYFKXOFMCIXQW-UHFFFAOYSA-N propanedioyl dichloride Chemical compound ClC(=O)CC(Cl)=O SXYFKXOFMCIXQW-UHFFFAOYSA-N 0.000 description 1
- AOHJOMMDDJHIJH-UHFFFAOYSA-N propylenediamine Chemical compound CC(N)CN AOHJOMMDDJHIJH-UHFFFAOYSA-N 0.000 description 1
- MCJGNVYPOGVAJF-UHFFFAOYSA-N quinolin-8-ol Chemical compound C1=CN=C2C(O)=CC=CC2=C1 MCJGNVYPOGVAJF-UHFFFAOYSA-N 0.000 description 1
- 239000003642 reactive oxygen metabolite Substances 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 125000003808 silyl group Chemical group [H][Si]([H])([H])[*] 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- KDYFGRWQOYBRFD-UHFFFAOYSA-N succinic acid Chemical compound OC(=O)CCC(O)=O KDYFGRWQOYBRFD-UHFFFAOYSA-N 0.000 description 1
- 125000000475 sulfinyl group Chemical group [*:2]S([*:1])=O 0.000 description 1
- 125000000472 sulfonyl group Chemical group *S(*)(=O)=O 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- KUCOHFSKRZZVRO-UHFFFAOYSA-N terephthalaldehyde Chemical compound O=CC1=CC=C(C=O)C=C1 KUCOHFSKRZZVRO-UHFFFAOYSA-N 0.000 description 1
- 125000004213 tert-butoxy group Chemical group [H]C([H])([H])C(O*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 125000002813 thiocarbonyl group Chemical group *C(*)=S 0.000 description 1
- 229910000314 transition metal oxide Inorganic materials 0.000 description 1
- 150000003628 tricarboxylic acids Chemical class 0.000 description 1
- MFISPHKHJHQREG-UHFFFAOYSA-N trichloro(oct-7-enyl)silane Chemical compound Cl[Si](Cl)(Cl)CCCCCCC=C MFISPHKHJHQREG-UHFFFAOYSA-N 0.000 description 1
- 239000013638 trimer Substances 0.000 description 1
- 150000004072 triols Chemical class 0.000 description 1
- 229910001930 tungsten oxide Inorganic materials 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- 229910052725 zinc Inorganic materials 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
- C23C16/402—Silicon dioxide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/405—Oxides of refractory metals or yttrium
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45531—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/4554—Plasma being used non-continuously in between ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
- H01L21/0214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02142—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02186—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02277—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02304—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Materials Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Electromagnetism (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Crystals, And After-Treatments Of Crystals (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
Description
도 2a 및 2b는 125 및 200℃에서 W 및 Cu 사이의 MPTMS 공정의 선택도를 도시한다. Y 축은 원자%이다.
도 3은 67 W의 전력에서 생성된 H2 플라즈마를 사용하는 PEALD 공정에 의해, Cu 표면에 대해 저 유전율 표면 상에 SiOC를 선택적으로 증착하는 것을 도시한다.
도 4는 300 W의 전력에서 생성된 H2 플라즈마를 사용하는 PEALD 공정에 의해, Cu 표면에 대해 저 유전율 표면 상에 SiOC를 선택적으로 증착하는 것을 도시한다.
도 5a는 상이한 플라즈마 반응물을 사용하여 티타늄 이소프로폭시드(IV)를 사용하여 증착된 TiO(CN) 막의 굴절률(R.I.)을 나타내는 그래프이다.
도 5b는 상이한 플라즈마 반응물을 사용하여 티타늄 이소프로폭시드(IV)를 사용하여 증착된 TiO(CN) 막의 사이클 당 성장 속도를 나타내는 그래프이다.
Claims (24)
- 기판의 유전체 표면 상에 옥사이드를 선택적으로 증착하기 위한 플라즈마 강화 원자층 증착(PEALD) 공정을 위한 방법으로서,
제1 유전체 표면 및 제2 금속 표면을 포함하는 기판을 제공하는 단계;
산소를 포함하는 제1 실리콘 전구체와, 그리고 수소는 포함하고 산소는 포함하지 않는 가스에서 생성된 플라즈마로부터 반응성 종을 포함하는 제2 반응물과, 상기 기판을 교대 순차적으로 접촉시키는 단계를 포함하는 적어도 하나의 증착 사이클을 수행하는 단계를 포함하되,
상기 제1 실리콘 전구체는 상기 제1 유전체 표면 상에 흡착하고, 상기 제2 반응물은 상기 흡착된 제1 실리콘 전구체와 반응하여, 상기 제2 금속 표면에 대해 상기 제1 유전체 표면 상에 옥사이드를 선택적으로 형성하고,
상기 제2 반응물은 또한 상기 제2 금속 표면과 반응하여 상기 제2 금속 표면 상의 존재할 수 있는 금속 옥사이드를 감소시키는, 방법. - 제1항에 있어서, 상기 제2 반응물은 상기 금속 표면과 또한 반응하여 상기 금속 표면으로부터 산소를 제거하는, 방법.
- 제2항에 있어서, 상기 금속 표면으로부터 산소를 제거하는 단계는, 상기 금속 표면으로부터 OH-기 또는 산소 가교를 제거하는 단계를 포함하는, 방법.
- 제1항에 있어서, 상기 유전체 표면은 SiO2를 포함하는, 방법.
- 제1항에 있어서, 상기 유전체 표면은 저 유전율 재료를 포함하는, 방법.
- 제1항에 있어서, 상기 금속 표면은 Ru, Co, Cu, 또는 W를 포함하는, 방법.
- 제1항에 있어서, 상기 금속 표면은 TiN을 포함하는, 방법.
- 제1항에 있어서, 상기 옥사이드는 SiO2, SiOC, 또는 SiOCN인, 방법.
- 제1항에 있어서, 상기 옥사이드는 금속 옥사이드인, 방법.
- 제1항에 있어서, 상기 옥사이드는 금속과 실리콘을 포함하는, 방법.
- 제1항에 있어서, 상기 제1 실리콘 전구체는 3-메톡시프로필트리메톡시실란(MPTMS)을 포함하는, 방법.
- 제1항에 있어서, 상기 증착 사이클은 상기 제2 반응물과 상기 기판을 접촉시키는 단계로 시작하는, 방법.
- 제1항에 있어서, 상기 증착 사이클을 시작하기 전에 제3 플라즈마 반응물과 상기 기판을 접촉시키는 단계를 더 포함하는, 방법.
- 제1항에 있어서, 상기 증착 사이클은 2회 이상 반복되어 상기 유전체 표면 상에 원하는 두께의 옥사이드 막을 형성하는 방법.
- 제1항에 있어서, 상기 금속 표면은 패시베이션 층을 포함하는, 방법.
- 제15항에 있어서, 상기 패시베이션 층은 유기 패시베이션 층인, 방법.
- 제16항에 있어서, 상기 적어도 하나의 증착 사이클을 시작하기 이전에, 상기 유전체 표면에 대해 상기 금속 표면 상에 상기 유기 패시베이션 층을 선택적으로 증착하는, 방법.
- 제15항에 있어서, 각각의 증착 사이클 중에 상기 패시베이션 층을 상기 제2 반응물로 식각하는 방법.
- 기판의 금속 표면에 대해 상기 기판의 유전체 표면 상에 SiOC 박막을 플라즈마 강화 원자층 증착(PEALD) 공정에 의해 선택적으로 증착하는 방법은, 실리콘과 산소를 포함하는 제1 반응물, 및 산소가 아니라 수소를 포함하는 가스에서 생성된 플라즈마를 포함하는 제2 반응물과 상기 기판을 교대 순차적으로 접촉시키는 단계를 포함하고,
상기 제1 반응물은 상기 유전체 표면 상에 흡착하고, 상기 제2 반응물은 상기 흡착된 제1 반응물과 반응하여, 상기 금속 표면에 대해 상기 유전체 표면 상에 상기 SiOC 박막을 선택적으로 형성하고,
상기 제2 반응물은 또한 상기 금속 표면과 반응하여 상기 금속 표면 상의 존재할 수 있는 금속 옥사이드를 감소시키는, 방법. - 제19항에 있어서, H2와 Ar을 포함하는 가스에서 플라즈마를 생성함으로써 상기 제2 반응물을 형성하는, 방법.
- 제20항에 있어서, 30 내지 200 W의 전력을 사용하여 상기 플라즈마를 생성하는, 방법.
- 제19항에 있어서, 50 내지 300℃의 증착 온도에서 상기 PEALD 공정을 수행하는, 방법.
- 제19항에 있어서, 상기 금속 표면은 Co, Ru, Ni, W, TiN, Cu, 또는 Ta를 포함하는, 방법.
- 삭제
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020247022731A KR20240112368A (ko) | 2017-05-16 | 2018-05-03 | 유전체 상에 옥사이드의 선택적 peald |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762507078P | 2017-05-16 | 2017-05-16 | |
US62/507,078 | 2017-05-16 | ||
PCT/US2018/030979 WO2018213018A1 (en) | 2017-05-16 | 2018-05-03 | Selective peald of oxide on dielectric |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020247022731A Division KR20240112368A (ko) | 2017-05-16 | 2018-05-03 | 유전체 상에 옥사이드의 선택적 peald |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20200007823A KR20200007823A (ko) | 2020-01-22 |
KR102684628B1 true KR102684628B1 (ko) | 2024-07-15 |
Family
ID=64274551
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020197033614A Active KR102684628B1 (ko) | 2017-05-16 | 2018-05-03 | 유전체 상에 옥사이드의 선택적 peald |
KR1020247022731A Pending KR20240112368A (ko) | 2017-05-16 | 2018-05-03 | 유전체 상에 옥사이드의 선택적 peald |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020247022731A Pending KR20240112368A (ko) | 2017-05-16 | 2018-05-03 | 유전체 상에 옥사이드의 선택적 peald |
Country Status (6)
Country | Link |
---|---|
US (2) | US11170993B2 (ko) |
JP (2) | JP7183187B2 (ko) |
KR (2) | KR102684628B1 (ko) |
CN (2) | CN115233183B (ko) |
TW (3) | TWI829584B (ko) |
WO (1) | WO2018213018A1 (ko) |
Families Citing this family (260)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9112003B2 (en) | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
TWI739285B (zh) | 2014-02-04 | 2021-09-11 | 荷蘭商Asm Ip控股公司 | 金屬、金屬氧化物與介電質的選擇性沉積 |
US10047435B2 (en) | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9490145B2 (en) | 2015-02-23 | 2016-11-08 | Asm Ip Holding B.V. | Removal of surface passivation |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10428421B2 (en) | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US10121699B2 (en) | 2015-08-05 | 2018-11-06 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US10566185B2 (en) | 2015-08-05 | 2020-02-18 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US10695794B2 (en) | 2015-10-09 | 2020-06-30 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10814349B2 (en) | 2015-10-09 | 2020-10-27 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US9786491B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US11081342B2 (en) | 2016-05-05 | 2021-08-03 | Asm Ip Holding B.V. | Selective deposition using hydrophobic precursors |
KR102378021B1 (ko) | 2016-05-06 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 박막의 형성 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10453701B2 (en) | 2016-06-01 | 2019-10-22 | Asm Ip Holding B.V. | Deposition of organic films |
US10373820B2 (en) | 2016-06-01 | 2019-08-06 | Asm Ip Holding B.V. | Deposition of organic films |
US9803277B1 (en) | 2016-06-08 | 2017-10-31 | Asm Ip Holding B.V. | Reaction chamber passivation and selective deposition of metallic films |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US11430656B2 (en) | 2016-11-29 | 2022-08-30 | Asm Ip Holding B.V. | Deposition of oxide thin films |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US11094535B2 (en) * | 2017-02-14 | 2021-08-17 | Asm Ip Holding B.V. | Selective passivation and selective deposition |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10847529B2 (en) | 2017-04-13 | 2020-11-24 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by the same |
KR20240010760A (ko) | 2017-05-05 | 2024-01-24 | 에이에스엠 아이피 홀딩 비.브이. | 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정 |
US11501965B2 (en) | 2017-05-05 | 2022-11-15 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
JP7183187B2 (ja) * | 2017-05-16 | 2022-12-05 | エーエスエム アイピー ホールディング ビー.ブイ. | 誘電体上の酸化物の選択的peald |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10900120B2 (en) | 2017-07-14 | 2021-01-26 | Asm Ip Holding B.V. | Passivation against vapor deposition |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
CN111316417B (zh) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | 与批式炉偕同使用的用于储存晶圆匣的储存装置 |
KR102633318B1 (ko) | 2017-11-27 | 2024-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 청정 소형 구역을 포함한 장치 |
US10991573B2 (en) | 2017-12-04 | 2021-04-27 | Asm Ip Holding B.V. | Uniform deposition of SiOC on dielectric and metal surfaces |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI852426B (zh) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 沈積方法 |
WO2019142055A2 (en) | 2018-01-19 | 2019-07-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
KR102600229B1 (ko) | 2018-04-09 | 2023-11-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법 |
JP7146690B2 (ja) | 2018-05-02 | 2022-10-04 | エーエスエム アイピー ホールディング ビー.ブイ. | 堆積および除去を使用した選択的層形成 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TWI819010B (zh) | 2018-06-27 | 2023-10-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
JP2020056104A (ja) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102748291B1 (ko) | 2018-11-02 | 2024-12-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI866480B (zh) | 2019-01-17 | 2024-12-11 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
US11443919B2 (en) * | 2019-02-11 | 2022-09-13 | Applied Materials, Inc. | Film formation via pulsed RF plasma |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
JP7603377B2 (ja) | 2019-02-20 | 2024-12-20 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR102762833B1 (ko) | 2019-03-08 | 2025-02-04 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR102782593B1 (ko) * | 2019-03-08 | 2025-03-14 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11965238B2 (en) | 2019-04-12 | 2024-04-23 | Asm Ip Holding B.V. | Selective deposition of metal oxides on metal surfaces |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP7612342B2 (ja) | 2019-05-16 | 2025-01-14 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP7598201B2 (ja) | 2019-05-16 | 2024-12-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
KR20210157916A (ko) * | 2019-05-20 | 2021-12-29 | 램 리써치 코포레이션 | SiCxOy를 위한 핵생성 층으로서 SixNy |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200141931A (ko) | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | 석영 에피택셜 챔버를 세정하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210015655A (ko) | 2019-07-30 | 2021-02-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 방법 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
KR20210018761A (ko) | 2019-08-09 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 장치를 포함한 히터 어셈블리 및 이를 사용하는 방법 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR102733104B1 (ko) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN110718647A (zh) | 2019-09-25 | 2020-01-21 | 武汉华星光电半导体显示技术有限公司 | 薄膜的制备方法及显示装置的制备方法 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11139163B2 (en) | 2019-10-31 | 2021-10-05 | Asm Ip Holding B.V. | Selective deposition of SiOC thin films |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112951985A (zh) * | 2019-12-11 | 2021-06-11 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
KR20210089077A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
US12142479B2 (en) | 2020-01-17 | 2024-11-12 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
CN113284789A (zh) | 2020-02-03 | 2021-08-20 | Asm Ip私人控股有限公司 | 形成包括钒或铟层的结构的方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
KR20210103956A (ko) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법 |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR102775390B1 (ko) | 2020-03-12 | 2025-02-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
TW202140832A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽在金屬表面上之選擇性沉積 |
TWI862807B (zh) | 2020-03-30 | 2024-11-21 | 荷蘭商Asm Ip私人控股有限公司 | 相對於金屬表面在介電表面上之氧化矽的選擇性沉積 |
TWI865747B (zh) | 2020-03-30 | 2024-12-11 | 荷蘭商Asm Ip私人控股有限公司 | 在兩不同表面上同時選擇性沉積兩不同材料 |
KR102755229B1 (ko) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210127620A (ko) | 2020-04-13 | 2021-10-22 | 에이에스엠 아이피 홀딩 비.브이. | 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202143328A (zh) | 2020-04-21 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於調整膜應力之方法 |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202208671A (zh) | 2020-04-24 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括硼化釩及磷化釩層的結構之方法 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
TW202200505A (zh) | 2020-04-24 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於穩定釩化合物之方法及設備 |
JP2021181612A (ja) | 2020-04-29 | 2021-11-25 | エーエスエム・アイピー・ホールディング・ベー・フェー | 固体ソースプリカーサ容器 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210137395A (ko) | 2020-05-07 | 2021-11-17 | 에이에스엠 아이피 홀딩 비.브이. | 불소계 라디칼을 이용하여 반응 챔버의 인시츄 식각을 수행하기 위한 장치 및 방법 |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145079A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 플랜지 및 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
KR20220002123A (ko) | 2020-06-30 | 2022-01-06 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI864307B (zh) | 2020-07-17 | 2024-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構、方法與系統 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220011092A (ko) | 2020-07-20 | 2022-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템 |
KR20220021863A (ko) | 2020-08-14 | 2022-02-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202228863A (zh) | 2020-08-25 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 清潔基板的方法、選擇性沉積的方法、及反應器系統 |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
KR20220036866A (ko) | 2020-09-16 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물 증착 방법 |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202218049A (zh) | 2020-09-25 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220050048A (ko) | 2020-10-15 | 2022-04-22 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202229620A (zh) | 2020-11-12 | 2022-08-01 | 特文特大學 | 沉積系統、用於控制反應條件之方法、沉積方法 |
TW202229795A (zh) | 2020-11-23 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 具注入器之基板處理設備 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
WO2022119860A1 (en) | 2020-12-01 | 2022-06-09 | Versum Material Us, Llc | Selective thermal atomic layer deposition |
KR20220081907A (ko) | 2020-12-09 | 2022-06-16 | 에이에스엠 아이피 홀딩 비.브이. | 억제제를 사용한 위치 선택적 기상 증착 |
US12255053B2 (en) | 2020-12-10 | 2025-03-18 | Asm Ip Holding B.V. | Methods and systems for depositing a layer |
TW202233884A (zh) | 2020-12-14 | 2022-09-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成臨限電壓控制用之結構的方法 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
JP2022135709A (ja) * | 2021-03-05 | 2022-09-15 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
KR20220145978A (ko) | 2021-04-22 | 2022-11-01 | 삼성전자주식회사 | 반도체 소자 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
WO2022264430A1 (ja) * | 2021-06-18 | 2022-12-22 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
TW202325887A (zh) | 2021-10-29 | 2023-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 使用電漿選擇性沉積含矽及氧之材料 |
USD1060598S1 (en) | 2021-12-03 | 2025-02-04 | Asm Ip Holding B.V. | Split showerhead cover |
US20240120195A1 (en) * | 2022-10-06 | 2024-04-11 | Applied Materials, Inc. | Dielectric on dielectric selective deposition using aniline passivation |
WO2025019704A1 (en) * | 2023-07-20 | 2025-01-23 | Gelest, Inc. | Inherent area selective deposition of silicon-containing dielectric on patterned substrate |
US20250095982A1 (en) * | 2023-09-06 | 2025-03-20 | Gelest, Inc. | Inherent area selective deposition of silicon-containing dielectric on metal substrate |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2015088562A (ja) | 2013-10-29 | 2015-05-07 | 東京エレクトロン株式会社 | シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置 |
Family Cites Families (318)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS61198732A (ja) * | 1985-02-28 | 1986-09-03 | Fujitsu Ltd | 酸化膜の選択成長方法 |
US4804640A (en) | 1985-08-27 | 1989-02-14 | General Electric Company | Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film |
US4948755A (en) | 1987-10-08 | 1990-08-14 | Standard Microsystems Corporation | Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition |
US4863879A (en) | 1987-12-16 | 1989-09-05 | Ford Microelectronics, Inc. | Method of manufacturing self-aligned GaAs MESFET |
JPH0485024A (ja) | 1990-07-30 | 1992-03-18 | Mitsubishi Gas Chem Co Inc | 銅張積層板の製造法 |
DE4115872A1 (de) | 1991-05-15 | 1992-11-19 | Basf Ag | Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern |
JP3048749B2 (ja) | 1992-04-28 | 2000-06-05 | キヤノン株式会社 | 薄膜形成方法 |
CA2082771C (en) * | 1992-11-12 | 1998-02-10 | Vu Quoc Ho | Method for forming interconnect structures for integrated circuits |
US5447887A (en) | 1994-04-01 | 1995-09-05 | Motorola, Inc. | Method for capping copper in semiconductor devices |
US6251758B1 (en) | 1994-11-14 | 2001-06-26 | Applied Materials, Inc. | Construction of a film on a semiconductor wafer |
US5633036A (en) | 1995-04-21 | 1997-05-27 | The Board Of Trustees Of The University Of Illinois | Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions |
US6066358A (en) * | 1995-11-21 | 2000-05-23 | Applied Materials, Inc. | Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer |
US5925494A (en) | 1996-02-16 | 1999-07-20 | Massachusetts Institute Of Technology | Vapor deposition of polymer films for photolithography |
US5891804A (en) * | 1996-04-18 | 1999-04-06 | Texas Instruments Incorporated | Process for conductors with selective deposition |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US6156651A (en) * | 1996-12-13 | 2000-12-05 | Texas Instruments Incorporated | Metallization method for porous dielectrics |
US5939334A (en) | 1997-05-22 | 1999-08-17 | Sharp Laboratories Of America, Inc. | System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides |
US5869135A (en) | 1997-10-03 | 1999-02-09 | Massachusetts Institute Of Technology | Selective chemical vapor deposition of polymers |
FI104383B (fi) | 1997-12-09 | 2000-01-14 | Fortum Oil & Gas Oy | Menetelmä laitteistojen sisäpintojen päällystämiseksi |
US20060219157A1 (en) | 2001-06-28 | 2006-10-05 | Antti Rahtu | Oxide films containing titanium |
US6958174B1 (en) | 1999-03-15 | 2005-10-25 | Regents Of The University Of Colorado | Solid material comprising a thin metal film on its surface and methods for producing the same |
TW465048B (en) * | 1999-03-26 | 2001-11-21 | Taiwan Semiconductor Mfg | Method of forming tungsten plugs in interlayer dielectrics using mixed mode deposition process |
KR20010001072A (ko) | 1999-06-01 | 2001-01-05 | 부원영 | 네트웍을 이용한 온라인 축구 게임 및 그 방법 |
US6046108A (en) | 1999-06-25 | 2000-04-04 | Taiwan Semiconductor Manufacturing Company | Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby |
US7015271B2 (en) | 1999-08-19 | 2006-03-21 | Ppg Industries Ohio, Inc. | Hydrophobic particulate inorganic oxides and polymeric compositions containing same |
WO2001012731A1 (en) | 1999-08-19 | 2001-02-22 | Ppg Industries Ohio, Inc. | Hydrophobic particulate inorganic oxides and polymeric compositions containing same |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
JP4382219B2 (ja) | 1999-10-29 | 2009-12-09 | 日本電気株式会社 | 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法 |
US6319635B1 (en) | 1999-12-06 | 2001-11-20 | The Regents Of The University Of California | Mitigation of substrate defects in reticles using multilayer buffer layers |
US6426015B1 (en) | 1999-12-14 | 2002-07-30 | Applied Materials, Inc. | Method of reducing undesired etching of insulation due to elevated boron concentrations |
US6503330B1 (en) | 1999-12-22 | 2003-01-07 | Genus, Inc. | Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition |
US6455425B1 (en) | 2000-01-18 | 2002-09-24 | Advanced Micro Devices, Inc. | Selective deposition process for passivating top interface of damascene-type Cu interconnect lines |
JP4703810B2 (ja) | 2000-03-07 | 2011-06-15 | 東京エレクトロン株式会社 | Cvd成膜方法 |
FI117979B (fi) | 2000-04-14 | 2007-05-15 | Asm Int | Menetelmä oksidiohutkalvojen valmistamiseksi |
US6759325B2 (en) | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
US6679951B2 (en) | 2000-05-15 | 2004-01-20 | Asm Intenational N.V. | Metal anneal with oxidation prevention |
TW508658B (en) | 2000-05-15 | 2002-11-01 | Asm Microchemistry Oy | Process for producing integrated circuits |
US7494927B2 (en) | 2000-05-15 | 2009-02-24 | Asm International N.V. | Method of growing electrical conductors |
US6878628B2 (en) | 2000-05-15 | 2005-04-12 | Asm International Nv | In situ reduction of copper oxide prior to silicon carbide deposition |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
KR100719177B1 (ko) | 2000-07-31 | 2007-05-17 | 주식회사 하이닉스반도체 | 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법 |
US7030551B2 (en) | 2000-08-10 | 2006-04-18 | Semiconductor Energy Laboratory Co., Ltd. | Area sensor and display apparatus provided with an area sensor |
US7294563B2 (en) | 2000-08-10 | 2007-11-13 | Applied Materials, Inc. | Semiconductor on insulator vertical transistor fabrication and doping process |
JP4095763B2 (ja) | 2000-09-06 | 2008-06-04 | 株式会社ルネサステクノロジ | 半導体装置及びその製造方法 |
US6455414B1 (en) | 2000-11-28 | 2002-09-24 | Tokyo Electron Limited | Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers |
WO2002045167A2 (en) | 2000-11-30 | 2002-06-06 | Asm International N.V. | Thin films for magnetic devices |
US6949450B2 (en) | 2000-12-06 | 2005-09-27 | Novellus Systems, Inc. | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
US7192827B2 (en) | 2001-01-05 | 2007-03-20 | Micron Technology, Inc. | Methods of forming capacitor structures |
US6613656B2 (en) | 2001-02-13 | 2003-09-02 | Micron Technology, Inc. | Sequential pulse deposition |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
JP4921652B2 (ja) | 2001-08-03 | 2012-04-25 | エイエスエム インターナショナル エヌ.ヴェー. | イットリウム酸化物およびランタン酸化物薄膜を堆積する方法 |
KR20030027392A (ko) | 2001-09-28 | 2003-04-07 | 삼성전자주식회사 | 티타늄 실리사이드 박막 형성방법 |
JP2003109941A (ja) | 2001-09-28 | 2003-04-11 | Canon Inc | プラズマ処理装置および表面処理方法 |
US6589887B1 (en) * | 2001-10-11 | 2003-07-08 | Novellus Systems, Inc. | Forming metal-derived layers by simultaneous deposition and evaporation of metal |
TW508648B (en) | 2001-12-11 | 2002-11-01 | United Microelectronics Corp | Method of reducing the chamber particle level |
US6809026B2 (en) | 2001-12-21 | 2004-10-26 | Applied Materials, Inc. | Selective deposition of a barrier layer on a metal film |
JP4108999B2 (ja) * | 2002-03-26 | 2008-06-25 | 大日本印刷株式会社 | 積層フィルム |
US20030192090P1 (en) | 2002-04-03 | 2003-10-09 | Meilland Alain A. | Hybrid tea rose plant named 'Meibderos' |
US6586330B1 (en) | 2002-05-07 | 2003-07-01 | Tokyo Electron Limited | Method for depositing conformal nitrified tantalum silicide films by thermal CVD |
JP2003332426A (ja) * | 2002-05-17 | 2003-11-21 | Renesas Technology Corp | 半導体装置の製造方法および半導体装置 |
JP5005170B2 (ja) | 2002-07-19 | 2012-08-22 | エーエスエム アメリカ インコーポレイテッド | 超高品質シリコン含有化合物層の形成方法 |
US7041609B2 (en) | 2002-08-28 | 2006-05-09 | Micron Technology, Inc. | Systems and methods for forming metal oxides using alcohols |
KR100459724B1 (ko) | 2002-09-11 | 2004-12-03 | 삼성전자주식회사 | 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법 |
US6982230B2 (en) | 2002-11-08 | 2006-01-03 | International Business Machines Corporation | Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures |
KR101266441B1 (ko) | 2002-11-15 | 2013-05-24 | 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 | 금속 아미디네이트를 이용한 원자층 증착법 |
US7553686B2 (en) | 2002-12-17 | 2009-06-30 | The Regents Of The University Of Colorado, A Body Corporate | Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices |
KR20040056026A (ko) | 2002-12-23 | 2004-06-30 | 주식회사 하이닉스반도체 | 구리 배선의 캐핑층 형성 방법 |
US6802945B2 (en) | 2003-01-06 | 2004-10-12 | Megic Corporation | Method of metal sputtering for integrated circuit metal routing |
US7238604B2 (en) * | 2003-04-24 | 2007-07-03 | Intel Corporation | Forming thin hard mask over air gap or porous dielectric |
US7115528B2 (en) | 2003-04-29 | 2006-10-03 | Micron Technology, Inc. | Systems and method for forming silicon oxide layers |
US6844258B1 (en) | 2003-05-09 | 2005-01-18 | Novellus Systems, Inc. | Selective refractory metal and nitride capping |
US7914847B2 (en) | 2003-05-09 | 2011-03-29 | Asm America, Inc. | Reactor surface passivation through chemical deactivation |
EP1623454A2 (en) | 2003-05-09 | 2006-02-08 | ASM America, Inc. | Reactor surface passivation through chemical deactivation |
US6811448B1 (en) | 2003-07-15 | 2004-11-02 | Advanced Micro Devices, Inc. | Pre-cleaning for silicidation in an SMOS process |
US7067407B2 (en) | 2003-08-04 | 2006-06-27 | Asm International, N.V. | Method of growing electrical conductors |
US20050037153A1 (en) * | 2003-08-14 | 2005-02-17 | Applied Materials, Inc. | Stress reduction of sioc low k films |
US7323411B1 (en) | 2003-09-26 | 2008-01-29 | Cypress Semiconductor Corporation | Method of selective tungsten deposition on a silicon surface |
US7375033B2 (en) | 2003-11-14 | 2008-05-20 | Micron Technology, Inc. | Multi-layer interconnect with isolation layer |
US7207096B2 (en) | 2004-01-22 | 2007-04-24 | International Business Machines Corporation | Method of manufacturing high performance copper inductors with bond pads |
US7405143B2 (en) | 2004-03-25 | 2008-07-29 | Asm International N.V. | Method for fabricating a seed layer |
US7309395B2 (en) | 2004-03-31 | 2007-12-18 | Dielectric Systems, Inc. | System for forming composite polymer dielectric film |
KR20050103811A (ko) | 2004-04-27 | 2005-11-01 | 삼성에스디아이 주식회사 | 플라즈마 증착 공정에 의해 형성된 박막트랜지스터 |
TW200539321A (en) | 2004-05-28 | 2005-12-01 | Applied Materials Inc | Method for improving high density plasmachemical vapor deposition process |
US20060019493A1 (en) | 2004-07-15 | 2006-01-26 | Li Wei M | Methods of metallization for microelectronic devices utilizing metal oxide |
US7736728B2 (en) | 2004-08-18 | 2010-06-15 | Dow Corning Corporation | Coated substrates and methods for their preparation |
TW200619222A (en) | 2004-09-02 | 2006-06-16 | Rohm & Haas Elect Mat | Method for making organometallic compounds |
US8882914B2 (en) | 2004-09-17 | 2014-11-11 | Intermolecular, Inc. | Processing substrates using site-isolated processing |
US7476618B2 (en) | 2004-10-26 | 2009-01-13 | Asm Japan K.K. | Selective formation of metal layers in an integrated circuit |
EP1824960A2 (en) | 2004-11-22 | 2007-08-29 | Applied Materials, Inc. | Substrate processing apparatus using a batch processing chamber |
US7160583B2 (en) | 2004-12-03 | 2007-01-09 | 3M Innovative Properties Company | Microfabrication using patterned topography and self-assembled monolayers |
US7276433B2 (en) | 2004-12-03 | 2007-10-02 | Micron Technology, Inc. | Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
JP4258515B2 (ja) | 2005-02-04 | 2009-04-30 | パナソニック株式会社 | 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置 |
US20060199399A1 (en) | 2005-02-22 | 2006-09-07 | Muscat Anthony J | Surface manipulation and selective deposition processes using adsorbed halogen atoms |
US7666773B2 (en) | 2005-03-15 | 2010-02-23 | Asm International N.V. | Selective deposition of noble metal thin films |
US8025922B2 (en) | 2005-03-15 | 2011-09-27 | Asm International N.V. | Enhanced deposition of noble metals |
US7488967B2 (en) | 2005-04-06 | 2009-02-10 | International Business Machines Corporation | Structure for confining the switching current in phase memory (PCM) cells |
US7425350B2 (en) | 2005-04-29 | 2008-09-16 | Asm Japan K.K. | Apparatus, precursors and deposition methods for silicon-containing materials |
US7084060B1 (en) | 2005-05-04 | 2006-08-01 | International Business Machines Corporation | Forming capping layer over metal wire structure using selective atomic layer deposition |
US7402519B2 (en) | 2005-06-03 | 2008-07-22 | Intel Corporation | Interconnects having sealing structures to enable selective metal capping layers |
KR100695876B1 (ko) | 2005-06-24 | 2007-03-19 | 삼성전자주식회사 | 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법. |
US20070014919A1 (en) | 2005-07-15 | 2007-01-18 | Jani Hamalainen | Atomic layer deposition of noble metal oxides |
US8771804B2 (en) | 2005-08-31 | 2014-07-08 | Lam Research Corporation | Processes and systems for engineering a copper surface for selective metal deposition |
WO2007041089A2 (en) | 2005-09-29 | 2007-04-12 | Praxair Technology, Inc. | Organometallic compounds and methods of use thereof |
US20070099422A1 (en) | 2005-10-28 | 2007-05-03 | Kapila Wijekoon | Process for electroless copper deposition |
GB2432363B (en) | 2005-11-16 | 2010-06-23 | Epichem Ltd | Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition |
US20110198756A1 (en) | 2005-11-28 | 2011-08-18 | Thenappan Ue | Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use |
US7595271B2 (en) | 2005-12-01 | 2009-09-29 | Asm America, Inc. | Polymer coating for vapor deposition tool |
JPWO2007080944A1 (ja) | 2006-01-13 | 2009-06-11 | 東京エレクトロン株式会社 | 多孔質膜の成膜方法およびコンピュータ可読記録媒体 |
US7695567B2 (en) | 2006-02-10 | 2010-04-13 | Applied Materials, Inc. | Water vapor passivation of a wall facing a plasma |
US7601651B2 (en) | 2006-03-31 | 2009-10-13 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
TW200746268A (en) | 2006-04-11 | 2007-12-16 | Applied Materials Inc | Process for forming cobalt-containing materials |
JP5032145B2 (ja) | 2006-04-14 | 2012-09-26 | 株式会社東芝 | 半導体装置 |
FR2900276B1 (fr) | 2006-04-25 | 2008-09-12 | St Microelectronics Sa | Depot peald d'un materiau a base de silicium |
EP2029790A1 (en) | 2006-06-02 | 2009-03-04 | L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing |
US8278176B2 (en) | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
US9245739B2 (en) | 2006-11-01 | 2016-01-26 | Lam Research Corporation | Low-K oxide deposition by hydrolysis and condensation |
US7611751B2 (en) | 2006-11-01 | 2009-11-03 | Asm America, Inc. | Vapor deposition of metal carbide films |
US7790631B2 (en) | 2006-11-21 | 2010-09-07 | Intel Corporation | Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal |
JP4881262B2 (ja) | 2006-11-28 | 2012-02-22 | 株式会社荏原製作所 | 基板の表面処理方法 |
US8205625B2 (en) | 2006-11-28 | 2012-06-26 | Ebara Corporation | Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method |
DE102007004867B4 (de) | 2007-01-31 | 2009-07-30 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid |
US20080241575A1 (en) | 2007-03-28 | 2008-10-02 | Lavoie Adrein R | Selective aluminum doping of copper interconnects and structures formed thereby |
CN103147062A (zh) | 2007-09-14 | 2013-06-12 | 西格玛-奥吉奇有限责任公司 | 采用单环戊二烯基三烷氧基铪和锆前体通过原子层沉积制备薄膜的方法 |
JP2009076590A (ja) | 2007-09-19 | 2009-04-09 | Hitachi Kokusai Electric Inc | クリーニング方法 |
US20100297474A1 (en) | 2007-11-06 | 2010-11-25 | Hcf Partners, Lp. | Atomic Layer Deposition Process |
WO2009102363A2 (en) | 2007-11-15 | 2009-08-20 | Stc.Unm | Ultra-thin microporous/hybrid materials |
KR100920033B1 (ko) | 2007-12-10 | 2009-10-07 | (주)피앤테크 | 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법 |
US9217200B2 (en) | 2007-12-21 | 2015-12-22 | Asm International N.V. | Modification of nanoimprint lithography templates by atomic layer deposition |
JP5198106B2 (ja) | 2008-03-25 | 2013-05-15 | 東京エレクトロン株式会社 | 成膜装置、及び成膜方法 |
US20090269507A1 (en) | 2008-04-29 | 2009-10-29 | Sang-Ho Yu | Selective cobalt deposition on copper surfaces |
US7993950B2 (en) | 2008-04-30 | 2011-08-09 | Cavendish Kinetics, Ltd. | System and method of encapsulation |
US8114301B2 (en) | 2008-05-02 | 2012-02-14 | Micron Technology, Inc. | Graphoepitaxial self-assembly of arrays of downward facing half-cylinders |
US20090286402A1 (en) | 2008-05-13 | 2009-11-19 | Applied Materials, Inc | Method for critical dimension shrink using conformal pecvd films |
JP2010041038A (ja) * | 2008-06-27 | 2010-02-18 | Asm America Inc | 重要な用途のための二酸化ケイ素の低温熱でのald |
WO2010009297A2 (en) | 2008-07-16 | 2010-01-21 | Applied Materials, Inc. | Hybrid heterojunction solar cell fabrication using a doping layer mask |
CN102132422A (zh) | 2008-08-27 | 2011-07-20 | 应用材料股份有限公司 | 利用印刷介电阻障的背接触太阳能电池 |
US8425739B1 (en) | 2008-09-30 | 2013-04-23 | Stion Corporation | In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials |
CN102197459A (zh) | 2008-10-27 | 2011-09-21 | 应用材料股份有限公司 | 三元化合物的气相沉积方法 |
US20110221061A1 (en) | 2008-12-01 | 2011-09-15 | Shiva Prakash | Anode for an organic electronic device |
US20100147396A1 (en) | 2008-12-15 | 2010-06-17 | Asm Japan K.K. | Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus |
US9379011B2 (en) | 2008-12-19 | 2016-06-28 | Asm International N.V. | Methods for depositing nickel films and for making nickel silicide and nickel germanide |
US7927942B2 (en) | 2008-12-19 | 2011-04-19 | Asm International N.V. | Selective silicide process |
KR101556238B1 (ko) | 2009-02-17 | 2015-10-01 | 삼성전자주식회사 | 매립형 배선라인을 갖는 반도체 소자의 제조방법 |
US8242019B2 (en) * | 2009-03-31 | 2012-08-14 | Tokyo Electron Limited | Selective deposition of metal-containing cap layers for semiconductor devices |
GB0906105D0 (en) | 2009-04-08 | 2009-05-20 | Ulive Entpr Ltd | Mixed metal oxides |
US8071452B2 (en) | 2009-04-27 | 2011-12-06 | Asm America, Inc. | Atomic layer deposition of hafnium lanthanum oxides |
US20100314765A1 (en) | 2009-06-16 | 2010-12-16 | Liang Wen-Ping | Interconnection structure of semiconductor integrated circuit and method for making the same |
JP2011018742A (ja) | 2009-07-08 | 2011-01-27 | Renesas Electronics Corp | 半導体装置の製造方法 |
JP5359642B2 (ja) | 2009-07-22 | 2013-12-04 | 東京エレクトロン株式会社 | 成膜方法 |
JP2013501139A (ja) | 2009-07-31 | 2013-01-10 | アクゾ ノーベル ケミカルズ インターナショナル ベスローテン フエンノートシャップ | コーティングされた基材を調製するためのプロセス、コーティングされた基材、及びその使用 |
KR101129090B1 (ko) | 2009-09-01 | 2012-04-13 | 성균관대학교산학협력단 | 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩 |
US8173554B2 (en) * | 2009-10-14 | 2012-05-08 | Asm Japan K.K. | Method of depositing dielectric film having Si-N bonds by modified peald method |
US8318249B2 (en) | 2009-11-20 | 2012-11-27 | Eastman Kodak Company | Method for selective deposition and devices |
US8481355B2 (en) | 2009-12-15 | 2013-07-09 | Primestar Solar, Inc. | Modular system and process for continuous deposition of a thin film layer on a substrate |
US8562750B2 (en) | 2009-12-17 | 2013-10-22 | Lam Research Corporation | Method and apparatus for processing bevel edge |
JP5222864B2 (ja) | 2010-02-17 | 2013-06-26 | 株式会社ジャパンディスプレイイースト | 液晶表示装置の製造方法 |
US8293658B2 (en) | 2010-02-17 | 2012-10-23 | Asm America, Inc. | Reactive site deactivation against vapor deposition |
JP5373669B2 (ja) | 2010-03-05 | 2013-12-18 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
US8178439B2 (en) * | 2010-03-30 | 2012-05-15 | Tokyo Electron Limited | Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices |
TWI509695B (zh) | 2010-06-10 | 2015-11-21 | Asm Int | 使膜選擇性沈積於基板上的方法 |
US20110311726A1 (en) | 2010-06-18 | 2011-12-22 | Cambridge Nanotech Inc. | Method and apparatus for precursor delivery |
US8716130B2 (en) | 2010-07-01 | 2014-05-06 | Tokyo Electron Limited | Method of manufacturing semiconductor device |
US8357608B2 (en) | 2010-08-09 | 2013-01-22 | International Business Machines Corporation | Multi component dielectric layer |
US9487600B2 (en) | 2010-08-17 | 2016-11-08 | Uchicago Argonne, Llc | Ordered nanoscale domains by infiltration of block copolymers |
US8945305B2 (en) | 2010-08-31 | 2015-02-03 | Micron Technology, Inc. | Methods of selectively forming a material using parylene coating |
TW201224190A (en) | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
US8822350B2 (en) | 2010-11-19 | 2014-09-02 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus |
DE102011012515A1 (de) | 2011-02-25 | 2012-08-30 | Umicore Ag & Co. Kg | Metallkomplexe mit N-Amino-Amidinat-Liganden |
US20120219824A1 (en) | 2011-02-28 | 2012-08-30 | Uchicago Argonne Llc | Atomic layer deposition of super-conducting niobium silicide |
US8980418B2 (en) | 2011-03-24 | 2015-03-17 | Uchicago Argonne, Llc | Sequential infiltration synthesis for advanced lithography |
JP2012209393A (ja) | 2011-03-29 | 2012-10-25 | Tokyo Electron Ltd | クリーニング方法及び成膜方法 |
US8871617B2 (en) | 2011-04-22 | 2014-10-28 | Asm Ip Holding B.V. | Deposition and reduction of mixed metal oxide thin films |
US8771807B2 (en) | 2011-05-24 | 2014-07-08 | Air Products And Chemicals, Inc. | Organoaminosilane precursors and methods for making and using same |
US8753978B2 (en) | 2011-06-03 | 2014-06-17 | Novellus Systems, Inc. | Metal and silicon containing capping layers for interconnects |
KR20130007059A (ko) | 2011-06-28 | 2013-01-18 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
EP2557132B1 (en) | 2011-08-10 | 2018-03-14 | 3M Innovative Properties Company | Multilayer adhesive film, in particular for bonding optical sensors |
CN102332395B (zh) | 2011-09-23 | 2014-03-05 | 复旦大学 | 一种选择性淀积栅氧和栅电极的方法 |
US8921228B2 (en) * | 2011-10-04 | 2014-12-30 | Imec | Method for selectively depositing noble metals on metal/metal nitride substrates |
JP6202798B2 (ja) | 2011-10-12 | 2017-09-27 | エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. | 酸化アンチモン膜の原子層堆積 |
JP6043546B2 (ja) | 2011-10-21 | 2016-12-14 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
TWI541377B (zh) * | 2011-11-04 | 2016-07-11 | Asm國際股份有限公司 | 形成摻雜二氧化矽薄膜的方法 |
KR20130056608A (ko) | 2011-11-22 | 2013-05-30 | 에스케이하이닉스 주식회사 | 상변화 메모리 장치 및 그의 제조방법 |
US9112003B2 (en) | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
US20130157409A1 (en) | 2011-12-16 | 2013-06-20 | Kaushik Vaidya | Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices |
US8623468B2 (en) | 2012-01-05 | 2014-01-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of fabricating metal hard masks |
US9194840B2 (en) * | 2012-01-19 | 2015-11-24 | Life Technologies Corporation | Sensor arrays and methods for making same |
US9238865B2 (en) | 2012-02-06 | 2016-01-19 | Asm Ip Holding B.V. | Multiple vapor sources for vapor deposition |
JP6020239B2 (ja) | 2012-04-27 | 2016-11-02 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US9005877B2 (en) | 2012-05-15 | 2015-04-14 | Tokyo Electron Limited | Method of forming patterns using block copolymers and articles thereof |
JP5862459B2 (ja) | 2012-05-28 | 2016-02-16 | 東京エレクトロン株式会社 | 成膜方法 |
JP5966618B2 (ja) | 2012-05-28 | 2016-08-10 | 東京エレクトロン株式会社 | 成膜方法 |
US20130323930A1 (en) | 2012-05-29 | 2013-12-05 | Kaushik Chattopadhyay | Selective Capping of Metal Interconnect Lines during Air Gap Formation |
US9978585B2 (en) * | 2012-06-01 | 2018-05-22 | Versum Materials Us, Llc | Organoaminodisilane precursors and methods for depositing films comprising same |
US11037923B2 (en) | 2012-06-29 | 2021-06-15 | Intel Corporation | Through gate fin isolation |
US9371338B2 (en) | 2012-07-20 | 2016-06-21 | American Air Liquide, Inc. | Organosilane precursors for ALD/CVD silicon-containing film applications |
JP6040609B2 (ja) | 2012-07-20 | 2016-12-07 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
JP6022274B2 (ja) | 2012-09-18 | 2016-11-09 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
JP6022276B2 (ja) * | 2012-09-20 | 2016-11-09 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
US8890264B2 (en) | 2012-09-26 | 2014-11-18 | Intel Corporation | Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface |
US9099490B2 (en) | 2012-09-28 | 2015-08-04 | Intel Corporation | Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation |
JP2014093331A (ja) | 2012-10-31 | 2014-05-19 | Tokyo Electron Ltd | 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法 |
US9330899B2 (en) | 2012-11-01 | 2016-05-03 | Asm Ip Holding B.V. | Method of depositing thin film |
US8963135B2 (en) | 2012-11-30 | 2015-02-24 | Intel Corporation | Integrated circuits and systems and methods for producing the same |
JP6087609B2 (ja) * | 2012-12-11 | 2017-03-01 | 東京エレクトロン株式会社 | 金属化合物膜の成膜方法、成膜装置、および電子製品の製造方法 |
US10279959B2 (en) * | 2012-12-11 | 2019-05-07 | Versum Materials Us, Llc | Alkoxysilylamine compounds and applications thereof |
JP6415808B2 (ja) | 2012-12-13 | 2018-10-31 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
US8993404B2 (en) | 2013-01-23 | 2015-03-31 | Intel Corporation | Metal-insulator-metal capacitor formation techniques |
US9566609B2 (en) | 2013-01-24 | 2017-02-14 | Corning Incorporated | Surface nanoreplication using polymer nanomasks |
US20150372205A1 (en) | 2013-01-31 | 2015-12-24 | Dai Nippon Printing Co., Ltd. | Electron beam curable resin composition, reflector resin frame, reflector, semiconductor light-emitting device, and molded article production method |
JP5949586B2 (ja) | 2013-01-31 | 2016-07-06 | 東京エレクトロン株式会社 | 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体 |
US20140227461A1 (en) | 2013-02-14 | 2014-08-14 | Dillard University | Multiple Beam Pulsed Laser Deposition Of Composite Films |
US8980734B2 (en) | 2013-03-08 | 2015-03-17 | Freescale Semiconductor, Inc. | Gate security feature |
US10573511B2 (en) | 2013-03-13 | 2020-02-25 | Asm Ip Holding B.V. | Methods for forming silicon nitride thin films |
US9564309B2 (en) | 2013-03-14 | 2017-02-07 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US9147574B2 (en) | 2013-03-14 | 2015-09-29 | Tokyo Electron Limited | Topography minimization of neutral layer overcoats in directed self-assembly applications |
US9136110B2 (en) | 2013-03-15 | 2015-09-15 | Tokyo Electron Limited | Multi-step bake apparatus and method for directed self-assembly lithography control |
US9018054B2 (en) * | 2013-03-15 | 2015-04-28 | Applied Materials, Inc. | Metal gate structures for field effect transistors and method of fabrication |
US20140273290A1 (en) | 2013-03-15 | 2014-09-18 | Tokyo Electron Limited | Solvent anneal processing for directed-self assembly applications |
US9159558B2 (en) | 2013-03-15 | 2015-10-13 | International Business Machines Corporation | Methods of reducing defects in directed self-assembled structures |
JP2014188656A (ja) | 2013-03-28 | 2014-10-06 | Tokyo Electron Ltd | 中空構造体の製造方法 |
US9552979B2 (en) | 2013-05-31 | 2017-01-24 | Asm Ip Holding B.V. | Cyclic aluminum nitride deposition in a batch reactor |
KR102099841B1 (ko) | 2013-06-28 | 2020-04-13 | 인텔 코포레이션 | 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스 |
JP2015012179A (ja) | 2013-06-28 | 2015-01-19 | 住友電気工業株式会社 | 気相成長方法 |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
TW201509799A (zh) | 2013-07-19 | 2015-03-16 | Air Liquide | 用於ald/cvd含矽薄膜應用之六配位含矽前驅物 |
US9362163B2 (en) | 2013-07-30 | 2016-06-07 | Lam Research Corporation | Methods and apparatuses for atomic layer cleaning of contacts and vias |
JP6111171B2 (ja) | 2013-09-02 | 2017-04-05 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
NZ716773A (en) | 2013-09-20 | 2020-06-26 | Baker Hughes Inc | Composites for use in stimulation and sand control operations |
EP3050084A4 (en) | 2013-09-27 | 2017-05-24 | Intel Corporation | Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions |
US9385033B2 (en) | 2013-09-27 | 2016-07-05 | Intel Corporation | Method of forming a metal from a cobalt metal precursor |
US9067958B2 (en) | 2013-10-14 | 2015-06-30 | Intel Corporation | Scalable and high yield synthesis of transition metal bis-diazabutadienes |
US9847222B2 (en) | 2013-10-25 | 2017-12-19 | Lam Research Corporation | Treatment for flowable dielectric deposition on substrate surfaces |
US20150118863A1 (en) | 2013-10-25 | 2015-04-30 | Lam Research Corporation | Methods and apparatus for forming flowable dielectric films having low porosity |
JP2015111563A (ja) | 2013-11-06 | 2015-06-18 | Dowaエレクトロニクス株式会社 | 銅粒子分散液およびそれを用いた導電膜の製造方法 |
TW201525173A (zh) * | 2013-12-09 | 2015-07-01 | Applied Materials Inc | 選擇性層沉積之方法 |
US9236292B2 (en) | 2013-12-18 | 2016-01-12 | Intel Corporation | Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD) |
KR102241166B1 (ko) | 2013-12-19 | 2021-04-16 | 인텔 코포레이션 | 자기 정렬 게이트 에지 및 로컬 상호접속 및 그 제조 방법 |
US9455150B2 (en) | 2013-12-24 | 2016-09-27 | Intel Corporation | Conformal thin film deposition of electropositive metal alloy films |
TWI739285B (zh) * | 2014-02-04 | 2021-09-11 | 荷蘭商Asm Ip控股公司 | 金屬、金屬氧化物與介電質的選擇性沉積 |
TWI624515B (zh) | 2014-02-10 | 2018-05-21 | 國立清華大學 | 無機-有機複合氧化物聚合體及其製備方法 |
JP6254459B2 (ja) | 2014-02-27 | 2017-12-27 | 東京エレクトロン株式会社 | 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法 |
JP5883049B2 (ja) | 2014-03-04 | 2016-03-09 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体 |
US20150252477A1 (en) | 2014-03-06 | 2015-09-10 | Applied Materials, Inc. | In-situ carbon and oxide doping of atomic layer deposition silicon nitride films |
US20150275355A1 (en) | 2014-03-26 | 2015-10-01 | Air Products And Chemicals, Inc. | Compositions and methods for the deposition of silicon oxide films |
EP3122918A4 (en) | 2014-03-27 | 2018-03-14 | Intel Corporation | Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd) |
EP3123497A4 (en) | 2014-03-28 | 2017-11-01 | Intel Corporation | Selective epitaxially grown iii-v materials based devices |
US10047435B2 (en) | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9418889B2 (en) * | 2014-06-30 | 2016-08-16 | Lam Research Corporation | Selective formation of dielectric barriers for metal interconnects in semiconductor devices |
KR20160031903A (ko) | 2014-09-15 | 2016-03-23 | 에스케이하이닉스 주식회사 | 전자 장치 및 그 제조 방법 |
EP3026055A1 (en) | 2014-11-28 | 2016-06-01 | Umicore AG & Co. KG | New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis |
US10062564B2 (en) | 2014-12-15 | 2018-08-28 | Tokyo Electron Limited | Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma |
US11021630B2 (en) | 2014-12-30 | 2021-06-01 | Rohm And Haas Electronic Materials Llc | Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same |
US9816180B2 (en) * | 2015-02-03 | 2017-11-14 | Asm Ip Holding B.V. | Selective deposition |
US10421766B2 (en) * | 2015-02-13 | 2019-09-24 | Versum Materials Us, Llc | Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films |
US9490145B2 (en) | 2015-02-23 | 2016-11-08 | Asm Ip Holding B.V. | Removal of surface passivation |
WO2016138284A1 (en) * | 2015-02-26 | 2016-09-01 | Applied Materials, Inc. | Methods for selective dielectric deposition using self-assembled monolayers |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9613831B2 (en) | 2015-03-25 | 2017-04-04 | Qorvo Us, Inc. | Encapsulated dies with enhanced thermal performance |
US9777025B2 (en) | 2015-03-30 | 2017-10-03 | L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude | Si-containing film forming precursors and methods of using the same |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US9805914B2 (en) | 2015-04-03 | 2017-10-31 | Applied Materials, Inc. | Methods for removing contamination from surfaces in substrate processing systems |
US20160314964A1 (en) * | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US9343297B1 (en) * | 2015-04-22 | 2016-05-17 | Asm Ip Holding B.V. | Method for forming multi-element thin film constituted by at least five elements by PEALD |
US9978866B2 (en) | 2015-04-22 | 2018-05-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and manufacturing method thereof |
CN107533951B (zh) * | 2015-05-01 | 2021-10-26 | 应用材料公司 | 使用表面封端化学性质的薄膜电介质的选择性沉积 |
JP6968701B2 (ja) * | 2015-05-02 | 2021-11-17 | アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated | 低誘電率かつ低湿式エッチング速度の誘電体薄膜を堆積させるための方法 |
US9646883B2 (en) | 2015-06-12 | 2017-05-09 | International Business Machines Corporation | Chemoepitaxy etch trim using a self aligned hard mask for metal line to via |
KR102475024B1 (ko) | 2015-06-18 | 2022-12-07 | 타호 리서치 리미티드 | 제2 또는 제3 행 전이 금속 박막들의 퇴적을 위한 선천적으로 선택적인 전구체들 |
JP5957128B2 (ja) | 2015-07-29 | 2016-07-27 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体 |
US10428421B2 (en) * | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US10566185B2 (en) * | 2015-08-05 | 2020-02-18 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US10121699B2 (en) | 2015-08-05 | 2018-11-06 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US20170051405A1 (en) * | 2015-08-18 | 2017-02-23 | Asm Ip Holding B.V. | Method for forming sin or sicn film in trenches by peald |
US9523148B1 (en) * | 2015-08-25 | 2016-12-20 | Asm Ip Holdings B.V. | Process for deposition of titanium oxynitride for use in integrated circuit fabrication |
US10814349B2 (en) | 2015-10-09 | 2020-10-27 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10343186B2 (en) | 2015-10-09 | 2019-07-09 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10695794B2 (en) | 2015-10-09 | 2020-06-30 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US20170107413A1 (en) | 2015-10-19 | 2017-04-20 | Liang Wang | Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures |
US9793139B2 (en) * | 2015-10-29 | 2017-10-17 | Sandisk Technologies Llc | Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines |
US9455138B1 (en) * | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9786491B2 (en) * | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US9786492B2 (en) * | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US9349687B1 (en) | 2015-12-19 | 2016-05-24 | International Business Machines Corporation | Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect |
KR102182550B1 (ko) | 2016-04-18 | 2020-11-25 | 에이에스엠 아이피 홀딩 비.브이. | 유도된 자기-조립층을 기판 상에 형성하는 방법 |
US10204782B2 (en) | 2016-04-18 | 2019-02-12 | Imec Vzw | Combined anneal and selective deposition process |
US20170298503A1 (en) | 2016-04-18 | 2017-10-19 | Asm Ip Holding B.V. | Combined anneal and selective deposition systems |
US11081342B2 (en) | 2016-05-05 | 2021-08-03 | Asm Ip Holding B.V. | Selective deposition using hydrophobic precursors |
US10171919B2 (en) | 2016-05-16 | 2019-01-01 | The Regents Of The University Of Colorado, A Body Corporate | Thermal and thermoacoustic nanodevices and methods of making and using same |
US10453701B2 (en) | 2016-06-01 | 2019-10-22 | Asm Ip Holding B.V. | Deposition of organic films |
US10373820B2 (en) | 2016-06-01 | 2019-08-06 | Asm Ip Holding B.V. | Deposition of organic films |
US9803277B1 (en) | 2016-06-08 | 2017-10-31 | Asm Ip Holding B.V. | Reaction chamber passivation and selective deposition of metallic films |
US9805974B1 (en) | 2016-06-08 | 2017-10-31 | Asm Ip Holding B.V. | Selective deposition of metallic films |
US10014212B2 (en) * | 2016-06-08 | 2018-07-03 | Asm Ip Holding B.V. | Selective deposition of metallic films |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) * | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9972695B2 (en) | 2016-08-04 | 2018-05-15 | International Business Machines Corporation | Binary metal oxide based interlayer for high mobility channels |
KR102772148B1 (ko) * | 2016-10-02 | 2025-02-27 | 어플라이드 머티어리얼스, 인코포레이티드 | 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡 |
US10358719B2 (en) * | 2016-11-23 | 2019-07-23 | Applied Materials, Inc. | Selective deposition of aluminum oxide on metal surfaces |
US11430656B2 (en) | 2016-11-29 | 2022-08-30 | Asm Ip Holding B.V. | Deposition of oxide thin films |
US10186420B2 (en) | 2016-11-29 | 2019-01-22 | Asm Ip Holding B.V. | Formation of silicon-containing thin films |
US10269558B2 (en) * | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11094535B2 (en) | 2017-02-14 | 2021-08-17 | Asm Ip Holding B.V. | Selective passivation and selective deposition |
US9911595B1 (en) | 2017-03-17 | 2018-03-06 | Lam Research Corporation | Selective growth of silicon nitride |
JP6832776B2 (ja) | 2017-03-30 | 2021-02-24 | 東京エレクトロン株式会社 | 選択成長方法 |
US11501965B2 (en) * | 2017-05-05 | 2022-11-15 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
KR20240010760A (ko) | 2017-05-05 | 2024-01-24 | 에이에스엠 아이피 홀딩 비.브이. | 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정 |
US10770286B2 (en) * | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
JP7183187B2 (ja) * | 2017-05-16 | 2022-12-05 | エーエスエム アイピー ホールディング ビー.ブイ. | 誘電体上の酸化物の選択的peald |
US10900120B2 (en) | 2017-07-14 | 2021-01-26 | Asm Ip Holding B.V. | Passivation against vapor deposition |
US10763108B2 (en) | 2017-08-18 | 2020-09-01 | Lam Research Corporation | Geometrically selective deposition of a dielectric film |
US10283710B2 (en) | 2017-09-05 | 2019-05-07 | Sandisk Technologies Llc | Resistive random access memory device containing replacement word lines and method of making thereof |
US10847363B2 (en) | 2017-11-20 | 2020-11-24 | Tokyo Electron Limited | Method of selective deposition for forming fully self-aligned vias |
US10332747B1 (en) * | 2018-01-24 | 2019-06-25 | Globalfoundries Inc. | Selective titanium nitride deposition using oxides of lanthanum masks |
JP7146690B2 (ja) | 2018-05-02 | 2022-10-04 | エーエスエム アイピー ホールディング ビー.ブイ. | 堆積および除去を使用した選択的層形成 |
JP2020056104A (ja) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
-
2018
- 2018-05-03 JP JP2019563260A patent/JP7183187B2/ja active Active
- 2018-05-03 CN CN202210884734.5A patent/CN115233183B/zh active Active
- 2018-05-03 KR KR1020197033614A patent/KR102684628B1/ko active Active
- 2018-05-03 US US16/605,475 patent/US11170993B2/en active Active
- 2018-05-03 KR KR1020247022731A patent/KR20240112368A/ko active Pending
- 2018-05-03 CN CN201880032200.1A patent/CN110651064B/zh active Active
- 2018-05-03 WO PCT/US2018/030979 patent/WO2018213018A1/en active Application Filing
- 2018-05-04 TW TW112115120A patent/TWI829584B/zh active
- 2018-05-04 TW TW111112710A patent/TWI803270B/zh active
- 2018-05-04 TW TW107115198A patent/TWI763839B/zh active
-
2021
- 2021-10-11 US US17/450,538 patent/US11728164B2/en active Active
-
2022
- 2022-11-21 JP JP2022185876A patent/JP7470173B2/ja active Active
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2015088562A (ja) | 2013-10-29 | 2015-05-07 | 東京エレクトロン株式会社 | シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置 |
Also Published As
Publication number | Publication date |
---|---|
TW202229635A (zh) | 2022-08-01 |
US20220076949A1 (en) | 2022-03-10 |
JP2020520126A (ja) | 2020-07-02 |
US11728164B2 (en) | 2023-08-15 |
WO2018213018A1 (en) | 2018-11-22 |
US20200066512A1 (en) | 2020-02-27 |
TW201900918A (zh) | 2019-01-01 |
US11170993B2 (en) | 2021-11-09 |
TWI763839B (zh) | 2022-05-11 |
CN115233183B (zh) | 2025-01-10 |
TWI803270B (zh) | 2023-05-21 |
CN110651064B (zh) | 2022-08-16 |
KR20200007823A (ko) | 2020-01-22 |
KR20240112368A (ko) | 2024-07-18 |
TWI829584B (zh) | 2024-01-11 |
CN115233183A (zh) | 2022-10-25 |
TW202330993A (zh) | 2023-08-01 |
JP7470173B2 (ja) | 2024-04-17 |
CN110651064A (zh) | 2020-01-03 |
JP7183187B2 (ja) | 2022-12-05 |
JP2023018059A (ja) | 2023-02-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102684628B1 (ko) | 유전체 상에 옥사이드의 선택적 peald | |
US11501965B2 (en) | Plasma enhanced deposition processes for controlled formation of metal oxide thin films | |
JP7511040B2 (ja) | 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス | |
KR102733125B1 (ko) | SiOC 박막의 형성 | |
KR102385980B1 (ko) | SiOCN 박막들의 형성 | |
US20200075322A1 (en) | FORMATION OF SiOCN THIN FILMS | |
CN115896734A (zh) | 有机材料的选择性沉积 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PA0105 | International application |
Patent event date: 20191114 Patent event code: PA01051R01D Comment text: International Patent Application |
|
PG1501 | Laying open of application | ||
A201 | Request for examination | ||
PA0201 | Request for examination |
Patent event code: PA02012R01D Patent event date: 20210420 Comment text: Request for Examination of Application |
|
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20230410 Patent event code: PE09021S01D |
|
AMND | Amendment | ||
E601 | Decision to refuse application | ||
PE0601 | Decision on rejection of patent |
Patent event date: 20231026 Comment text: Decision to Refuse Application Patent event code: PE06012S01D Patent event date: 20230410 Comment text: Notification of reason for refusal Patent event code: PE06011S01I |
|
AMND | Amendment | ||
PX0701 | Decision of registration after re-examination |
Patent event date: 20240423 Comment text: Decision to Grant Registration Patent event code: PX07013S01D Patent event date: 20240226 Comment text: Amendment to Specification, etc. Patent event code: PX07012R01I Patent event date: 20231026 Comment text: Decision to Refuse Application Patent event code: PX07011S01I Patent event date: 20230609 Comment text: Amendment to Specification, etc. Patent event code: PX07012R01I |
|
X701 | Decision to grant (after re-examination) | ||
A107 | Divisional application of patent | ||
PA0104 | Divisional application for international application |
Comment text: Divisional Application for International Patent Patent event code: PA01041R01D Patent event date: 20240708 |
|
GRNT | Written decision to grant | ||
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20240709 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20240710 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration |