TW261689B - Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor - Google Patents
Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactorInfo
- Publication number
- TW261689B TW261689B TW083112433A TW83112433A TW261689B TW 261689 B TW261689 B TW 261689B TW 083112433 A TW083112433 A TW 083112433A TW 83112433 A TW83112433 A TW 83112433A TW 261689 B TW261689 B TW 261689B
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- gas
- vapor deposition
- chemical vapor
- enhanced chemical
- Prior art date
Links
- 238000000034 method Methods 0.000 title abstract 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 title abstract 2
- 239000010409 thin film Substances 0.000 title 1
- 239000000758 substrate Substances 0.000 abstract 7
- 239000000203 mixture Substances 0.000 abstract 2
- 238000006243 chemical reaction Methods 0.000 abstract 1
- 150000002500 ions Chemical class 0.000 abstract 1
- 238000005215 recombination Methods 0.000 abstract 1
- 230000006798 recombination Effects 0.000 abstract 1
- 238000006557 surface reaction Methods 0.000 abstract 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 abstract 1
- 238000011144 upstream manufacturing Methods 0.000 abstract 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45502—Flow conditions in reaction chamber
- C23C16/45504—Laminar flow
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
- C23C16/14—Deposition of only one other metal element
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/42—Silicides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/448—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
- C23C16/452—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4584—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Fluid Mechanics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/253,393 US5665640A (en) | 1994-06-03 | 1994-06-03 | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
Publications (1)
Publication Number | Publication Date |
---|---|
TW261689B true TW261689B (en) | 1995-11-01 |
Family
ID=22960086
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW083112433A TW261689B (en) | 1994-06-03 | 1994-12-31 | Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
Country Status (9)
Country | Link |
---|---|
US (5) | US5665640A (zh) |
EP (2) | EP0936284B1 (zh) |
JP (2) | JPH10504604A (zh) |
KR (1) | KR100355913B1 (zh) |
AU (1) | AU1261195A (zh) |
CA (1) | CA2191457A1 (zh) |
DE (2) | DE69435288D1 (zh) |
TW (1) | TW261689B (zh) |
WO (1) | WO1995033867A1 (zh) |
Cited By (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI555445B (zh) * | 2010-09-09 | 2016-10-21 | Tokyo Electron Ltd | Microwave introduction mechanism, microwave plasma source and microwave plasma processing device |
TWI555091B (zh) * | 2014-04-28 | 2016-10-21 | 北京七星華創電子股份有限公司 | 臥式外點火裝置之調節定位裝置 |
US10472714B2 (en) | 2013-05-31 | 2019-11-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US10580690B2 (en) | 2016-11-23 | 2020-03-03 | Lam Research Corporation | Staircase encapsulation in 3D NAND fabrication |
TWI703234B (zh) * | 2013-10-24 | 2020-09-01 | 美商蘭姆研究公司 | 用於含矽碳膜之化學氣相沉積之設備 |
US10832904B2 (en) | 2012-06-12 | 2020-11-10 | Lam Research Corporation | Remote plasma based deposition of oxygen doped silicon carbide films |
US10840087B2 (en) | 2018-07-20 | 2020-11-17 | Lam Research Corporation | Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films |
US11049716B2 (en) | 2015-04-21 | 2021-06-29 | Lam Research Corporation | Gap fill using carbon-based films |
US11264234B2 (en) | 2012-06-12 | 2022-03-01 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
TWI795020B (zh) * | 2018-03-01 | 2023-03-01 | 日商紐富來科技股份有限公司 | 氣相成長方法 |
US11848199B2 (en) | 2018-10-19 | 2023-12-19 | Lam Research Corporation | Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill |
Families Citing this family (400)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5665640A (en) | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
US5628829A (en) | 1994-06-03 | 1997-05-13 | Materials Research Corporation | Method and apparatus for low temperature deposition of CVD and PECVD films |
JPH088212A (ja) * | 1994-06-22 | 1996-01-12 | Sony Corp | プラズマcvd方法 |
US5661115A (en) | 1994-11-08 | 1997-08-26 | Micron Technology, Inc. | Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds |
US6251758B1 (en) * | 1994-11-14 | 2001-06-26 | Applied Materials, Inc. | Construction of a film on a semiconductor wafer |
JPH08170174A (ja) * | 1994-12-14 | 1996-07-02 | Nec Corp | TiN膜の形成方法 |
JPH08176823A (ja) * | 1994-12-26 | 1996-07-09 | Sony Corp | 高融点金属薄膜の成膜方法 |
US5972790A (en) * | 1995-06-09 | 1999-10-26 | Tokyo Electron Limited | Method for forming salicides |
KR0167248B1 (ko) * | 1995-07-24 | 1999-02-01 | 문정환 | 반도체 기판의 전처리방법 |
FR2744649B1 (fr) * | 1996-02-12 | 1998-04-10 | Conte | Procede pour augmenter la mouillabilite d'un corps poreux et dispositif de mise en oeuvre du procede |
US5789317A (en) * | 1996-04-12 | 1998-08-04 | Micron Technology, Inc. | Low temperature reflow method for filling high aspect ratio contacts |
JP3851686B2 (ja) * | 1996-06-08 | 2006-11-29 | キヤノンアネルバ株式会社 | プラズマcvdによる薄膜形成方法 |
US5856236A (en) * | 1996-06-14 | 1999-01-05 | Micron Technology, Inc. | Method of depositing a smooth conformal aluminum film on a refractory metal nitride layer |
US5859284A (en) | 1996-08-23 | 1999-01-12 | Gilead Sciences, Inc. | Preparation of carbocyclic compounds |
US5744395A (en) * | 1996-10-16 | 1998-04-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Low resistance, self-aligned, titanium silicide structures, using a single rapid thermal anneal procedure |
JPH10134997A (ja) * | 1996-10-24 | 1998-05-22 | Samsung Electron Co Ltd | 2次電位による放電を除去したプラズマ処理装置 |
US5963840A (en) | 1996-11-13 | 1999-10-05 | Applied Materials, Inc. | Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions |
US6152070A (en) * | 1996-11-18 | 2000-11-28 | Applied Materials, Inc. | Tandem process chamber |
JP3469420B2 (ja) * | 1996-12-20 | 2003-11-25 | 東京エレクトロン株式会社 | Cvd成膜方法 |
JPH10237662A (ja) * | 1996-12-24 | 1998-09-08 | Sony Corp | 金属膜のプラズマcvd方法、および金属窒化物膜の形成方法ならびに半導体装置 |
JP3050152B2 (ja) * | 1997-01-23 | 2000-06-12 | 日本電気株式会社 | 半導体装置の製造方法 |
US6051286A (en) * | 1997-02-12 | 2000-04-18 | Applied Materials, Inc. | High temperature, high deposition rate process and apparatus for depositing titanium layers |
US5989652A (en) * | 1997-01-31 | 1999-11-23 | Tokyo Electron Limited | Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications |
US6093645A (en) * | 1997-02-10 | 2000-07-25 | Tokyo Electron Limited | Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation |
US6271121B1 (en) | 1997-02-10 | 2001-08-07 | Tokyo Electron Limited | Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface |
US5906866A (en) * | 1997-02-10 | 1999-05-25 | Tokyo Electron Limited | Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface |
AU6784798A (en) * | 1997-03-27 | 1998-10-20 | Micron Technology, Inc. | Titanium metal treatment method, method of forming an electrically conductive interconnect, and method of reducing contact resistance of an elemental titanium contact |
JP3077623B2 (ja) * | 1997-04-02 | 2000-08-14 | 日本電気株式会社 | プラズマ化学気相成長装置 |
JP3129232B2 (ja) * | 1997-05-08 | 2001-01-29 | 日本電気株式会社 | 半導体装置の製造方法 |
US5994662A (en) * | 1997-05-29 | 1999-11-30 | Applied Materials, Inc. | Unique baffle to deflect remote plasma clean gases |
JP3222404B2 (ja) * | 1997-06-20 | 2001-10-29 | 科学技術振興事業団 | 半導体基板表面の絶縁膜の形成方法及びその形成装置 |
US6309713B1 (en) * | 1997-06-30 | 2001-10-30 | Applied Materials, Inc. | Deposition of tungsten nitride by plasma enhanced chemical vapor deposition |
US6162715A (en) * | 1997-06-30 | 2000-12-19 | Applied Materials, Inc. | Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride |
GB9717368D0 (en) * | 1997-08-18 | 1997-10-22 | Crowther Jonathan | Cold plasma metallization |
US5976976A (en) | 1997-08-21 | 1999-11-02 | Micron Technology, Inc. | Method of forming titanium silicide and titanium by chemical vapor deposition |
US6037252A (en) * | 1997-11-05 | 2000-03-14 | Tokyo Electron Limited | Method of titanium nitride contact plug formation |
US6106625A (en) * | 1997-12-02 | 2000-08-22 | Applied Materials, Inc. | Reactor useful for chemical vapor deposition of titanium nitride |
US6079356A (en) * | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
JP3381774B2 (ja) * | 1997-12-24 | 2003-03-04 | 東京エレクトロン株式会社 | CVD−Ti膜の成膜方法 |
US6841203B2 (en) * | 1997-12-24 | 2005-01-11 | Tokyo Electron Limited | Method of forming titanium film by CVD |
US5976990A (en) * | 1998-01-09 | 1999-11-02 | Micron Technology, Inc. | Method for optimization of thin film deposition |
JPH11217672A (ja) * | 1998-01-30 | 1999-08-10 | Sony Corp | 金属窒化物膜の化学的気相成長方法およびこれを用いた電子装置の製造方法 |
US6050506A (en) * | 1998-02-13 | 2000-04-18 | Applied Materials, Inc. | Pattern of apertures in a showerhead for chemical vapor deposition |
US6395128B2 (en) * | 1998-02-19 | 2002-05-28 | Micron Technology, Inc. | RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition |
US6140230A (en) | 1998-02-19 | 2000-10-31 | Micron Technology, Inc. | Methods of forming metal nitride and silicide structures |
US6284316B1 (en) | 1998-02-25 | 2001-09-04 | Micron Technology, Inc. | Chemical vapor deposition of titanium |
US6143362A (en) * | 1998-02-25 | 2000-11-07 | Micron Technology, Inc. | Chemical vapor deposition of titanium |
US6301270B1 (en) | 1998-03-02 | 2001-10-09 | Compaq Computer Corporation | Right to left matching of device address numbers with provided integrated services digital network destination numbers |
US6179919B1 (en) * | 1998-03-07 | 2001-01-30 | United Silicon Incorporated | Apparatus for performing chemical vapor deposition |
US7858518B2 (en) | 1998-04-07 | 2010-12-28 | Micron Technology, Inc. | Method for forming a selective contact and local interconnect in situ |
US5997649A (en) * | 1998-04-09 | 1999-12-07 | Tokyo Electron Limited | Stacked showerhead assembly for delivering gases and RF power to a reaction chamber |
US6635569B1 (en) * | 1998-04-20 | 2003-10-21 | Tokyo Electron Limited | Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus |
US6294466B1 (en) * | 1998-05-01 | 2001-09-25 | Applied Materials, Inc. | HDP-CVD apparatus and process for depositing titanium films for semiconductor devices |
US6218288B1 (en) * | 1998-05-11 | 2001-04-17 | Micron Technology, Inc. | Multiple step methods for forming conformal layers |
US6642155B1 (en) * | 1998-06-05 | 2003-11-04 | Micron Technology, Inc. | Method for applying a fluid to a rotating silicon wafer surface |
US6289842B1 (en) | 1998-06-22 | 2001-09-18 | Structured Materials Industries Inc. | Plasma enhanced chemical vapor deposition system |
KR100331545B1 (ko) * | 1998-07-22 | 2002-04-06 | 윤종용 | 다단계 화학 기상 증착 방법에 의한 다층 질화티타늄막 형성방법및 이를 이용한 반도체 소자의 제조방법 |
US6302057B1 (en) | 1998-09-15 | 2001-10-16 | Tokyo Electron Limited | Apparatus and method for electrically isolating an electrode in a PECVD process chamber |
US6486081B1 (en) | 1998-11-13 | 2002-11-26 | Applied Materials, Inc. | Gas distribution system for a CVD processing chamber |
US6355571B1 (en) * | 1998-11-17 | 2002-03-12 | Applied Materials, Inc. | Method and apparatus for reducing copper oxidation and contamination in a semiconductor device |
US6207568B1 (en) | 1998-11-27 | 2001-03-27 | Taiwan Semiconductor Manufacturing Company | Ionized metal plasma (IMP) method for forming (111) oriented aluminum containing conductor layer |
US6364954B2 (en) | 1998-12-14 | 2002-04-02 | Applied Materials, Inc. | High temperature chemical vapor deposition chamber |
US6579805B1 (en) * | 1999-01-05 | 2003-06-17 | Ronal Systems Corp. | In situ chemical generator and method |
US6499425B1 (en) * | 1999-01-22 | 2002-12-31 | Micron Technology, Inc. | Quasi-remote plasma processing method and apparatus |
US6221174B1 (en) | 1999-02-11 | 2001-04-24 | Applied Materials, Inc. | Method of performing titanium/titanium nitride integration |
US6245674B1 (en) | 1999-03-01 | 2001-06-12 | Micron Technology, Inc. | Method of forming a metal silicide comprising contact over a substrate |
US6163007A (en) * | 1999-03-19 | 2000-12-19 | Applied Materials, Inc. | Microwave plasma generating apparatus with improved heat protection of sealing O-rings |
US6173673B1 (en) | 1999-03-31 | 2001-01-16 | Tokyo Electron Limited | Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber |
JP3595190B2 (ja) * | 1999-04-16 | 2004-12-02 | 株式会社日立製作所 | 半導体の製造方法及び半導体製造装置 |
US6444556B2 (en) * | 1999-04-22 | 2002-09-03 | Micron Technology, Inc. | Chemistry for chemical vapor deposition of titanium containing films |
US6234219B1 (en) * | 1999-05-25 | 2001-05-22 | Micron Technology, Inc. | Liner for use in processing chamber |
US6169031B1 (en) * | 1999-05-28 | 2001-01-02 | National Science Council | Chemical vapor deposition for titanium metal thin film |
US6555183B2 (en) | 1999-06-11 | 2003-04-29 | Applied Materials, Inc. | Plasma treatment of a titanium nitride film formed by chemical vapor deposition |
US6548402B2 (en) | 1999-06-11 | 2003-04-15 | Applied Materials, Inc. | Method of depositing a thick titanium nitride film |
US6245192B1 (en) * | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US6335282B1 (en) * | 1999-08-26 | 2002-01-01 | Micron Technology, Inc. | Method of forming a titanium comprising layer and method of forming a conductive silicide contact |
US6364949B1 (en) * | 1999-10-19 | 2002-04-02 | Applied Materials, Inc. | 300 mm CVD chamber design for metal-organic thin film deposition |
US20030235652A1 (en) * | 1999-11-17 | 2003-12-25 | Satoshi Wakabayashi | Precoat film forming method |
KR100709801B1 (ko) * | 1999-11-17 | 2007-04-23 | 동경 엘렉트론 주식회사 | 프리코트막의 형성방법, 성막장치의 아이들링 방법,재치대 구조, 성막장치 및 성막방법 |
US6772827B2 (en) * | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6477980B1 (en) * | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6436819B1 (en) | 2000-02-01 | 2002-08-20 | Applied Materials, Inc. | Nitrogen treatment of a metal nitride/metal stack |
EP1127957A1 (en) * | 2000-02-24 | 2001-08-29 | Asm Japan K.K. | A film forming apparatus having cleaning function |
US6596343B1 (en) | 2000-04-21 | 2003-07-22 | Applied Materials, Inc. | Method and apparatus for processing semiconductor substrates with hydroxyl radicals |
US6461435B1 (en) | 2000-06-22 | 2002-10-08 | Applied Materials, Inc. | Showerhead with reduced contact area |
US6620723B1 (en) * | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7964505B2 (en) * | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US7405158B2 (en) * | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US6551929B1 (en) * | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7294563B2 (en) | 2000-08-10 | 2007-11-13 | Applied Materials, Inc. | Semiconductor on insulator vertical transistor fabrication and doping process |
US7166524B2 (en) | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US7223676B2 (en) | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
US6939434B2 (en) * | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US7303982B2 (en) | 2000-08-11 | 2007-12-04 | Applied Materials, Inc. | Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage |
US7430984B2 (en) * | 2000-08-11 | 2008-10-07 | Applied Materials, Inc. | Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements |
US7320734B2 (en) | 2000-08-11 | 2008-01-22 | Applied Materials, Inc. | Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage |
US7037813B2 (en) * | 2000-08-11 | 2006-05-02 | Applied Materials, Inc. | Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage |
US7094670B2 (en) | 2000-08-11 | 2006-08-22 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7465478B2 (en) | 2000-08-11 | 2008-12-16 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7479456B2 (en) | 2004-08-26 | 2009-01-20 | Applied Materials, Inc. | Gasless high voltage high contact force wafer contact-cooling electrostatic chuck |
US7137354B2 (en) | 2000-08-11 | 2006-11-21 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage |
US7288491B2 (en) | 2000-08-11 | 2007-10-30 | Applied Materials, Inc. | Plasma immersion ion implantation process |
JP3993972B2 (ja) * | 2000-08-25 | 2007-10-17 | 富士通株式会社 | 半導体装置の製造方法と半導体装置 |
US6365515B1 (en) | 2000-08-28 | 2002-04-02 | Micron Technology, Inc. | Chemical vapor deposition process |
TW200819555A (en) * | 2000-09-08 | 2008-05-01 | Tokyo Electron Ltd | Shower head structure, device and method for film formation, and method for cleaning |
US6573181B1 (en) * | 2000-10-26 | 2003-06-03 | Applied Materials, Inc. | Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step |
JP4720058B2 (ja) * | 2000-11-28 | 2011-07-13 | 株式会社Sumco | シリコンウェーハの製造方法 |
US20020083897A1 (en) * | 2000-12-29 | 2002-07-04 | Applied Materials, Inc. | Full glass substrate deposition in plasma enhanced chemical vapor deposition |
US6825447B2 (en) * | 2000-12-29 | 2004-11-30 | Applied Materials, Inc. | Apparatus and method for uniform substrate heating and contaminate collection |
US6765178B2 (en) | 2000-12-29 | 2004-07-20 | Applied Materials, Inc. | Chamber for uniform substrate heating |
US6998579B2 (en) | 2000-12-29 | 2006-02-14 | Applied Materials, Inc. | Chamber for uniform substrate heating |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US6660126B2 (en) | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US20020122896A1 (en) * | 2001-03-02 | 2002-09-05 | Skion Corporation | Capillary discharge plasma apparatus and method for surface treatment using the same |
US6734020B2 (en) | 2001-03-07 | 2004-05-11 | Applied Materials, Inc. | Valve control system for atomic layer deposition chamber |
US6737361B2 (en) | 2001-04-06 | 2004-05-18 | Wafermaster, Inc | Method for H2 Recycling in semiconductor processing system |
US20020197416A1 (en) * | 2001-06-21 | 2002-12-26 | Majewski Robert B. | Gas jet deposition with multiple ports |
US20070009658A1 (en) * | 2001-07-13 | 2007-01-11 | Yoo Jong H | Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process |
US7211144B2 (en) * | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
KR100427996B1 (ko) * | 2001-07-19 | 2004-04-28 | 주식회사 아이피에스 | 박막증착용 반응용기 및 그를 이용한 박막증착방법 |
US7085616B2 (en) | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
US6676760B2 (en) | 2001-08-16 | 2004-01-13 | Appiled Materials, Inc. | Process chamber having multiple gas distributors and method |
US6936906B2 (en) * | 2001-09-26 | 2005-08-30 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US7049226B2 (en) * | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US20030059538A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US6916398B2 (en) * | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
KR100501339B1 (ko) * | 2001-11-02 | 2005-07-18 | 주성엔지니어링(주) | 플라즈마 장치 |
US6699788B2 (en) * | 2001-11-13 | 2004-03-02 | Chartered Semiconductors Manufacturing Limited | Method for integrated nucleation and bulk film deposition |
US20030101935A1 (en) * | 2001-12-04 | 2003-06-05 | Walther Steven R. | Dose uniformity control for plasma doping systems |
US6729824B2 (en) | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
US6902620B1 (en) * | 2001-12-19 | 2005-06-07 | Novellus Systems, Inc. | Atomic layer deposition systems and methods |
US6620670B2 (en) | 2002-01-18 | 2003-09-16 | Applied Materials, Inc. | Process conditions and precursors for atomic layer deposition (ALD) of AL2O3 |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6827978B2 (en) * | 2002-02-11 | 2004-12-07 | Applied Materials, Inc. | Deposition of tungsten films |
US6833161B2 (en) * | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US20050081788A1 (en) * | 2002-03-15 | 2005-04-21 | Holger Jurgensen | Device for depositing thin layers on a substrate |
KR100829327B1 (ko) * | 2002-04-05 | 2008-05-13 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치 및 반응 용기 |
US7439191B2 (en) * | 2002-04-05 | 2008-10-21 | Applied Materials, Inc. | Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications |
US6720027B2 (en) | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US6846516B2 (en) * | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US6869838B2 (en) * | 2002-04-09 | 2005-03-22 | Applied Materials, Inc. | Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications |
US20030194825A1 (en) * | 2002-04-10 | 2003-10-16 | Kam Law | Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications |
US6875271B2 (en) | 2002-04-09 | 2005-04-05 | Applied Materials, Inc. | Simultaneous cyclical deposition in different processing regions |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
KR100439948B1 (ko) * | 2002-04-19 | 2004-07-12 | 주식회사 아이피에스 | 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법 |
WO2003100817A1 (en) | 2002-05-23 | 2003-12-04 | Lam Research Corporation | Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode |
US20030236489A1 (en) | 2002-06-21 | 2003-12-25 | Baxter International, Inc. | Method and apparatus for closed-loop flow control system |
AU2003245592A1 (en) * | 2002-06-21 | 2004-01-06 | Applied Materials, Inc. | Transfer chamber for vacuum processing system |
JP4540926B2 (ja) * | 2002-07-05 | 2010-09-08 | 忠弘 大見 | プラズマ処理装置 |
US7543547B1 (en) * | 2002-07-31 | 2009-06-09 | Lam Research Corporation | Electrode assembly for plasma processing apparatus |
US20040065255A1 (en) * | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US20070051471A1 (en) * | 2002-10-04 | 2007-03-08 | Applied Materials, Inc. | Methods and apparatus for stripping |
JP3946130B2 (ja) * | 2002-11-20 | 2007-07-18 | 東京エレクトロン株式会社 | プラズマ処理装置およびプラズマ処理方法 |
US7262133B2 (en) | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
US20040238008A1 (en) * | 2003-03-12 | 2004-12-02 | Savas Stephen E. | Systems and methods for cleaning semiconductor substrates using a reduced volume of liquid |
US7375035B2 (en) | 2003-04-29 | 2008-05-20 | Ronal Systems Corporation | Host and ancillary tool interface methodology for distributed processing |
JP2007525822A (ja) * | 2003-05-30 | 2007-09-06 | アヴィザ テクノロジー インコーポレイテッド | ガス分配システム |
KR20060079144A (ko) * | 2003-06-18 | 2006-07-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 배리어 물질의 원자층 증착 |
US7429714B2 (en) * | 2003-06-20 | 2008-09-30 | Ronal Systems Corporation | Modular ICP torch assembly |
US7071118B2 (en) * | 2003-11-12 | 2006-07-04 | Veeco Instruments, Inc. | Method and apparatus for fabricating a conformal thin film on a substrate |
JP4698251B2 (ja) * | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | 可動又は柔軟なシャワーヘッド取り付け |
US7244474B2 (en) | 2004-03-26 | 2007-07-17 | Applied Materials, Inc. | Chemical vapor deposition plasma process using an ion shower grid |
US7695590B2 (en) | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US7291360B2 (en) | 2004-03-26 | 2007-11-06 | Applied Materials, Inc. | Chemical vapor deposition plasma process using plural ion shower grids |
US20050233555A1 (en) * | 2004-04-19 | 2005-10-20 | Nagarajan Rajagopalan | Adhesion improvement for low k dielectrics to conductive materials |
US7229911B2 (en) * | 2004-04-19 | 2007-06-12 | Applied Materials, Inc. | Adhesion improvement for low k dielectrics to conductive materials |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US8328939B2 (en) | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US8074599B2 (en) | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
CN101866828B (zh) * | 2004-06-02 | 2013-03-20 | 应用材料公司 | 电子装置制造室及其形成方法 |
US7784164B2 (en) * | 2004-06-02 | 2010-08-31 | Applied Materials, Inc. | Electronic device manufacturing chamber method |
US20070181256A1 (en) * | 2004-07-20 | 2007-08-09 | Masaru Sugata | Plasma processing unit |
US8058156B2 (en) | 2004-07-20 | 2011-11-15 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having multiple ion shower grids |
US7767561B2 (en) | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
US20060021703A1 (en) * | 2004-07-29 | 2006-02-02 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US7323400B2 (en) * | 2004-08-30 | 2008-01-29 | Micron Technology, Inc. | Plasma processing, deposition and ALD methods |
US7429410B2 (en) * | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
US7531469B2 (en) * | 2004-10-23 | 2009-05-12 | Applied Materials, Inc. | Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current |
US7666464B2 (en) | 2004-10-23 | 2010-02-23 | Applied Materials, Inc. | RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor |
WO2006088024A1 (ja) * | 2005-02-16 | 2006-08-24 | Ulvac, Inc. | 巻取式真空成膜装置 |
US8251012B2 (en) * | 2005-03-01 | 2012-08-28 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and semiconductor device producing method |
TWI328050B (en) * | 2005-05-10 | 2010-08-01 | Ulvac Inc | Reeling type plasma cvd device |
US7422775B2 (en) | 2005-05-17 | 2008-09-09 | Applied Materials, Inc. | Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US7109098B1 (en) | 2005-05-17 | 2006-09-19 | Applied Materials, Inc. | Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US7312162B2 (en) | 2005-05-17 | 2007-12-25 | Applied Materials, Inc. | Low temperature plasma deposition process for carbon layer deposition |
US7323401B2 (en) | 2005-08-08 | 2008-01-29 | Applied Materials, Inc. | Semiconductor substrate process using a low temperature deposited carbon-containing hard mask |
US7429532B2 (en) | 2005-08-08 | 2008-09-30 | Applied Materials, Inc. | Semiconductor substrate process using an optically writable carbon-containing mask |
US7335611B2 (en) | 2005-08-08 | 2008-02-26 | Applied Materials, Inc. | Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer |
US7312148B2 (en) | 2005-08-08 | 2007-12-25 | Applied Materials, Inc. | Copper barrier reflow process employing high speed optical annealing |
US20070044714A1 (en) * | 2005-08-31 | 2007-03-01 | Applied Materials, Inc. | Method and apparatus for maintaining a cross sectional shape of a diffuser during processing |
US7641762B2 (en) * | 2005-09-02 | 2010-01-05 | Applied Materials, Inc. | Gas sealing skirt for suspended showerhead in process chamber |
US20070116888A1 (en) * | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Method and system for performing different deposition processes within a single chamber |
DE102005056322A1 (de) * | 2005-11-25 | 2007-06-06 | Aixtron Ag | VPE-Reaktor mit koaxial zueinander angeordneten Quellgasrohren |
JP2007149590A (ja) * | 2005-11-30 | 2007-06-14 | Toshiba Corp | ラジカル処理装置 |
KR100668745B1 (ko) * | 2005-12-29 | 2007-01-29 | 주식회사 하이닉스반도체 | 반도체 소자의 트랜지스터 형성 방법 |
US20080044638A1 (en) * | 2006-03-02 | 2008-02-21 | Fred Ratel | Nanostructured Metal Oxides |
US7740705B2 (en) * | 2006-03-08 | 2010-06-22 | Tokyo Electron Limited | Exhaust apparatus configured to reduce particle contamination in a deposition system |
US8176871B2 (en) * | 2006-03-28 | 2012-05-15 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus |
KR101501426B1 (ko) * | 2006-06-02 | 2015-03-11 | 어플라이드 머티어리얼스, 인코포레이티드 | 차압 측정들에 의한 가스 유동 제어 |
JP5069427B2 (ja) * | 2006-06-13 | 2012-11-07 | 北陸成型工業株式会社 | シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法 |
US20080087641A1 (en) * | 2006-10-16 | 2008-04-17 | Lam Research Corporation | Components for a plasma processing apparatus |
US7776178B2 (en) * | 2006-10-25 | 2010-08-17 | Applied Materials, Inc. | Suspension for showerhead in process chamber |
US20080099147A1 (en) * | 2006-10-26 | 2008-05-01 | Nyi Oo Myo | Temperature controlled multi-gas distribution assembly |
CN101583736A (zh) * | 2007-01-19 | 2009-11-18 | 应用材料股份有限公司 | 浸没式等离子体室 |
US20080206987A1 (en) * | 2007-01-29 | 2008-08-28 | Gelatos Avgerinos V | Process for tungsten nitride deposition by a temperature controlled lid assembly |
US7871828B2 (en) * | 2007-02-06 | 2011-01-18 | Applied Materials, Inc. | In-situ dose monitoring using optical emission spectroscopy |
WO2008096981A1 (en) * | 2007-02-06 | 2008-08-14 | Sosul Co., Ltd. | Apparatus for forming a layer |
US8142606B2 (en) * | 2007-06-07 | 2012-03-27 | Applied Materials, Inc. | Apparatus for depositing a uniform silicon film and methods for manufacturing the same |
US20080317973A1 (en) * | 2007-06-22 | 2008-12-25 | White John M | Diffuser support |
KR100870567B1 (ko) | 2007-06-27 | 2008-11-27 | 삼성전자주식회사 | 플라즈마를 이용한 이온 도핑 방법 및 플라즈마 이온 도핑장치 |
KR101437522B1 (ko) * | 2007-09-05 | 2014-09-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 플라즈마 반응기 챔버에서 웨이퍼 에지 가스 주입부를 갖는캐소드 라이너 |
JP5568212B2 (ja) * | 2007-09-19 | 2014-08-06 | 株式会社日立国際電気 | 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法 |
US20100199914A1 (en) * | 2007-10-10 | 2010-08-12 | Michael Iza | Chemical vapor deposition reactor chamber |
US7713757B2 (en) * | 2008-03-14 | 2010-05-11 | Applied Materials, Inc. | Method for measuring dopant concentration during plasma ion implantation |
JP5661622B2 (ja) * | 2008-07-07 | 2015-01-28 | ラム リサーチ コーポレーションLam Research Corporation | プラズマ処理チャンバで用いるための真空ギャップを備えたプラズマ対向プローブ装置 |
JP5734185B2 (ja) | 2008-07-07 | 2015-06-17 | ラム リサーチ コーポレーションLam Research Corporation | プラズマ処理チャンバ内のプラズマ不安定性事象を検出するための構成、及び、プラズマ不安定性事象を検出する方法 |
US8465802B2 (en) * | 2008-07-17 | 2013-06-18 | Gang Li | Chemical vapor deposition reactor and method |
AU2009202611B2 (en) * | 2008-07-31 | 2012-01-19 | Li, Gang Dr | Chemical Vapor Deposition Reactor and Method |
EP2151509A1 (en) * | 2008-08-04 | 2010-02-10 | Applied Materials, Inc. | Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method |
US20100037824A1 (en) * | 2008-08-13 | 2010-02-18 | Synos Technology, Inc. | Plasma Reactor Having Injector |
US8770142B2 (en) * | 2008-09-17 | 2014-07-08 | Veeco Ald Inc. | Electrode for generating plasma and plasma generator |
US8851012B2 (en) * | 2008-09-17 | 2014-10-07 | Veeco Ald Inc. | Vapor deposition reactor using plasma and method for forming thin film using the same |
KR101349266B1 (ko) * | 2009-01-09 | 2014-01-10 | 가부시키가이샤 아루박 | 플라즈마 처리 장치 및 마이크로 크리스탈 실리콘의 성막 방법 |
US8871628B2 (en) * | 2009-01-21 | 2014-10-28 | Veeco Ald Inc. | Electrode structure, device comprising the same and method for forming electrode structure |
US9186742B2 (en) * | 2009-01-30 | 2015-11-17 | General Electric Company | Microwave brazing process and assemblies and materials therefor |
JP5643232B2 (ja) * | 2009-02-13 | 2014-12-17 | ガリウム エンタープライジズ プロプライエタリー リミテッド | 金属窒化膜を蒸着させるための装置及び方法 |
US8257799B2 (en) * | 2009-02-23 | 2012-09-04 | Synos Technology, Inc. | Method for forming thin film using radicals generated by plasma |
JP5562723B2 (ja) * | 2009-05-29 | 2014-07-30 | 富士フイルム株式会社 | 成膜方法、成膜装置、およびガスバリアフィルムの製造方法 |
US8758512B2 (en) * | 2009-06-08 | 2014-06-24 | Veeco Ald Inc. | Vapor deposition reactor and method for forming thin film |
KR200457817Y1 (ko) * | 2009-12-28 | 2012-01-05 | 주식회사 케이씨텍 | 원자층 증착장치의 샤워헤드 유닛 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US20120021252A1 (en) * | 2010-07-22 | 2012-01-26 | Synos Technology, Inc. | Treating Surface of Substrate Using Inert Gas Plasma in Atomic Layer Deposition |
US8771791B2 (en) | 2010-10-18 | 2014-07-08 | Veeco Ald Inc. | Deposition of layer using depositing apparatus with reciprocating susceptor |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8877300B2 (en) | 2011-02-16 | 2014-11-04 | Veeco Ald Inc. | Atomic layer deposition using radicals of gas mixture |
US9163310B2 (en) | 2011-02-18 | 2015-10-20 | Veeco Ald Inc. | Enhanced deposition of layer on substrate using radicals |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
CN107039484B (zh) * | 2011-06-27 | 2020-09-15 | 薄膜电子有限公司 | 具有横向尺寸改变吸收缓冲层的电子部件及其生产方法 |
WO2013032406A1 (en) * | 2011-09-01 | 2013-03-07 | National University Of Singapore | A system and a method for depositing a layer on a substrate |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US10224182B2 (en) | 2011-10-17 | 2019-03-05 | Novellus Systems, Inc. | Mechanical suppression of parasitic plasma in substrate processing chamber |
KR101905618B1 (ko) * | 2012-03-20 | 2018-10-10 | 마퍼 리쏘그라피 아이피 비.브이. | 라디칼을 운반하기 위한 배열체 및 방법 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US20140099794A1 (en) * | 2012-09-21 | 2014-04-10 | Applied Materials, Inc. | Radical chemistry modulation and control using multiple flow pathways |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9449795B2 (en) * | 2013-02-28 | 2016-09-20 | Novellus Systems, Inc. | Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US10297442B2 (en) | 2013-05-31 | 2019-05-21 | Lam Research Corporation | Remote plasma based deposition of graded or multi-layered silicon carbide film |
US20150000597A1 (en) * | 2013-07-01 | 2015-01-01 | Applied Materials, Inc. | Reduced zinc showerhead |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
JP6087236B2 (ja) * | 2013-07-24 | 2017-03-01 | 東京エレクトロン株式会社 | 成膜方法 |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
JP2015056632A (ja) * | 2013-09-13 | 2015-03-23 | 東京エレクトロン株式会社 | シリコン酸化膜の製造方法 |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US20150129131A1 (en) * | 2013-11-14 | 2015-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor processing apparatus and pre-clean system |
US8841574B1 (en) * | 2013-11-18 | 2014-09-23 | Georges J. Gorin | Plasma extension and concentration apparatus and method |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
CN105088334B (zh) * | 2014-04-28 | 2018-01-09 | 北京北方华创微电子装备有限公司 | 顶盖装置及工艺设备 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9490116B2 (en) * | 2015-01-09 | 2016-11-08 | Applied Materials, Inc. | Gate stack materials for semiconductor applications for lithographic overlay improvement |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
JP6054470B2 (ja) | 2015-05-26 | 2016-12-27 | 株式会社日本製鋼所 | 原子層成長装置 |
JP6054471B2 (ja) | 2015-05-26 | 2016-12-27 | 株式会社日本製鋼所 | 原子層成長装置および原子層成長装置排気部 |
JP5990626B1 (ja) * | 2015-05-26 | 2016-09-14 | 株式会社日本製鋼所 | 原子層成長装置 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
KR20180094109A (ko) * | 2016-01-07 | 2018-08-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 원격 플라즈마 소스 및 dc 전극을 구비하는 원자 층 에칭 시스템 |
US20170211185A1 (en) * | 2016-01-22 | 2017-07-27 | Applied Materials, Inc. | Ceramic showerhead with embedded conductive layers |
US20170241019A1 (en) * | 2016-02-22 | 2017-08-24 | Ultratech, Inc. | Pe-ald methods with reduced quartz-based contamination |
CN107369602B (zh) * | 2016-05-12 | 2019-02-19 | 北京北方华创微电子装备有限公司 | 反应腔室及半导体加工设备 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
KR102441291B1 (ko) * | 2016-10-27 | 2022-09-08 | 코팅 플라스마 이노베이션 | 제어된 분위기에서 이동하는 기판의 표면을 처리하는 설비 및 그의 크기를 규정하는 방법 |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
KR102384805B1 (ko) * | 2017-03-30 | 2022-04-08 | 인텔 코포레이션 | 랩-어라운드 콘택들을 제조하기 위한 금속 화학 기상 증착 접근법들 및 결과 구조들 |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US11201036B2 (en) | 2017-06-09 | 2021-12-14 | Beijing E-Town Semiconductor Technology Co., Ltd | Plasma strip tool with uniformity control |
US20180358204A1 (en) * | 2017-06-09 | 2018-12-13 | Mattson Technology, Inc. | Plasma Strip Tool With Multiple Gas Injection Zones |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
JP2019054189A (ja) * | 2017-09-19 | 2019-04-04 | 東芝メモリ株式会社 | 成膜装置および成膜方法 |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR102249404B1 (ko) | 2019-06-19 | 2021-05-07 | 선문대학교 산학협력단 | 전자기장을 이용한 산소분리장치 |
CN112216586B (zh) * | 2019-07-12 | 2023-03-10 | 中微半导体设备(上海)股份有限公司 | 实现均匀排气的双工位处理器及等离子体处理设备 |
EP4173060A1 (en) | 2020-06-25 | 2023-05-03 | 6K Inc. | Microcomposite alloy structure |
US20230005765A1 (en) * | 2021-07-02 | 2023-01-05 | Applied Materials, Inc. | Semiconductor processing chamber adapter |
US20230033058A1 (en) * | 2021-07-29 | 2023-02-02 | Applied Materials, Inc. | Reactor with inductively coupled plasma source |
US12094688B2 (en) * | 2022-08-25 | 2024-09-17 | 6K Inc. | Plasma apparatus and methods for processing feed material utilizing a powder ingress preventor (PIP) |
US12195338B2 (en) | 2022-12-15 | 2025-01-14 | 6K Inc. | Systems, methods, and device for pyrolysis of methane in a microwave plasma for hydrogen and structured carbon powder production |
Family Cites Families (149)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4006073A (en) * | 1975-04-03 | 1977-02-01 | The United States Of America As Represented By The United States Energy Research And Development Administration | Thin film deposition by electric and magnetic crossed-field diode sputtering |
US4138306A (en) * | 1976-08-31 | 1979-02-06 | Tokyo Shibaura Electric Co., Ltd. | Apparatus for the treatment of semiconductors |
JPS5391664A (en) * | 1977-01-24 | 1978-08-11 | Hitachi Ltd | Plasma cvd device |
JPS53112066A (en) * | 1977-03-11 | 1978-09-30 | Fujitsu Ltd | Plasma treatment apparatus |
US4264393A (en) * | 1977-10-31 | 1981-04-28 | Motorola, Inc. | Reactor apparatus for plasma etching or deposition |
JPS5518403A (en) * | 1978-07-25 | 1980-02-08 | Toshiba Corp | Formation of organic thin film |
US4410758A (en) * | 1979-03-29 | 1983-10-18 | Solar Voltaic, Inc. | Photovoltaic products and processes |
US4282267A (en) * | 1979-09-20 | 1981-08-04 | Western Electric Co., Inc. | Methods and apparatus for generating plasmas |
JPS5660447A (en) * | 1979-10-23 | 1981-05-25 | Toshiba Corp | Forming method of organic photoconductive film |
JPS5691437A (en) * | 1979-12-26 | 1981-07-24 | Nippon Hoso Kyokai <Nhk> | Preparation of metallized element |
US4718976A (en) * | 1982-03-31 | 1988-01-12 | Fujitsu Limited | Process and apparatus for plasma treatment |
US4504518A (en) * | 1982-09-24 | 1985-03-12 | Energy Conversion Devices, Inc. | Method of making amorphous semiconductor alloys and devices using microwave energy |
US4524718A (en) * | 1982-11-22 | 1985-06-25 | Gordon Roy G | Reactor for continuous coating of glass |
JPS59159167A (ja) * | 1983-03-01 | 1984-09-08 | Zenko Hirose | アモルフアスシリコン膜の形成方法 |
DE3429899A1 (de) * | 1983-08-16 | 1985-03-07 | Canon K.K., Tokio/Tokyo | Verfahren zur bildung eines abscheidungsfilms |
US4557943A (en) * | 1983-10-31 | 1985-12-10 | Advanced Semiconductor Materials America, Inc. | Metal-silicide deposition using plasma-enhanced chemical vapor deposition |
US4618542A (en) * | 1983-11-21 | 1986-10-21 | Tdk Corporation | Magnetic thin film |
JPS60204880A (ja) * | 1984-03-27 | 1985-10-16 | Matsushita Electric Ind Co Ltd | 絶縁膜の製造方法 |
JPS60221566A (ja) * | 1984-04-18 | 1985-11-06 | Agency Of Ind Science & Technol | 薄膜形成装置 |
JPS6141763A (ja) * | 1984-04-24 | 1986-02-28 | Anelva Corp | 薄膜作成装置 |
US4678679A (en) * | 1984-06-25 | 1987-07-07 | Energy Conversion Devices, Inc. | Continuous deposition of activated process gases |
JPS6126774A (ja) * | 1984-07-16 | 1986-02-06 | Canon Inc | 非晶質シリコン膜形成装置 |
US4759947A (en) * | 1984-10-08 | 1988-07-26 | Canon Kabushiki Kaisha | Method for forming deposition film using Si compound and active species from carbon and halogen compound |
DE3437120A1 (de) * | 1984-10-10 | 1986-04-10 | Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt | Verfahren zum herstellen von halbleiterschichten auf halbleiterkoerpern oder zur eindiffusion von stoerstellen im halbleiterkoerper |
US4717584A (en) * | 1985-02-07 | 1988-01-05 | Matsushita Electric Industrial Co., Ltd. | Method of manufacturing a magnetic thin film |
US4717585A (en) * | 1985-02-09 | 1988-01-05 | Canon Kabushiki Kaisha | Process for forming deposited film |
US4716048A (en) * | 1985-02-12 | 1987-12-29 | Canon Kabushiki Kaisha | Process for forming deposited film |
US5178904A (en) * | 1985-02-16 | 1993-01-12 | Canon Kabushiki Kaisha | Process for forming deposited film from a group II through group VI metal hydrocarbon compound |
US4728528A (en) * | 1985-02-18 | 1988-03-01 | Canon Kabushiki Kaisha | Process for forming deposited film |
US4772486A (en) * | 1985-02-18 | 1988-09-20 | Canon Kabushiki Kaisha | Process for forming a deposited film |
JPS61189626A (ja) * | 1985-02-18 | 1986-08-23 | Canon Inc | 堆積膜形成法 |
US4726963A (en) * | 1985-02-19 | 1988-02-23 | Canon Kabushiki Kaisha | Process for forming deposited film |
US4784874A (en) * | 1985-02-20 | 1988-11-15 | Canon Kabushiki Kaisha | Process for forming deposited film |
US4778692A (en) * | 1985-02-20 | 1988-10-18 | Canon Kabushiki Kaisha | Process for forming deposited film |
JPS61223756A (ja) * | 1985-03-28 | 1986-10-04 | Canon Inc | 複写装置 |
US4818563A (en) * | 1985-02-21 | 1989-04-04 | Canon Kabushiki Kaisha | Process for forming deposited film |
US4853251A (en) * | 1985-02-22 | 1989-08-01 | Canon Kabushiki Kaisha | Process for forming deposited film including carbon as a constituent element |
US4801468A (en) * | 1985-02-25 | 1989-01-31 | Canon Kabushiki Kaisha | Process for forming deposited film |
JP2537175B2 (ja) * | 1985-03-27 | 1996-09-25 | キヤノン株式会社 | 機能性堆積膜の製造装置 |
JPH07101751B2 (ja) * | 1985-03-28 | 1995-11-01 | キヤノン株式会社 | 光起電力素子の製造方法 |
GB8523071D0 (en) | 1985-09-18 | 1985-10-23 | Crutch S A | Detector/indicator of visible information |
NL8602356A (nl) | 1985-10-07 | 1987-05-04 | Epsilon Ltd Partnership | Inrichting en werkwijze voor een axiaal symmetrische reactor voor het chemische uit damp neerslaan. |
US4798165A (en) * | 1985-10-07 | 1989-01-17 | Epsilon | Apparatus for chemical vapor deposition using an axially symmetric gas flow |
JPH0645890B2 (ja) * | 1985-12-18 | 1994-06-15 | キヤノン株式会社 | 堆積膜形成法 |
JPH084071B2 (ja) * | 1985-12-28 | 1996-01-17 | キヤノン株式会社 | 堆積膜形成法 |
CH671407A5 (zh) | 1986-06-13 | 1989-08-31 | Balzers Hochvakuum | |
US4886683A (en) * | 1986-06-20 | 1989-12-12 | Raytheon Company | Low temperature metalorganic chemical vapor depostion growth of group II-VI semiconductor materials |
US4800105A (en) | 1986-07-22 | 1989-01-24 | Nihon Shinku Gijutsu Kabushiki Kaisha | Method of forming a thin film by chemical vapor deposition |
US5126169A (en) * | 1986-08-28 | 1992-06-30 | Canon Kabushiki Kaisha | Process for forming a deposited film from two mutually reactive active species |
US4954397A (en) * | 1986-10-27 | 1990-09-04 | Canon Kabushiki Kaisha | Light receiving member having a divided-functionally structured light receiving layer having CGL and CTL for use in electrophotography |
DE3742110C2 (de) * | 1986-12-12 | 1996-02-22 | Canon Kk | Verfahren zur Bildung funktioneller aufgedampfter Filme durch ein chemisches Mikrowellen-Plasma-Aufdampfverfahren |
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
KR960015609B1 (ko) * | 1987-01-19 | 1996-11-18 | 미쓰다 가쓰시게 | 플라즈마 처리장치 및 방법 |
KR900008505B1 (ko) * | 1987-02-24 | 1990-11-24 | 세미콘덕터 에너지 라보라터리 캄파니 리미티드 | 탄소 석출을 위한 마이크로파 강화 cvd 방법 |
JPS63233564A (ja) * | 1987-03-23 | 1988-09-29 | Canon Inc | 接合型トランジスタの製造法 |
US4992839A (en) * | 1987-03-23 | 1991-02-12 | Canon Kabushiki Kaisha | Field effect thin film transistor having a semiconductor layer formed from a polycrystal silicon film containing hydrogen atom and halogen atom and process for the preparation of the same |
US4946514A (en) * | 1987-03-27 | 1990-08-07 | Canon Kabushiki Kaisha | Thin film photoelectromotive force element having multi-thin films stacked semiconductor layer |
US4913929A (en) * | 1987-04-21 | 1990-04-03 | The Board Of Trustees Of The Leland Stanford Junior University | Thermal/microwave remote plasma multiprocessing reactor and method of use |
JPS6424468A (en) * | 1987-07-21 | 1989-01-26 | Canon Kk | Functional deposited film |
CA1303194C (en) * | 1987-07-21 | 1992-06-09 | Katsumi Nakagawa | Photovoltaic element with a semiconductor layer comprising non-single crystal material containing at least zn, se and h in an amount of 1 to40 atomic % |
JPS6436086A (en) * | 1987-07-31 | 1989-02-07 | Canon Kk | Functional deposition film |
US4854263B1 (en) * | 1987-08-14 | 1997-06-17 | Applied Materials Inc | Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films |
US4885067A (en) * | 1987-08-31 | 1989-12-05 | Santa Barbara Research Center | In-situ generation of volatile compounds for chemical vapor deposition |
US4888062A (en) * | 1987-08-31 | 1989-12-19 | Canon Kabushiki Kaisha | Pin junction photovoltaic element having I-type semiconductor layer comprising non-single crystal material containing at least Zn, Se and H in an amount of 1 to 4 atomic % |
JPS6461396A (en) * | 1987-09-01 | 1989-03-08 | Idemitsu Petrochemical Co | Synthesis of diamond and installation therefor |
US4870030A (en) * | 1987-09-24 | 1989-09-26 | Research Triangle Institute, Inc. | Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer |
US5018479A (en) * | 1987-09-24 | 1991-05-28 | Reserach Triangle Institute, Inc. | Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer |
US5180435A (en) | 1987-09-24 | 1993-01-19 | Research Triangle Institute, Inc. | Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer |
JPH0192375A (ja) * | 1987-10-05 | 1989-04-11 | Canon Inc | マイクロ波プラズマcvd法による機能性堆積膜形成装置 |
US5008726A (en) * | 1987-11-20 | 1991-04-16 | Canon Kabushiki Kaisha | PIN junction photovoltaic element containing Zn, Se, Te, H in an amount of 1 to 4 atomic % |
US4926229A (en) * | 1987-11-20 | 1990-05-15 | Canon Kabushiki Kaisha | Pin junction photovoltaic element with P or N-type semiconductor layer comprising non-single crystal material containing Zn, Se, H in an amount of 1 to 4 atomic % and a dopant and I-type semiconductor layer comprising non-single crystal Si(H,F) material |
US4792378A (en) * | 1987-12-15 | 1988-12-20 | Texas Instruments Incorporated | Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor |
JPH01198481A (ja) * | 1988-02-01 | 1989-08-10 | Canon Inc | マイクロ波プラズマcvd法による堆積膜形成法 |
US4908330A (en) * | 1988-02-01 | 1990-03-13 | Canon Kabushiki Kaisha | Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms by microwave plasma chemical vapor deposition process |
JPH01198482A (ja) * | 1988-02-01 | 1989-08-10 | Canon Inc | マイクロ波プラズマcvd法による堆積膜形成法 |
US4971832A (en) * | 1988-03-02 | 1990-11-20 | Canon Kabushiki Kaisha | HR-CVD process for the formation of a functional deposited film on a substrate with application of a voltage in the range of -5 to -100 V |
JP2776826B2 (ja) * | 1988-04-15 | 1998-07-16 | 株式会社日立製作所 | 半導体装置およびその製造方法 |
JPH01298164A (ja) * | 1988-05-25 | 1989-12-01 | Canon Inc | 機能性堆積膜の形成方法 |
JPH01296611A (ja) * | 1988-05-25 | 1989-11-30 | Canon Inc | 半導体薄膜堆積法 |
US5093149A (en) * | 1988-05-26 | 1992-03-03 | Energy Conversion Devices, Inc. | Method of depositing directly activated species onto a remotely located substrate |
US4937094A (en) * | 1988-05-26 | 1990-06-26 | Energy Conversion Devices, Inc. | Method of creating a high flux of activated species for reaction with a remotely located substrate |
JPH01306565A (ja) * | 1988-06-02 | 1989-12-11 | Canon Inc | 堆積膜形成方法 |
US4992305A (en) * | 1988-06-22 | 1991-02-12 | Georgia Tech Research Corporation | Chemical vapor deposition of transistion metals |
JPH0215174A (ja) | 1988-07-01 | 1990-01-18 | Canon Inc | マイクロ波プラズマcvd装置 |
DE354669T1 (de) * | 1988-07-20 | 1990-11-08 | Hashimoto Chemical Industries Co., Ltd., Sakai, Osaka | Einrichtung und verfahren zum trockenaetzen und generator zum erzeugen von wasserfreier, verduennter fluss-saeure, die dafuer benoetigt wird. |
US5306699A (en) * | 1988-08-31 | 1994-04-26 | Superconductor Technologies, Inc. | Reactor vessel for manufacture of superconducting films |
DE3926023A1 (de) * | 1988-09-06 | 1990-03-15 | Schott Glaswerke | Cvd-beschichtungsverfahren zur herstellung von schichten und vorrichtung zur durchfuehrung des verfahrens |
JPH02217475A (ja) * | 1989-02-16 | 1990-08-30 | Fujitsu Ltd | 薄膜形成装置 |
JP2730693B2 (ja) * | 1988-09-14 | 1998-03-25 | 住友電気工業株式会社 | 薄膜形成法 |
KR940003787B1 (ko) * | 1988-09-14 | 1994-05-03 | 후지쓰 가부시끼가이샤 | 박막 형성장치 및 방법 |
JP2657531B2 (ja) * | 1988-09-20 | 1997-09-24 | 富士通株式会社 | アモルファスシリコン膜の形成方法 |
JPH02114530A (ja) * | 1988-10-25 | 1990-04-26 | Mitsubishi Electric Corp | 薄膜形成装置 |
JP2717583B2 (ja) * | 1988-11-04 | 1998-02-18 | キヤノン株式会社 | 積層型光起電力素子 |
US5178905A (en) * | 1988-11-24 | 1993-01-12 | Canon Kabushiki Kaisha | Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state |
JPH02148715A (ja) * | 1988-11-29 | 1990-06-07 | Canon Inc | 半導体デバイスの連続形成装置 |
US5087542A (en) * | 1988-12-27 | 1992-02-11 | Canon Kabushiki Kaisha | Electrophotographic image-forming method wherein an amorphous silicon light receiving member with a latent image support layer and a developed image support layer and fine particle insulating toner are used |
JP2829653B2 (ja) * | 1989-01-21 | 1998-11-25 | キヤノン株式会社 | 光起電力素子 |
US5007971A (en) * | 1989-01-21 | 1991-04-16 | Canon Kabushiki Kaisha | Pin heterojunction photovoltaic elements with polycrystal BP(H,F) semiconductor film |
JPH02192771A (ja) * | 1989-01-21 | 1990-07-30 | Canon Inc | 光起電力素子 |
US5002618A (en) * | 1989-01-21 | 1991-03-26 | Canon Kabushiki Kaisha | Pin heterojunction photovoltaic elements with polycrystal BAs(H,F) semiconductor film |
US5002617A (en) * | 1989-01-21 | 1991-03-26 | Canon Kabushiki Kaisha | Pin heterojunction photovoltaic elements with polycrystal AlAs(H,F) semiconductor film |
US4888088A (en) * | 1989-03-06 | 1989-12-19 | Tegal Corporation | Ignitor for a microwave sustained plasma |
WO1990012126A1 (en) * | 1989-03-31 | 1990-10-18 | Canon Kabushiki Kaisha | Method of forming polycrystalline film by chemical vapor deposition |
JPH02258689A (ja) | 1989-03-31 | 1990-10-19 | Canon Inc | 結晶質薄膜の形成方法 |
US5017403A (en) * | 1989-04-13 | 1991-05-21 | Massachusetts Institute Of Technology | Process for forming planarized films |
US5093150A (en) * | 1989-04-20 | 1992-03-03 | Alps Electric Co., Ltd. | Synthesis method by plasma chemical vapor deposition |
DE3914065A1 (de) * | 1989-04-28 | 1990-10-31 | Leybold Ag | Vorrichtung zur durchfuehrung von plasma-aetzverfahren |
US4987856A (en) * | 1989-05-22 | 1991-01-29 | Advanced Semiconductor Materials America, Inc. | High throughput multi station processor for multiple single wafers |
US5130170A (en) * | 1989-06-28 | 1992-07-14 | Canon Kabushiki Kaisha | Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation |
JPH03193880A (ja) * | 1989-08-03 | 1991-08-23 | Mikakutou Seimitsu Kogaku Kenkyusho:Kk | 高圧力下でのマイクロ波プラズマcvdによる高速成膜方法及びその装置 |
JPH0394069A (ja) | 1989-09-05 | 1991-04-18 | Mitsubishi Electric Corp | 薄膜形成装置 |
US5139825A (en) * | 1989-11-30 | 1992-08-18 | President And Fellows Of Harvard College | Process for chemical vapor deposition of transition metal nitrides |
US5220181A (en) | 1989-12-11 | 1993-06-15 | Canon Kabushiki Kaisha | Photovoltaic element of junction type with an organic semiconductor layer formed of a polysilane compound |
JPH088243B2 (ja) | 1989-12-13 | 1996-01-29 | 三菱電機株式会社 | 表面クリーニング装置及びその方法 |
GB2241250A (en) * | 1990-01-26 | 1991-08-28 | Fuji Electric Co Ltd | RF plasma CVD employing an electrode with a shower supply surface |
KR0184279B1 (ko) * | 1990-01-29 | 1999-04-15 | 미다 가쓰시게 | 금속 또는 금속실리사이드막의 형성방법 |
US4977106A (en) * | 1990-05-01 | 1990-12-11 | Texas Instruments Incorporated | Tin chemical vapor deposition using TiCl4 and SiH4 |
DE4016765A1 (de) | 1990-05-25 | 1991-11-28 | Philips Patentverwaltung | Cvd-verfahren zur beschichtung ausgedehnter substrate |
FR2664294B1 (fr) | 1990-07-06 | 1992-10-23 | Plasmametal | Procede de metallisation d'une surface. |
US5085885A (en) * | 1990-09-10 | 1992-02-04 | University Of Delaware | Plasma-induced, in-situ generation, transport and use or collection of reactive precursors |
US5052339A (en) * | 1990-10-16 | 1991-10-01 | Air Products And Chemicals, Inc. | Radio frequency plasma enhanced chemical vapor deposition process and reactor |
KR100228259B1 (ko) | 1990-10-24 | 1999-11-01 | 고지마 마따오 | 박막의 형성방법 및 반도체장치 |
JP3013455B2 (ja) | 1991-02-07 | 2000-02-28 | 日本電気株式会社 | 酸化タンタル膜のプラズマ化学気相成長法 |
JP2939355B2 (ja) | 1991-04-22 | 1999-08-25 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US5252134A (en) * | 1991-05-31 | 1993-10-12 | Stauffer Craig M | Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing |
US5260236A (en) | 1991-06-07 | 1993-11-09 | Intel Corporation | UV transparent oxynitride deposition in single wafer PECVD system |
US5173327A (en) * | 1991-06-18 | 1992-12-22 | Micron Technology, Inc. | LPCVD process for depositing titanium films for semiconductor devices |
US5268034A (en) | 1991-06-25 | 1993-12-07 | Lsi Logic Corporation | Fluid dispersion head for CVD appratus |
US5308655A (en) | 1991-08-16 | 1994-05-03 | Materials Research Corporation | Processing for forming low resistivity titanium nitride films |
US5279857A (en) | 1991-08-16 | 1994-01-18 | Materials Research Corporation | Process for forming low resistivity titanium nitride films |
JP2989063B2 (ja) | 1991-12-12 | 1999-12-13 | キヤノン株式会社 | 薄膜形成装置および薄膜形成方法 |
US5434110A (en) | 1992-06-15 | 1995-07-18 | Materials Research Corporation | Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates |
US5370739A (en) | 1992-06-15 | 1994-12-06 | Materials Research Corporation | Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD |
US5356476A (en) | 1992-06-15 | 1994-10-18 | Materials Research Corporation | Semiconductor wafer processing method and apparatus with heat and gas flow control |
US5273588A (en) | 1992-06-15 | 1993-12-28 | Materials Research Corporation | Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means |
US5342652A (en) | 1992-06-15 | 1994-08-30 | Materials Research Corporation | Method of nucleating tungsten on titanium nitride by CVD without silane |
JPH06158320A (ja) * | 1992-11-25 | 1994-06-07 | Kawasaki Steel Corp | 金属配線の形成方法およびその装置 |
US5453124A (en) | 1992-12-30 | 1995-09-26 | Texas Instruments Incorporated | Programmable multizone gas injector for single-wafer semiconductor processing equipment |
US5416045A (en) | 1993-02-18 | 1995-05-16 | Micron Technology, Inc. | Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films |
US5246881A (en) | 1993-04-14 | 1993-09-21 | Micron Semiconductor, Inc. | Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity |
US5443647A (en) | 1993-04-28 | 1995-08-22 | The United States Of America As Represented By The Secretary Of The Army | Method and apparatus for depositing a refractory thin film by chemical vapor deposition |
US5396404A (en) | 1993-09-20 | 1995-03-07 | Delco Electronics Corp. | Heat sinking assembly for electrical components |
US5378501A (en) | 1993-10-05 | 1995-01-03 | Foster; Robert F. | Method for chemical vapor deposition of titanium nitride films at low temperatures |
US5647911A (en) * | 1993-12-14 | 1997-07-15 | Sony Corporation | Gas diffuser plate assembly and RF electrode |
US5628829A (en) | 1994-06-03 | 1997-05-13 | Materials Research Corporation | Method and apparatus for low temperature deposition of CVD and PECVD films |
US5665640A (en) | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
JP3080537B2 (ja) | 1994-06-08 | 2000-08-28 | シャープ株式会社 | 通信装置 |
US5610106A (en) | 1995-03-10 | 1997-03-11 | Sony Corporation | Plasma enhanced chemical vapor deposition of titanium nitride using ammonia |
JP3360098B2 (ja) | 1995-04-20 | 2002-12-24 | 東京エレクトロン株式会社 | 処理装置のシャワーヘッド構造 |
-
1994
- 1994-06-03 US US08/253,393 patent/US5665640A/en not_active Expired - Lifetime
- 1994-12-23 EP EP99201027A patent/EP0936284B1/en not_active Expired - Lifetime
- 1994-12-23 DE DE69435288T patent/DE69435288D1/de not_active Expired - Lifetime
- 1994-12-23 KR KR1019960706960A patent/KR100355913B1/ko not_active IP Right Cessation
- 1994-12-23 JP JP8500805A patent/JPH10504604A/ja active Pending
- 1994-12-23 EP EP95903616A patent/EP0763147B1/en not_active Expired - Lifetime
- 1994-12-23 DE DE69423371T patent/DE69423371T2/de not_active Expired - Lifetime
- 1994-12-23 WO PCT/US1994/013641 patent/WO1995033867A1/en active IP Right Grant
- 1994-12-23 AU AU12611/95A patent/AU1261195A/en not_active Abandoned
- 1994-12-23 CA CA002191457A patent/CA2191457A1/en not_active Abandoned
- 1994-12-31 TW TW083112433A patent/TW261689B/zh active
-
1995
- 1995-06-06 US US08/468,350 patent/US5567243A/en not_active Expired - Lifetime
-
1996
- 1996-10-02 US US08/720,621 patent/US5716870A/en not_active Expired - Lifetime
-
1997
- 1997-07-19 US US08/899,500 patent/US5866213A/en not_active Expired - Lifetime
-
1998
- 1998-07-10 US US09/113,252 patent/US6220202B1/en not_active Expired - Lifetime
-
2004
- 2004-05-12 JP JP2004142795A patent/JP2004263306A/ja active Pending
Cited By (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI555445B (zh) * | 2010-09-09 | 2016-10-21 | Tokyo Electron Ltd | Microwave introduction mechanism, microwave plasma source and microwave plasma processing device |
US10832904B2 (en) | 2012-06-12 | 2020-11-10 | Lam Research Corporation | Remote plasma based deposition of oxygen doped silicon carbide films |
US11894227B2 (en) | 2012-06-12 | 2024-02-06 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
US11264234B2 (en) | 2012-06-12 | 2022-03-01 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
US11708634B2 (en) | 2013-05-31 | 2023-07-25 | Novellus Systems, Inc. | Films of desired composition and film properties |
US11680315B2 (en) | 2013-05-31 | 2023-06-20 | Novellus Systems, Inc. | Films of desired composition and film properties |
US11680314B2 (en) | 2013-05-31 | 2023-06-20 | Novellus Systems, Inc. | Films of desired composition and film properties |
US10472714B2 (en) | 2013-05-31 | 2019-11-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US11732350B2 (en) | 2013-05-31 | 2023-08-22 | Novellus Systems, Inc. | Films of desired composition and film properties |
TWI703234B (zh) * | 2013-10-24 | 2020-09-01 | 美商蘭姆研究公司 | 用於含矽碳膜之化學氣相沉積之設備 |
TWI555091B (zh) * | 2014-04-28 | 2016-10-21 | 北京七星華創電子股份有限公司 | 臥式外點火裝置之調節定位裝置 |
US11049716B2 (en) | 2015-04-21 | 2021-06-29 | Lam Research Corporation | Gap fill using carbon-based films |
US10580690B2 (en) | 2016-11-23 | 2020-03-03 | Lam Research Corporation | Staircase encapsulation in 3D NAND fabrication |
TWI795020B (zh) * | 2018-03-01 | 2023-03-01 | 日商紐富來科技股份有限公司 | 氣相成長方法 |
US10840087B2 (en) | 2018-07-20 | 2020-11-17 | Lam Research Corporation | Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films |
US11848199B2 (en) | 2018-10-19 | 2023-12-19 | Lam Research Corporation | Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill |
Also Published As
Publication number | Publication date |
---|---|
EP0763147A1 (en) | 1997-03-19 |
JPH10504604A (ja) | 1998-05-06 |
JP2004263306A (ja) | 2004-09-24 |
KR100355913B1 (ko) | 2003-01-06 |
AU1261195A (en) | 1996-01-04 |
DE69423371T2 (de) | 2000-10-19 |
US5866213A (en) | 1999-02-02 |
US5665640A (en) | 1997-09-09 |
EP0763147B1 (en) | 2000-03-08 |
EP0936284A2 (en) | 1999-08-18 |
EP0936284A3 (en) | 2002-08-07 |
WO1995033867A1 (en) | 1995-12-14 |
EP0936284B1 (en) | 2010-04-14 |
KR970703445A (ko) | 1997-07-03 |
US6220202B1 (en) | 2001-04-24 |
US5567243A (en) | 1996-10-22 |
CA2191457A1 (en) | 1995-12-14 |
DE69435288D1 (de) | 2010-05-27 |
DE69423371D1 (de) | 2000-04-13 |
US5716870A (en) | 1998-02-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW261689B (en) | Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor | |
EP1918967B1 (en) | Method of forming a film by deposition from a plasma | |
EP0230788B1 (en) | Method for preparation of multi-layer structure film | |
JPH06314660A (ja) | 薄膜形成法及びその装置 | |
US20030165619A1 (en) | Chemical vapor deposition process for depositing titanium nitride films from an organo-metallic compound | |
RU98119152A (ru) | Способ получения гомоэпитаксиальной алмазной тонкой пленки и устройство для его осуществления | |
TW306937B (zh) | ||
KR960034479A (ko) | 산화물박막의 제조방법 및 그것에 사용되는 화학증착장치 | |
JP3286951B2 (ja) | プラズマcvd成膜方法と装置 | |
JPS62139876A (ja) | 堆積膜形成法 | |
JPH0774110A (ja) | プラズマcvd成膜方法 | |
JP2555209B2 (ja) | 薄膜製造方法 | |
US4719122A (en) | CVD method and apparatus for forming a film | |
KR100226763B1 (ko) | 화학기상증착 장치를 이용한 박막 형성방법 | |
JPS59159980A (ja) | 気相成長装置 | |
JPS55164072A (en) | Coating | |
JPS5471577A (en) | Production of semiconductor device | |
JPH05255857A (ja) | プラズマcvd薄膜の形成方法 | |
KR0172857B1 (ko) | 화학기상 증착에 의한 박막형성방법 | |
Gicquel et al. | Plasmas: sources of excited, dissociated and ionized species. Consequences for chemical vapor deposition (CVD) and for surface treatment | |
JPS62142780A (ja) | 堆積膜形成法 | |
CN105755451A (zh) | 多处气体馈送装置与方法 | |
JPS61127122A (ja) | 薄膜形成方法 | |
JPS63166214A (ja) | 堆積膜形成法 | |
van den Oever et al. | Plasma properties of a novel commercial plasma source for high-throughput processing of c-Si solar cells |