TW201930640A - 用於在基板選擇側沉積的pecvd沉積系統 - Google Patents
用於在基板選擇側沉積的pecvd沉積系統 Download PDFInfo
- Publication number
- TW201930640A TW201930640A TW107130221A TW107130221A TW201930640A TW 201930640 A TW201930640 A TW 201930640A TW 107130221 A TW107130221 A TW 107130221A TW 107130221 A TW107130221 A TW 107130221A TW 201930640 A TW201930640 A TW 201930640A
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- gas
- base
- shower
- carrier ring
- Prior art date
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 157
- 238000000151 deposition Methods 0.000 title claims abstract description 91
- 230000008021 deposition Effects 0.000 title claims abstract description 82
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 title description 25
- 238000012545 processing Methods 0.000 claims abstract description 123
- 239000007789 gas Substances 0.000 claims description 145
- 238000000034 method Methods 0.000 claims description 38
- 125000006850 spacer group Chemical group 0.000 claims description 34
- 239000000463 material Substances 0.000 claims description 26
- 239000000376 reactant Substances 0.000 claims description 25
- 230000008569 process Effects 0.000 claims description 21
- 239000011261 inert gas Substances 0.000 claims description 18
- 239000010409 thin film Substances 0.000 claims description 17
- 239000007921 spray Substances 0.000 claims description 15
- 238000005192 partition Methods 0.000 claims description 11
- 238000005273 aeration Methods 0.000 claims description 7
- 230000004044 response Effects 0.000 claims description 4
- 238000009832 plasma treatment Methods 0.000 claims 1
- 238000010926 purge Methods 0.000 abstract 1
- 235000012431 wafers Nutrition 0.000 description 144
- 239000010408 film Substances 0.000 description 26
- 238000005452 bending Methods 0.000 description 17
- 238000012546 transfer Methods 0.000 description 16
- 230000007246 mechanism Effects 0.000 description 14
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 10
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 9
- 239000004065 semiconductor Substances 0.000 description 8
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 7
- 239000002243 precursor Substances 0.000 description 7
- 238000000926 separation method Methods 0.000 description 7
- 150000004756 silanes Chemical class 0.000 description 7
- 229910052710 silicon Inorganic materials 0.000 description 7
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 6
- 238000000231 atomic layer deposition Methods 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 6
- 238000009826 distribution Methods 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 5
- 150000001412 amines Chemical class 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical group C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 5
- 229910000077 silane Inorganic materials 0.000 description 5
- 229910052814 silicon oxide Inorganic materials 0.000 description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical group [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 4
- 238000002156 mixing Methods 0.000 description 4
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical class [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical compound Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 3
- 238000004590 computer program Methods 0.000 description 3
- 125000004122 cyclic group Chemical group 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- UAOMVDZJSHZZME-UHFFFAOYSA-N diisopropylamine Chemical compound CC(C)NC(C)C UAOMVDZJSHZZME-UHFFFAOYSA-N 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 239000012636 effector Substances 0.000 description 3
- 230000007717 exclusion Effects 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- 238000000427 thin-film deposition Methods 0.000 description 3
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 description 2
- PAYRUJLWNCNPSJ-UHFFFAOYSA-N Aniline Chemical compound NC1=CC=CC=C1 PAYRUJLWNCNPSJ-UHFFFAOYSA-N 0.000 description 2
- 239000005046 Chlorosilane Substances 0.000 description 2
- ROSDSFDQCJNGOL-UHFFFAOYSA-N Dimethylamine Chemical compound CNC ROSDSFDQCJNGOL-UHFFFAOYSA-N 0.000 description 2
- QUSNBJAOOMFDIB-UHFFFAOYSA-N Ethylamine Chemical compound CCN QUSNBJAOOMFDIB-UHFFFAOYSA-N 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- BAVYZALUXZFZLV-UHFFFAOYSA-N Methylamine Chemical compound NC BAVYZALUXZFZLV-UHFFFAOYSA-N 0.000 description 2
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 2
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- RAHZWNYVWXNFOC-UHFFFAOYSA-N Sulphur dioxide Chemical compound O=S=O RAHZWNYVWXNFOC-UHFFFAOYSA-N 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- WGQKYBSKWIADBV-UHFFFAOYSA-N benzylamine Chemical compound NCC1=CC=CC=C1 WGQKYBSKWIADBV-UHFFFAOYSA-N 0.000 description 2
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- YXMVRBZGTJFMLH-UHFFFAOYSA-N butylsilane Chemical group CCCC[SiH3] YXMVRBZGTJFMLH-UHFFFAOYSA-N 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- JJQZDUKDJDQPMQ-UHFFFAOYSA-N dimethoxy(dimethyl)silane Chemical compound CO[Si](C)(C)OC JJQZDUKDJDQPMQ-UHFFFAOYSA-N 0.000 description 2
- YYLGKUPAFFKGRQ-UHFFFAOYSA-N dimethyldiethoxysilane Chemical compound CCO[Si](C)(C)OCC YYLGKUPAFFKGRQ-UHFFFAOYSA-N 0.000 description 2
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 2
- DRUOQOFQRYFQGB-UHFFFAOYSA-N ethoxy(dimethyl)silicon Chemical compound CCO[Si](C)C DRUOQOFQRYFQGB-UHFFFAOYSA-N 0.000 description 2
- SBRXLTRZCJVAPH-UHFFFAOYSA-N ethyl(trimethoxy)silane Chemical compound CC[Si](OC)(OC)OC SBRXLTRZCJVAPH-UHFFFAOYSA-N 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical class 0.000 description 2
- HTDJPCNNEPUOOQ-UHFFFAOYSA-N hexamethylcyclotrisiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O1 HTDJPCNNEPUOOQ-UHFFFAOYSA-N 0.000 description 2
- BMFVGAAISNGQNM-UHFFFAOYSA-N isopentylamine Chemical compound CC(C)CCN BMFVGAAISNGQNM-UHFFFAOYSA-N 0.000 description 2
- 239000012705 liquid precursor Substances 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- BFXIKLCIZHOAAZ-UHFFFAOYSA-N methyltrimethoxysilane Chemical compound CO[Si](C)(OC)OC BFXIKLCIZHOAAZ-UHFFFAOYSA-N 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- LFQCEHFDDXELDD-UHFFFAOYSA-N tetramethyl orthosilicate Chemical compound CO[Si](OC)(OC)OC LFQCEHFDDXELDD-UHFFFAOYSA-N 0.000 description 2
- ZQZCOBSUOFHDEE-UHFFFAOYSA-N tetrapropyl silicate Chemical compound CCCO[Si](OCCC)(OCCC)OCCC ZQZCOBSUOFHDEE-UHFFFAOYSA-N 0.000 description 2
- DENFJSAFJTVPJR-UHFFFAOYSA-N triethoxy(ethyl)silane Chemical compound CCO[Si](CC)(OCC)OCC DENFJSAFJTVPJR-UHFFFAOYSA-N 0.000 description 2
- XVYIJOWQJOQFBG-UHFFFAOYSA-N triethoxy(fluoro)silane Chemical compound CCO[Si](F)(OCC)OCC XVYIJOWQJOQFBG-UHFFFAOYSA-N 0.000 description 2
- CPUDPFPXCZDNGI-UHFFFAOYSA-N triethoxy(methyl)silane Chemical compound CCO[Si](C)(OCC)OCC CPUDPFPXCZDNGI-UHFFFAOYSA-N 0.000 description 2
- QQQSFSZALRVCSZ-UHFFFAOYSA-N triethoxysilane Chemical compound CCO[SiH](OCC)OCC QQQSFSZALRVCSZ-UHFFFAOYSA-N 0.000 description 2
- YUYCVXFAYWRXLS-UHFFFAOYSA-N trimethoxysilane Chemical compound CO[SiH](OC)OC YUYCVXFAYWRXLS-UHFFFAOYSA-N 0.000 description 2
- GETQZCLCWQTVFV-UHFFFAOYSA-N trimethylamine Chemical compound CN(C)C GETQZCLCWQTVFV-UHFFFAOYSA-N 0.000 description 2
- REJKHFAZHZLNOP-UHFFFAOYSA-N (tert-butylamino)silicon Chemical compound CC(C)(C)N[Si] REJKHFAZHZLNOP-UHFFFAOYSA-N 0.000 description 1
- JZKAJIFHBZJCAI-UHFFFAOYSA-N 1,2-ditert-butylhydrazine Chemical compound CC(C)(C)NNC(C)(C)C JZKAJIFHBZJCAI-UHFFFAOYSA-N 0.000 description 1
- MAYUMUDTQDNZBD-UHFFFAOYSA-N 2-chloroethylsilane Chemical compound [SiH3]CCCl MAYUMUDTQDNZBD-UHFFFAOYSA-N 0.000 description 1
- GELMWIVBBPAMIO-UHFFFAOYSA-N 2-methylbutan-2-amine Chemical compound CCC(C)(C)N GELMWIVBBPAMIO-UHFFFAOYSA-N 0.000 description 1
- SWNYUXGKDDHXMS-UHFFFAOYSA-N 3-chloroprop-1-enylsilane Chemical compound ClCC=C[SiH3] SWNYUXGKDDHXMS-UHFFFAOYSA-N 0.000 description 1
- MNTMWHBQGOKGDD-UHFFFAOYSA-N 3-methylbutylsilane Chemical group CC(C)CC[SiH3] MNTMWHBQGOKGDD-UHFFFAOYSA-N 0.000 description 1
- MGWGWNFMUOTEHG-UHFFFAOYSA-N 4-(3,5-dimethylphenyl)-1,3-thiazol-2-amine Chemical compound CC1=CC(C)=CC(C=2N=C(N)SC=2)=C1 MGWGWNFMUOTEHG-UHFFFAOYSA-N 0.000 description 1
- WTWRNRJJRBQKDA-UHFFFAOYSA-N CCCC[SiH2]N Chemical group CCCC[SiH2]N WTWRNRJJRBQKDA-UHFFFAOYSA-N 0.000 description 1
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 1
- 229910020365 ClSiH3 Inorganic materials 0.000 description 1
- HTJDQJBWANPRPF-UHFFFAOYSA-N Cyclopropylamine Chemical compound NC1CC1 HTJDQJBWANPRPF-UHFFFAOYSA-N 0.000 description 1
- OTMSDBZUPAUEDD-UHFFFAOYSA-N Ethane Chemical compound CC OTMSDBZUPAUEDD-UHFFFAOYSA-N 0.000 description 1
- AVXURJPOCDRRFD-UHFFFAOYSA-N Hydroxylamine Chemical compound ON AVXURJPOCDRRFD-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- KQPJKRHTSPXHLP-UHFFFAOYSA-N [dimethoxy-(trimethoxysilylamino)silyl]oxymethane Chemical compound CO[Si](OC)(OC)N[Si](OC)(OC)OC KQPJKRHTSPXHLP-UHFFFAOYSA-N 0.000 description 1
- 125000002015 acyclic group Chemical group 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 238000005276 aerator Methods 0.000 description 1
- VRPHWBFEDIRKMX-UHFFFAOYSA-N amino pentanoate Chemical group CCCCC(=O)ON VRPHWBFEDIRKMX-UHFFFAOYSA-N 0.000 description 1
- 150000003868 ammonium compounds Chemical class 0.000 description 1
- 150000004945 aromatic hydrocarbons Chemical class 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 238000000889 atomisation Methods 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000009530 blood pressure measurement Methods 0.000 description 1
- VQPFDLRNOCQMSN-UHFFFAOYSA-N bromosilane Chemical compound Br[SiH3] VQPFDLRNOCQMSN-UHFFFAOYSA-N 0.000 description 1
- AUOLYXZHVVMFPD-UHFFFAOYSA-N butan-2-yl(chloro)silane Chemical group CCC(C)[SiH2]Cl AUOLYXZHVVMFPD-UHFFFAOYSA-N 0.000 description 1
- KLJPJQLKSTTYTK-UHFFFAOYSA-N butyl(chloro)silane Chemical group CCCC[SiH2]Cl KLJPJQLKSTTYTK-UHFFFAOYSA-N 0.000 description 1
- MQOHJJURSQZEFF-UHFFFAOYSA-N butyl(silyl)silane Chemical group CCCC[SiH2][SiH3] MQOHJJURSQZEFF-UHFFFAOYSA-N 0.000 description 1
- MXOSTENCGSDMRE-UHFFFAOYSA-N butyl-chloro-dimethylsilane Chemical group CCCC[Si](C)(C)Cl MXOSTENCGSDMRE-UHFFFAOYSA-N 0.000 description 1
- NZVSVOWRULQURE-UHFFFAOYSA-N butylsilyl carbamate Chemical group C(N)(O[SiH2]CCCC)=O NZVSVOWRULQURE-UHFFFAOYSA-N 0.000 description 1
- 229910002091 carbon monoxide Inorganic materials 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- CRIVIYPBVUGWSC-UHFFFAOYSA-N chloro(propan-2-yl)silane Chemical group CC(C)[SiH2]Cl CRIVIYPBVUGWSC-UHFFFAOYSA-N 0.000 description 1
- YGHUUVGIRWMJGE-UHFFFAOYSA-N chlorodimethylsilane Chemical compound C[SiH](C)Cl YGHUUVGIRWMJGE-UHFFFAOYSA-N 0.000 description 1
- AZFVLHQDIIJLJG-UHFFFAOYSA-N chloromethylsilane Chemical compound [SiH3]CCl AZFVLHQDIIJLJG-UHFFFAOYSA-N 0.000 description 1
- SLLGVCUQYRMELA-UHFFFAOYSA-N chlorosilicon Chemical compound Cl[Si] SLLGVCUQYRMELA-UHFFFAOYSA-N 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- KZZKOVLJUKWSKX-UHFFFAOYSA-N cyclobutanamine Chemical compound NC1CCC1 KZZKOVLJUKWSKX-UHFFFAOYSA-N 0.000 description 1
- UWGIJJRGSGDBFJ-UHFFFAOYSA-N dichloromethylsilane Chemical compound [SiH3]C(Cl)Cl UWGIJJRGSGDBFJ-UHFFFAOYSA-N 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- UCXUKTLCVSGCNR-UHFFFAOYSA-N diethylsilane Chemical group CC[SiH2]CC UCXUKTLCVSGCNR-UHFFFAOYSA-N 0.000 description 1
- 229940043279 diisopropylamine Drugs 0.000 description 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical group C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 1
- OGWXFZNXPZTBST-UHFFFAOYSA-N ditert-butyl(chloro)silane Chemical group CC(C)(C)[SiH](Cl)C(C)(C)C OGWXFZNXPZTBST-UHFFFAOYSA-N 0.000 description 1
- ZLKSBZCITVUTSN-UHFFFAOYSA-N ditert-butylsilane Chemical group CC(C)(C)[SiH2]C(C)(C)C ZLKSBZCITVUTSN-UHFFFAOYSA-N 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- BASCFDNUKQJUDB-UHFFFAOYSA-N ethane triethoxysilane Chemical compound CC.CCO[SiH](OCC)OCC.CCO[SiH](OCC)OCC BASCFDNUKQJUDB-UHFFFAOYSA-N 0.000 description 1
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 1
- XPBBUZJBQWWFFJ-UHFFFAOYSA-N fluorosilane Chemical compound [SiH3]F XPBBUZJBQWWFFJ-UHFFFAOYSA-N 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 125000005843 halogen group Chemical group 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 125000005842 heteroatom Chemical group 0.000 description 1
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 1
- UQEAIHBTYFGYIE-UHFFFAOYSA-N hexamethyldisiloxane Chemical compound C[Si](C)(C)O[Si](C)(C)C UQEAIHBTYFGYIE-UHFFFAOYSA-N 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- IDIOJRGTRFRIJL-UHFFFAOYSA-N iodosilane Chemical compound I[SiH3] IDIOJRGTRFRIJL-UHFFFAOYSA-N 0.000 description 1
- JJWLVOIRVHMVIS-UHFFFAOYSA-N isopropylamine Chemical compound CC(C)N JJWLVOIRVHMVIS-UHFFFAOYSA-N 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- ACXIAEKDVUJRSK-UHFFFAOYSA-N methyl(silyloxy)silane Chemical compound C[SiH2]O[SiH3] ACXIAEKDVUJRSK-UHFFFAOYSA-N 0.000 description 1
- IFVRUKGTKXWWQF-UHFFFAOYSA-N methylaminosilicon Chemical compound CN[Si] IFVRUKGTKXWWQF-UHFFFAOYSA-N 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- ULWOJODHECIZAU-UHFFFAOYSA-N n,n-diethylpropan-2-amine Chemical compound CCN(CC)C(C)C ULWOJODHECIZAU-UHFFFAOYSA-N 0.000 description 1
- VYIRVGYSUZPNLF-UHFFFAOYSA-N n-(tert-butylamino)silyl-2-methylpropan-2-amine Chemical group CC(C)(C)N[SiH2]NC(C)(C)C VYIRVGYSUZPNLF-UHFFFAOYSA-N 0.000 description 1
- XWESXZZECGOXDQ-UHFFFAOYSA-N n-tert-butylhydroxylamine Chemical group CC(C)(C)NO XWESXZZECGOXDQ-UHFFFAOYSA-N 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- JCXJVPUVTGWSNB-UHFFFAOYSA-N nitrogen dioxide Inorganic materials O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 description 1
- 239000001272 nitrous oxide Substances 0.000 description 1
- HMMGMWAXVFQUOA-UHFFFAOYSA-N octamethylcyclotetrasiloxane Chemical compound C[Si]1(C)O[Si](C)(C)O[Si](C)(C)O[Si](C)(C)O1 HMMGMWAXVFQUOA-UHFFFAOYSA-N 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- DNAJDTIOMGISDS-UHFFFAOYSA-N prop-2-enylsilane Chemical group [SiH3]CC=C DNAJDTIOMGISDS-UHFFFAOYSA-N 0.000 description 1
- YYVGYULIMDRZMJ-UHFFFAOYSA-N propan-2-ylsilane Chemical compound CC(C)[SiH3] YYVGYULIMDRZMJ-UHFFFAOYSA-N 0.000 description 1
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- BHRZNVHARXXAHW-UHFFFAOYSA-N sec-butylamine Chemical compound CCC(C)N BHRZNVHARXXAHW-UHFFFAOYSA-N 0.000 description 1
- 239000013049 sediment Substances 0.000 description 1
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- XTQHKBHJIVJGKJ-UHFFFAOYSA-N sulfur monoxide Chemical class S=O XTQHKBHJIVJGKJ-UHFFFAOYSA-N 0.000 description 1
- 229910052815 sulfur oxide Inorganic materials 0.000 description 1
- VFTJADVXHGPCRU-UHFFFAOYSA-N tert-butyl-chloro-methyl-propylsilane Chemical group C(C)C[Si](Cl)(C)C(C)(C)C VFTJADVXHGPCRU-UHFFFAOYSA-N 0.000 description 1
- YBRBMKDOPFTVDT-UHFFFAOYSA-N tert-butylamine Chemical compound CC(C)(C)N YBRBMKDOPFTVDT-UHFFFAOYSA-N 0.000 description 1
- 125000003698 tetramethyl group Chemical group [H]C([H])([H])* 0.000 description 1
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 description 1
- 239000005052 trichlorosilane Substances 0.000 description 1
- WNWMJFBAIXMNOF-UHFFFAOYSA-N trimethyl(propyl)silane Chemical group CCC[Si](C)(C)C WNWMJFBAIXMNOF-UHFFFAOYSA-N 0.000 description 1
- 229940094989 trimethylsilane Drugs 0.000 description 1
- VOSJXMPCFODQAR-UHFFFAOYSA-N trisilylamine group Chemical group [SiH3]N([SiH3])[SiH3] VOSJXMPCFODQAR-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 238000009827 uniform distribution Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45519—Inert gas curtains
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/4557—Heated nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45597—Reactive back side gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68735—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68771—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68785—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
- H01L21/02595—Microstructure polycrystalline
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Plasma & Fusion (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
提供一種電漿處理系統。該系統包含一腔室、一控制器、及配置於該腔室中的一噴淋頭。一第一氣體歧管係連接至該噴淋頭以用於響應來自該控制器的控制而從一第一氣體源提供第一氣體。一噴淋器-底座係配置於該腔室中並定向於該噴淋頭的對側。一第二氣體歧管係連接至該噴淋器-底座以用於響應來自該控制器的控制而從一第二氣體源提供第二氣體。設置用於在離該噴淋器-底座一間隔關係下固持一基板的一基板支座。電漿係用於在該基板存在於該腔室中時在該基板之背側上沉積薄膜。在背側沉積期間,該基板係由該基板支座固持於離該噴淋器-底座該間隔關係下。該噴淋頭在背側沉積期間提供排淨氣體。
Description
本實施例係關於半導體晶圓處理設備工具,具體而言,係關於具有底座構造的腔室,其可達成背側沉積以在頂側上之更多層形成時抵消晶圓的彎曲現象。
電漿輔助化學氣相沉積(PECVD)係用以在諸如晶圓之基板上從氣態(亦即,氣相)至固態沉積薄膜之電漿沉積的一種類型。PECVD系統將液體前驅物轉化為被輸送至腔室的氣相前驅物。PECVD系統可包含使液體前驅物以可控方式進行氣化的氣化器,以產生氣相前驅物。通常,用於PECVD的腔室使用陶瓷底座以在處理期間支撐晶圓,其使得在高溫下能夠進行處理。
通常,大多數的沉積及用以形成裝置的其他處理發生於晶圓的正面(例如,頂側)上。隨著所沉積層累積,其可能在晶圓中引入應力。此應力可能導致晶圓彎曲,其係不樂見的。在彎曲現象顯著的情況下,其可能對後續的處理步驟產生不利影響。
有時,晶圓背側上的沉積材料可抵消晶圓的彎曲現象及應力。然而,為了沉積於晶圓背側上,必須翻轉晶圓並將其裝載為背側朝上。翻轉晶圓引入了額外的問題,例如額外的搬運、潛在的顆粒暴露、及/或處理良率的降低。
發明係由此背景中產生。
本揭示內容之實施例提供用於背側基板沉積的實施方式,以在頂側層沉積期間抵消晶圓翹曲。本文所揭示的系統及方法包含在電漿處理腔室中定位於噴淋頭對側的噴淋器-底座。噴淋器-底座係配置為由複數孔洞供應處理氣體,其促成用於在基板背側上沉積材料的處理氣體之輸送。在一實施例中,該基板係配置為由與噴淋器-底座相間隔的載送環所固持,使得基板背側暴露於沉積氣體。在一實施例中,該噴淋頭係配置以供應排淨氣體(例如,惰性氣體),俾避免背側沉積氣體在基板頂側上形成材料層。
在一實施例中,揭示一種電漿處理系統。該系統包含一腔室、一控制器、及配置於該腔室中的一噴淋頭。一第一氣體歧管係連接至該噴淋頭以用於響應來自該控制器的控制而從一第一氣體源提供第一氣體。一噴淋器-底座係配置於該腔室中並定向於該噴淋頭的對側。一第二氣體歧管係連接至該噴淋器-底座以用於響應來自該控制器的控制而從一第二氣體源提供第二氣體。設置用於在離該噴淋器-底座一間隔關係下固持一基板的一基板支座。設置將功率提供給該噴淋頭以產生電漿的一射頻(RF)電源。電漿係用於在該基板存在於該腔室中時在該基板之背側上沉積薄膜。在背側沉積期間,該基板係由該基板支座固持於離該噴淋器-底座該間隔關係下。該噴淋頭在背側沉積期間提供排淨氣體。
在另一實施例中,提供一種用於在一電漿處理系統中處理基板的方法,該電漿處理系統具有一噴淋頭及定向於該噴淋頭下方的一噴淋器-底座。該方法包含在該噴淋頭與該噴淋器-底座之間設置一載送環。該載送環係配置以支撐該基板。接著,使一處理氣體以朝向該基板之背側的方向流出該噴淋器-底座。該系統將功率施加至該電漿處理系統的電極。該功率係配置以在該噴淋器-底座的頂表面與該基板的該背側之間的區域中產生電漿。該電漿係配置為由該處理氣體產生沉積於該基板之該背側上的一材料層。該方法更包含使一惰性氣體以朝向該基板之頂側的方向流出該噴淋頭。該惰性氣體的流動係配置為在該處理氣體流動時進行。使用該惰性氣體將該處理氣體從該基板之該頂側排淨,俾避免在所述頂側上的沉積,同時該材料層被沉積於該基板之該背側上。
本揭示內容之實施例提供處理腔室的實施例,用於處理半導體晶圓。在一實施例中,腔室係配置有底座構造,該底座構造可達成背側沉積以在更多層形成於基板的頂側上時抵消晶圓的彎曲現象及/或應力。
在此應用中,用語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分加工之積體電路」係可互換地使用。該領域中具通常知識者將會理解:用語「部分加工之積體電路」可指涉在其上的積體電路加工之許多階段之任一者期間的矽晶圓。用於半導體裝置產業中的晶圓或基板通常具有200 mm或300 mm的直徑,儘管該產業正朝著採用直徑450 mm之基板的方向發展。本文的敘述使用用語「前」與「背」來描述晶圓基板的不同側。應理解,前側為大多數之沉積與處理發生之處、且為半導體裝置本身被加工之處。背側為晶圓的相反側,其在加工期間通常經歷最小程度的處理或不經處理。
除非另外指明,否則本文所提供的流率及功率位準適用於300 mm基板上的處理。該領域中具通常技藝者將理解,對於其他尺寸的基板必要時可調整該等流動及功率位準。以下的詳細敘述假設在晶圓上實施本發明。然而,本發明並非如此受限。工件可為各種外形、尺寸、及材料。除了半導體晶圓之外,可利用本發明的其他工件包含各種物件,例如印刷電路板等。
用以製造先進記憶體及邏輯晶片的多階半導體處理流程已導致基板在壓縮及拉伸方向上明顯翹曲。由於此類中度至嚴重的基板翹曲,各種製造程序的處理條件受到影響,導致程序控制問題、微影的夾持及疊對問題,其有時導致良率損失的增加。在一實施例中,用於控制翹曲的一種方法為沉積一犧牲薄膜或複數薄膜於基板的相反側(亦即,背側)上,以補償相反方向上的翹曲,其使得基板平坦化。習知的雙電極RF PECVD系統具有一個氣流電極,其可為RF或接地的。通常,氣流電極(亦稱為噴淋頭104)係在PECVD反應器的頂側上,使得反應物在晶圓的前側上流動,從而使得僅在晶圓的前側上沉積。
依據一實施例,揭示一種具有雙重氣流電極的RF PECVD系統。該等電極之任一者可為RF電極以提供AC場,其促成用於CVD薄膜沉積的電漿輔助。此雙重氣流電極PECVD系統能夠將薄膜選擇性地沉積於晶圓的兩側或僅一側上。在一範例中,氣流底座(本文稱為「噴淋器-底座」或「噴淋座」)可固持晶圓,以基於設備設定而經由標準轉移機構在腔室內的相鄰站之間或腔室之外轉移,然而能夠從晶圓的背側流動氣體。
在一實施例中,背側氣體流動促成晶圓背側上的PECVD沉積,同時前側氣體流動可沉積於晶圓前側上。系統可被設置為透過打開與關閉導致薄膜沉積的反應物、及利用非反應氣體(例如,惰性氣體)來置換反應物,而選擇性地促成沉積之側。此系統的另一態樣為能夠控制基板側與反應物流動氣體的距離。此控制能達成諸如背側補償之應用所需的沉積輪廓及薄膜特性。
在另一實施例中,噴淋座及噴淋頭包含提供類似噴淋頭之特徵的構造,其促成適當的反應物混合、且能夠為晶圓背側或前側上的PECVD沉積處理提供適當的流動動力學。此外,有些實施例能達成可控間隙,其可抑制或容許在晶圓之期望側(一側或兩側)上進行沉積的電漿。受控制的間隙可包含,例如晶圓頂側與噴淋頭104的頂表面之間的間隙間距、以及晶圓背側與噴淋座106的頂表面之間的間隙間距。例如,當正在沉積晶圓背側時,使晶圓頂側與噴淋頭的頂表面之間的間隙最小化。舉例而言,此間距係介於約2 mm至約0.5 mm之間,而在另一實施例中係介於約1 mm至約0.5 mm之間(取決於晶圓彎曲限制)。
噴淋座106係進一步配置為包含噴淋頭孔洞圖案及內充氣部,以用於氣體的均勻分佈(亦即,俾容許處理氣體被朝向晶圓底部輸送)。該等實施例亦容許氣流底座(亦即噴淋座)具有一有源加熱器,以使處理氣體達到適當溫度。噴淋座106與噴淋頭104之組合容許兩關鍵屬性的並行功能。在一實施例中,噴淋座106仍可加熱晶圓、並在反應器腔室內或反應器外提供晶圓轉移特徵,同時噴淋頭104元件容許處理氣體的流動。因此,本文所揭示的氣流底座(亦即噴淋座)使得習知PECVD處理之實施方式能夠選擇性地沉積在晶圓的任一側上。該等構造亦係配置為選擇性地為頂部或底部的電極進行RF供電、並動態地啟動/停止需沉積之晶圓側上的電漿。
廣泛而言,噴淋座透過在晶圓背側上沉積薄膜而提供對抗應力及彎曲問題的若干優點。背側薄膜抵消來自前側沉積的應力以促成中性應力(或實質上中性的應力,例如,低於約+/−150 MPa)之晶圓,其不顯現彎曲現象(或實質上無彎曲現象,例如,低於約150 μm的彎曲)。若沉積於前側上的薄膜係拉伸的,則背側薄膜亦應為拉伸的以平衡總應力。同樣地,若前側薄膜係壓縮的,則背側薄膜亦應為壓縮的。可透過許多反應機構來沉積背側薄膜(例如,化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、原子層沉積(ALD)、電漿輔助原子層沉積(PEALD)、低壓化學氣相沉積(LPCVD)等)。在許多情況下,使用電漿輔助化學氣相沉積,因為此類型之反應中所達成的高沉積速率。
可調整某些沉積參數以產生具有期望之應力位準的背側薄膜。該等沉積參數之一為所沉積之背側薄膜的厚度。較厚的薄膜在晶圓中引起較大的應力,而較薄的薄膜(相同組成且在相同條件下沉積)在晶圓中引起較小的應力。因此,為了使形成背側層時所消耗的材料量最小化,可在促進形成高應力薄膜的條件下相對薄地沉積該層。
如上所述,沉積材料之堆疊體特別可能導致晶圓應力及彎曲現象。可能造成該等問題的一種範例堆疊體為具有交替的氧化物及氮化物層之堆疊體(例如,矽氧化物/矽氮化物/矽氧化物/矽氮化物等)。可能造成彎曲現象的另一範例堆疊體包含交替的氧化物及多晶矽層(例如,矽氧化物/多晶矽/矽氧化物/多晶矽等)。可能存在問題的堆疊體材料之其他範例包含(但不限於)鎢及氮化鈦。可透過下列技術來沉積堆疊體中的材料:化學氣相沉積技術(例如電漿輔助化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、金屬有機化學氣相沉積(MOCVD)、原子層沉積(ALD)、電漿輔助原子層沉積(PEALD))、或透過直接金屬沉積(DMD)等。該等範例並非試圖作為限制性的。某些揭示實施例在以下情況下可能係有用的:因存在於晶圓前側上之材料而引起晶圓應力及/或彎曲現象的任何時候。
可將前側之堆疊體沉積成任何數目的膜層及厚度。在一典型範例中,堆疊體包含約32至72層、且具有介於約2至4 μm之間的總厚度。由堆疊體在晶圓中引起的應力可為介於約−500 MPa至約+500 MPa之間,其造成通常介於約200至400 μm之間的彎曲(對於300 mm晶圓),且在一些情況下甚至更大。
在許多實施例中,沉積於晶圓背側上的材料可為介電材料。在一些情況下,使用氧化物及/或氮化物(例如,矽氧化物/矽氮化物)。可被使用的含矽反應物之範例包含(但不限於)矽烷、鹵代矽烷、及胺基矽烷。矽烷含有氫及/或碳基,但不含鹵素。矽烷的範例為矽烷(SiH4
)、二矽烷(Si2
H6
)、以及諸如下列各者的有機矽烷:甲矽烷、乙矽烷、異丙基矽烷、三級丁基矽烷、二甲基矽烷、二乙基矽烷、二(三級丁基)矽烷、烯丙基矽烷、二級丁基矽烷、三甲基丙基矽烷、異戊基矽烷、三級丁基二矽烷、二(三級丁基)二矽烷等。鹵代矽烷含有至少一個鹵基、且可能含有或不含氫及/或碳基。鹵代矽烷之範例為碘矽烷、溴矽烷、氯矽烷、及氟矽烷。雖然鹵代矽烷(特別係氟矽烷)可形成可蝕刻矽材料的反應性鹵化物物種,但在本文所述之某些實施例中,當電漿點燃時不存在含矽反應物。特定的氯矽烷為四氯矽烷(SiCl4
)、三氯矽烷(HSiCl3
)、二氯矽烷(H2SiCl2
)、單氯矽烷(ClSiH3)、氯丙烯基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、氯乙基矽烷、三級丁基氯矽烷、二(三級丁基)氯矽烷、氯異丙基矽烷、氯二級丁基矽烷、三級丁基二甲基氯矽烷、三甲基丙基二甲基氯矽烷等。胺基矽烷包含至少一個與矽原子鍵結的氮原子,但亦可含有氫、氧、鹵素、及碳。胺基矽烷的範例為單、二、三、與四胺基矽烷(分別為H3
Si(NH2
)4
、H2
Si(NH2
)2
、HSi(NH2
)3
、及Si(NH2
)4
)、以及經取代之單、二、三、與四胺基矽烷,例如,三級丁基胺基矽烷、甲基胺基矽烷、三級丁基矽烷胺、雙(三級丁氨基)矽烷(SiH2
(NHC(CH3
)3
)2
(BTBAS))、三級丁基矽烷基胺甲酸酯、SiH(CH3
)—(N(CH3
)2
)2
、SiHCl—(N(CH3
)2
)2
、(Si(CH3
)2
NH)3
等。胺基矽烷之進一步的範例為三矽烷基胺(N(SiH3
)3
)。其他可能的含矽反應物包含四乙氧基矽烷(TEOS)、以及環狀與非環狀之TEOS變異體,例如四甲氧基矽烷(TMOS)、氟三乙氧基矽烷(FTES)、三甲基矽烷(TMS)、八甲基四環矽氧烷(OMCTS)、四甲基環四矽氧烷(TMCTSO)、二甲基二甲氧基矽烷(DMDS)、六甲基二矽氮烷(HMDS)、六甲基二矽氧烷(HMDSO)、六甲基環三矽氧烷(HMCTSO)、二甲基二乙氧基矽烷(DMDEOS)、甲基三甲氧基矽烷(MTMOS)、四甲基二矽氧烷(TMDSO)、二乙烯基四甲基二矽氧烷(VSI2)、甲基三乙氧基矽烷(MTEOS)、二甲基四甲氧基二矽氧烷(DMTMODSO)、乙基三乙氧基矽烷(ETEOS)、乙基三甲氧基矽烷(ETMOS)、六甲氧基二矽烷(HMODS)、雙(三乙氧矽烷基)乙烷(BTEOSE)、雙(三甲氧矽烷基)乙烷(BTMOSE)、二甲基乙氧基矽烷(DMEOS)、四乙氧基二甲基二矽氧烷(TEODMDSO)、四(三甲基矽烷氧基)矽烷(TTMSOS)、四甲基二乙氧基二矽氧烷(TMDEODSO)、三乙氧基矽烷(TIEOS)、三甲氧基矽烷(TIMEOS)、或四丙氧基矽烷(TPOS)。
範例含氮反應物包含(但不限於)氨、聯胺、胺(如含碳的胺)(例如甲胺、二甲胺、乙胺、異丙胺、三級丁胺、二(三級丁基)胺、環丙胺、二級丁胺、環丁胺、異戊胺、2-甲基丁烷-2-胺、三甲胺、二異丙胺、二乙基異丙胺、二(三級丁基)聯胺)、以及含芳香烴的胺(例如苯胺、吡啶、及苯甲胺)。胺可為一級、二級、三級、或四級(例如,四烴基銨化合物)。含氮反應物可含有氮以外的雜原子,例如,羥胺、三級丁基羰氧基胺、及N-三級丁基羥胺為含氮反應物。
含氧共反應物的範例包含氧、臭氧、氧化亞氮、一氧化碳、一氧化氮、二氧化氮、硫氧化物、二氧化硫、含氧烴(CxHyOz)、水、及其混合物等。
該等反應物的流率很大程度地取決於沉積背側層所透過之反應的類型。在使用CVD/PECVD以沉積背側層的情況下,含矽反應物的流率可為介於約0.5至10 mL/min之間(在霧化之前),例如介於約0.5至5 mL/min之間。含氮反應物、含氧反應物、或其他共反應物的流率可為介於約3至25 SLM之間,例如介於約3至10 SLM之間。
在某些實施例中,在進一步的處理之後可將背側層移除。在此類情況下,應選擇背側層的組成,以使其可在適當的時間被輕易地移除。在此方面,於所期望之移除化學中,在背側層的材料(例如,介電質)與下方基板的材料(例如,矽)之間應有高選擇性。
背側層的最佳厚度會取決於由晶圓前側上之沉積所引起的應力量、以及沉積背側層的條件。可將背側層沉積至晶圓中應力變得可忽略(例如,小於約150 MPa)的厚度。在該等或其他實施例中,可將背側層沉積至晶圓彎曲變得可忽略(例如,小於約150 μm的彎曲)的厚度。在一些情況下,此對應於介於約0.1至2 μm之間的背側層厚度,例如介於約0.3至2 μm之間、或介於約0.1至1 μm之間、或介於約0.3至1 μm之間。在使用矽氮化物以形成背側層的情況下,具有約0.3 μm厚度之薄膜足以減輕約50至200 μm的彎曲。如上所提及,可使用較高應力的背側層以使所需之膜層厚度減小。此有助於節省材料及降低成本。針對關於背側沉積技術的更多資訊,可參照由與本申請案相同之受讓人所有的美國專利申請案第14/285,554號,並且在此藉由參照而引入。
應理解,本實施例可以許多方式實施,例如製程、設備、系統、元件、或方法。若干實施例描述如下。
薄膜的沉積在一實施例中係在電漿輔助化學氣相沉積(PECVD)系統中實施。PECVD系統可採取許多不同的形式。PECVD系統包含一或更多腔室或「反應器」(有時包含複數工作站),其容納一或更多晶圓、且適用於晶圓處理。每一腔室可容納一或更多晶圓以進行處理。一或更多腔室將晶圓維持在(複數的)所定義的位置中(在該位置內有或無動作,例如轉動、振動或其它擾動)。在處理期間,經受沉積的晶圓可從反應器腔室內的一站被轉移至另一站。當然,薄膜沉積可全部在單一工作站處進行,或者薄膜的任何部分可在任何數目的工作站處加以沉積。
在處理期間,每一晶圓係藉由底座、晶圓夾頭、及/或其他晶圓固持設備而被固持於適當的位置。對某些操作而言,設備可包含諸如加熱板之加熱器,以加熱晶圓。
圖1A顯示用以處理晶圓128的基板處理系統100。該系統包含腔室102。中心柱係配置為在基板128之頂表面受處理時(例如在頂表面上形成薄膜)支撐底座。依據本文所揭示實施例的底座被稱為噴淋頭-底座(「噴淋座」)106。噴淋頭104係設置於噴淋座106上方。在一實施例中,噴淋頭104係經由匹配網路125而電性耦接至電源122。電源122係由如控制器的控制模組120所控制。在其他實施例中,可能將功率提供給噴淋座106而非噴淋頭104。控制模組120係配置以藉由執行特定配方之製程輸入及控制而操作基板處理系統100。依據係基板128之頂表面接收沉積薄膜或係基板128之底表面接收沉積薄膜,控制模組120設定製程配方的各種操作輸入,如功率位準、時序參數、處理氣體、晶圓128之機械移動、晶圓128離噴淋座106的高度等。
中心柱亦可包含由抬升銷控制部所控制的抬升銷。抬升銷係用以使晶圓128從噴淋座106升起,以容許末端執行器拾取晶圓,並在末端執行器放置晶圓128後使其降低。末端執行器(未顯示)亦可將晶圓128放置於間隔件130上方。如下所述,間隔件130係於尺寸上設計成在噴淋頭104的頂表面(面向晶圓)與噴淋座106的頂表面(面向晶圓)之間提供晶圓128之受控間距。
基板處理系統100更包含連接至氣體源110(例如,來自工廠的氣體化學品供應及/或惰性氣體)的氣體歧管108。依據正在基板頂表面上執行的處理,控制模組120控制氣體源110經由氣體歧管108的輸送。接著使所選擇的氣體流至噴淋頭104中、並分佈在界定於以下者之間的空間容積中:噴淋頭104之面向晶圓128的面、及設置於底座106之上的晶圓。
基板處理系統100更包含連接至氣體源114(例如,來自工廠的氣體化學品供應及/或惰性氣體)的氣體歧管112。依據正在基板底表面上執行的處理,控制模組120控制氣體源114經由氣體歧管112的輸送。接著使所選擇的氣體流至噴淋座106中、並分佈在界定於以下者之間的空間容積中:噴淋座106之面向晶圓128下表面/側的面、及設置於間隔件130之上的晶圓。間隔件130提供使晶圓128下表面之沉積最佳化、同時使晶圓頂表面上之沉積減少的間隔。在一實施例中,當沉積針對晶圓128之下表面時,使惰性氣體經由噴淋頭104而流動於晶圓128的頂表面上,其將反應物氣體從頂表面推離、並使得由噴淋座106所提供的反應物氣體被導向晶圓128之下表面。
再者,氣體可進行預混合,或不然。可採用適當的閥件及質流控制機構來確保在製程的沉積及電漿處理階段期間輸送正確的氣體。處理氣體經由出口離開腔室。真空泵浦(例如,一或二段式機械乾式泵、及/或渦輪分子泵)將處理氣體抽出,並且藉由經閉迴路控制之流量限制裝置(例如,節流閥、或擺式閥)而在反應器內維持適當低的壓力。
亦顯示圍繞噴淋座106之外部區域的載送環124。當晶圓128之頂表面受處理時(例如,材料被沉積於其上),載送環124係配置成坐落於載送環支撐區域上,該載送環支撐區域係自噴淋座106中心內之晶圓支撐區域起的低一階處。載送環124包含其碟形結構的外緣側(例如,外半徑)、及其碟形結構之最接近設置晶圓128之處的晶圓邊緣側(例如,內半徑)。載送環124之晶圓邊緣側包含複數接觸支撐結構,該複數接觸支撐結構係配置成在載送環124被間隔件130所固持時抬起晶圓128。
在圖1B中,支架叉132係用以抬升載送環124、並使載送環124維持於其處理高度(亦即,用於沉積於晶圓128之下表面)。因此載送環124係與晶圓128一起被抬升、並可被旋轉至另一站(例如,在多站系統中)。
圖2顯示多站處理工具的俯視圖,其中設置四個處理站。圖1A與1B之實施例繪示腔室102,其可被實施於具有四個腔室站的圖2與3之腔室102中。圖2與3提供腔室部分的俯視圖(例如,為明示而移除頂部的腔室部分),其中四個工作站係藉由支架叉132進出。每一支架叉132或叉件包含第一及第二臂,該第一及第二臂之各者係定位於噴淋座106之各側之一部分的周圍。在此視圖中,支架叉132係以虛線繪製,以表達其係在載送環124下方。使用銜接及旋轉機構220的支架叉132係配置成升起並同時地從工作站抬升載送環124(例如,從載送環124的下表面),並且接著在使載送環124(此時,載送環其中至少一者支撐晶圓128)降低至下一位置之前旋轉至少一或更多站,使得進一步的電漿處理、處理、及/或薄膜沉積可在各晶圓128上進行。如上所述,在一實施例中,支架叉132可用以將晶圓128抬升至能夠在晶圓128之背側上沉積的高度,同時實質上避免沉積於晶圓128之頂側上,例如,如圖1B所示。
圖3顯示多站處理工具之實施例的示意圖,該多站處理工具具有入站裝載室148及出站裝載室140。大氣壓力下的機器人142係配置成從卡匣(透過盒件150而載入)經由大氣埠144而將基板128移入入站裝載室148。入站裝載室148係耦接至真空源(未顯示),因此在關閉大氣埠144時,入站裝載室148可被抽空。入站裝載室148亦包含與處理腔室102相接合的腔室轉移埠146。因此,當打開腔室轉移埠146時,另一機器人(未顯示)可將基板從入站裝載室148移至第一處理站的噴淋座106進行處理。
在圖3所顯示的實施例中,所繪示的處理腔室102包含從1編號至4的四個處理站。在一些實施例中,處理腔室102可配置以維持低壓環境,使得基板可在不經受真空破除及/或空氣曝露之情況下,使用載送環124而被轉移於處理站之間。圖3中所繪示的每一處理站包含一噴淋座106,其係配置以在將進行背側沉積時輸送處理氣體。在背側沉積期間,無論間隔件或支架叉被用於將晶圓自噴淋座106抬起,噴淋頭104係配置以將惰性氣體供應至基板之頂表面上,以避免或減少晶圓128之頂表面上的沉積。
圖3亦繪示用以在處理腔室102內轉移晶圓、並在背側沉積期間抬升晶圓128的支架叉132。如以下將更詳細描述,支架叉132亦可進行旋轉,並促成晶圓從一站至另一站的轉移。轉移係藉由促成支架叉132從外部下表面抬升載送環124而進行,該支架叉132接著將晶圓抬起,並且接著將晶圓及載送環124一起轉至下一站。在一配置中,支架叉132係由陶瓷材料所製成,以抵抗處理期間之高程度的熱。
在其他實施例中,取代使用支架叉132以抬升並轉移晶圓,槳式結構亦可用以抬升並轉移晶圓。槳件可被設置於複數站之間(相似於支架叉132坐落的方式),並可以相同方式運作。因此,為容易理解,論及支架叉132處應理解為亦適用於槳件構造,槳件構造可提供受控的抬升(例如在背側晶圓沉積期間)及工作站間的轉移。
廣泛而言,本文所揭示之實施例係用於以動態控制在晶圓之選擇側(前側及/或背側)上沉積PECVD薄膜的系統。一實施例包含雙重氣流電極以界定電容耦合式PECVD系統。該系統會包含氣流噴淋頭104及噴淋座106。在一實施例中,氣流底座(亦即,噴淋座)為噴淋頭及底座之組合,其促成晶圓背側上之沉積。電極幾何結合噴淋頭之特徵(例如,氣體混合充氣部、孔洞、孔洞圖案、防氣體噴射之隔板)與底座之特徵(例如,嵌入式受控加熱器、晶圓抬升機構、固持電漿抑制環的能力、以及可動性)。此促成在具有或不具有來自底座的RF功率之情況下的晶圓轉移及氣體處理。
在一實施例中,系統具有晶圓抬升機構,其容許嚴格控制基板相對於電極的平行性。在一實施例中,此係透過以下方式而達成:設置抬升機構以平行於兩個電極、以及控制製造公差(例如,轉軸或抬升銷機構)。藉由將晶圓抬升部件升起而界定另一實施例,但此選擇並不容許受沉積側之動態控制。
在一配置中,抬升機構容許在處理期間(在電漿之前、電漿期間、電漿之後)動態地控制距離,以控制沉積之側、沉積輪廓、及沉積薄膜的特性。系統更容許反應物所流動之側的選擇性啟動/停止。一側可流動反應物,且另一側可流動惰性氣體以抑制沉積與電漿。
在一實施例中,晶圓的不需電漿/沉積之側之間的間隙必須被嚴格控制至抑制電漿所需之最小值(否則會存在電漿損害)。在一範例中,此系統容許由約2 mm至約0.5 mm的最小間隙,而在另一實施例中,此系統容許由約1 mm至約0.05 mm的最小間隙(受晶圓彎曲所限制),並且此類間隙可受控制。在一實施例中,此間隙取決於製程條件。
在一實施例中,氣流底座(亦即,噴淋座)促成(但不限於):(a)在處理之前使晶圓熱穩定至處理溫度;(b)噴淋座上之孔洞圖案的選擇性設計以使薄膜選擇性地沉積於晶圓背側之不同區域中;(c)可裝設可更換之環件以達成適當的電漿局限及孔洞圖案;(d)腔室內之穩定的晶圓轉移機構,用於將晶圓向外轉移至另一腔室或卡匣,例如抬升銷、RF耦合特徵、最小接觸陣列;(e)實施氣體混合特徵,例如內充氣部、隔板、及歧管線開口;以及(f)在氣流底座(亦即,噴淋座)中加入隔間以使選擇性氣體流至晶圓背側之不同區域、並經由流量控制器及/或複數充氣部以控制流率。
在另一實施例中,使用晶圓抬升機構的動態間隙控制促成:(a)控制由沉積物或反應物流動電極至晶圓需沉積之側的距離、或位於中間使得兩側皆可被沉積;以及(b)抬升機構在處理期間(在電漿之前、電漿期間、電漿之後)動態地控制距離,以控制沉積之側、沉積輪廓、及沉積薄膜的特性。在另一實施例中,針對用於在晶圓背側上沉積的沉積模式而言,非常需要薄膜邊緣排除控制以避免微影相關的疊對問題。用於此系統中的抬升機構係透過載送環124而完成,該載送環124具有用以遮蔽邊緣上之沉積的設計特徵。此透過載送環的設計與外形而指定邊緣排除控制。
圖4A顯示噴淋座106之邊緣區域的橫剖面圖。此視圖提供具有載送環內半徑124a及載送環外半徑124b的載送環124橫剖面圖示。間隔件130係配置為坐落於噴淋座106上的由噴淋座106中階梯區域106g所界定之邊緣處。如圖4B所示,間隔件130較佳係相隔開,以從載送環124之下表面為載送環124提供均勻支撐。在一實施例中,載送環124會包含支撐延伸部124c,其延伸至載送環124之實質平坦表面的下方。
在一些實施例中,在晶圓邊緣與載送環內半徑之重疊減少的情況下,可能暴露基板的凹口。為了避免具有覆蓋凹口的間隙,載送環可被建構成在欲放置凹口之區域中具有延伸部。藉此方式,可能使背側沉積發生的區域延伸,同時避免因暴露的晶圓凹口而造成的沉積不均勻性。因此,可透過調整載送環124的幾何而處理各種尺寸的邊緣排除(EE)。
支撐延伸部124c係配置為接合且坐落於間隔件130之頂表面中所界定的支撐表面內。支撐表面為支撐延伸部124c提供互補的接合表面,使得載送環124在受間隔件130支撐時避免滑動或移動。雖然圖4B中顯示三個間隔件130,但應設想,任何數目的間隔件可被設置,只要可將載送環支撐為實質上平行於噴淋座106之表面,並且間距係界定以將基板128支撐離噴淋座106之頂表面於一間隔關係。
進一步顯示噴淋座106之頂表面包含孔洞圖案106a,該孔洞圖案106a係分佈於整個表面以在操作期間提供氣體的均勻分佈與輸出。在一實施例中,孔洞圖案106a係分佈於複數同心環中,該等同心環起自噴淋座106之頂表面中心處,並延伸至噴淋座106之外周。在孔洞圖案之邊緣孔洞區域107處設置至少一個環的孔洞106a,且界定於邊緣孔洞區域107中之噴嘴較佳為傾斜的,俾以不垂直於噴淋座106表面的方式提供氣體。
在一範例中,噴嘴在邊緣孔洞區域107中的角度或傾斜係界定為偏離噴淋座106之中心的傾斜或角度。在一實施例中,該角度與水平線約呈45°。在其他實施例中,該角度可在與水平線呈20°至與水平線呈80°之間變化。在一實施例中,透過在邊緣孔洞區域107中提供傾斜的噴嘴,可在基板128之背側沉積期間提供處理氣體的額外分佈。在一實施例中,孔洞圖案106a的剩餘噴嘴106d係定向實質垂直於噴淋座106的表面,且係指向基板128的下側。
圖4B顯示當基板128係由載送環124所固持時,基板128邊緣會坐落於邊緣區域上,該邊緣區域較靠近載送環內半徑124a。如將於其他圖式中更加詳細顯示,當使用間隔件130定位時,噴淋頭104之面向基板128頂表面的表面會實質上靠近,以在正對基板128背側進行沉積的模式期間避免沉積。
舉例而言,基板128的頂部與噴淋頭104的表面之間的距離較佳為介於約2 mm至0.5 mm之間,而在一些實施例中為介於約1 mm至約0.5 mm之間,其取決於晶圓彎曲。亦即,若晶圓係實質上彎曲的,則間距為大於0.5 mm。若晶圓尚未實質上彎曲,則間距可為小於0.5 mm。在一實施例中,較佳的情況為使間距最小化,以在利用材料層沉積基板背側時避免基板頂側上的沉積。在一實施例中,噴淋頭104係配置以在沉積基板背側期間將惰性氣體流動供應於基板128的頂側上,並且沉積氣體係由噴淋座106所供應。
圖5A顯示電漿處理系統的範例,該電漿處理系統包含定位於噴淋座106上方的噴淋頭104。在此範例中,間隔件130係顯示為支撐載送環124,且支撐延伸部124c被固持於間隔件130之凹陷區域內。如上所述,間隔件130係配置以將載送環124固持於一間隔距離,該間隔距離使載送環124與支撐於其上的晶圓128升高遠離噴淋座106的表面。
藉此方式,晶圓128之頂表面係以減小的間距實質上靠近於噴淋頭104之暴露表面104a而設置。如上所提及,減小的間距較佳係介於約2 mm至0.5 mm之間,而在一些實施例中為介於約1 mm至約0.5 mm之間,其取決於晶圓彎曲。亦即,若晶圓係實質上彎曲的,則間距為大於0.5 mm。若晶圓尚未實質上彎曲,則間距可為小於0.5 mm。此外,噴淋頭104係配置為:在透過噴淋座106供應反應物及處理氣體以處理背側沉積的模式期間,使惰性氣體在載送環124所支撐的晶圓之頂表面上流動。
進一步顯示界定噴淋座106表面上之孔洞圖案106a的複數噴嘴106d。亦顯示邊緣孔洞區域107,其指出邊緣孔洞區域可包含至少一列的周向排列的孔洞,該等孔洞係傾斜的以朝向噴淋座106之外緣提供處理氣體,俾將處理氣體提供至較靠近於載送環124所支撐之基板的下側邊緣。
圖5B顯示圖5A之電漿處理系統的橫剖面圖。在此範例中,噴淋座106之噴嘴106d及噴淋頭之噴嘴104d係顯示為分別提供從充氣部區域306及304流出的路徑。設置充氣部306及304以協助被引入噴淋座106及噴淋頭104之氣體的分配。隔板206係設置於噴淋座106中,該隔板206係沿著進入噴淋座106的氣體進入路徑312而定位。隔板206協助分配被引入噴淋座106之充氣部306的氣體。隔板204係設置於噴淋頭104中,該隔板204係沿著進入噴淋頭104的氣體進入路徑308而定位。隔板204協助分配被引入噴淋頭104之充氣部304的氣體。
進一步顯示耦接至噴淋座106之氣體進入路徑312的氣體歧管112。氣體源114係顯示為耦接至氣體歧管112。氣體源114係配置以將一或更多的不同類型的氣體提供至噴淋座106。舉例而言,氣體可為在載送環124被間隔件130所支撐時用於基板128背側上之沉積的反應氣體。在一實施例中,可透過使用噴淋座106使處理氣體(例如,矽烷(SiH4) + 氨(NH3))流動以沉積背側材料層,同時噴淋頭104輸送氮(N2)排淨氣體的流動。
另一範例背側沉積處理可為SiH4+N2O化學品,其可透過改變SIH4/N2O之流率及功率而加以調整,以賦予由0MPa至-400MPa之範圍內的應力。再者,吾人相信,使用SiH4+NH3化學品的SiN薄膜可提供由-1200MPa至+1200MPa的應力。使用TEOS化學品的SiO2
處理可提供由+200MPa至-400Mpa之範圍內的應力。因此,透過選擇化學品、施加氣體的位置、及厚度,以下為可能的:策略性地抵消由膜層之前側沉積所造成的應力,並減少或避免晶圓/基板翹曲。
再者,如上所述,當排淨氣體係由噴淋頭104所輸送時,噴淋頭與基板128的頂表面之間的間隙被最小化,例如,介於約0.5mm至約5mm之間。若晶圓彎曲過多,則間距可為大於0.5mm,但較佳為小於5mm,而在一實施例中,小於約3mm、或小於約2mm、或小於約1mm。
使SiH4+NH3流動(經由噴淋座106的噴嘴106d),SiN/SiO2
膜層被沉積於基板128的背側上,同時基板係以與噴淋座106之頂表面相間隔的關係由載送環124所固持。在相同腔室內進行頂側沉積之模式中,噴淋座106係作為底座操作,而無氣體流出噴嘴106d。在此配置中,依據所選的配方,不同類型的材料可被沉積於基板128的頂表面上。因此,使背側沉積能應對例如由氮化物、氧化物薄膜所引入的高應力。亦即,背側沉積補償了在頂側沉積期間所引起的應力並協助減少晶圓彎曲。
耦接至氣體進入路徑308的氣體歧管108設置有與氣體源110的連接。氣體進入路徑308提供用於將氣體供應至噴淋頭104中的通道,該氣體進入路徑308通向隔板204及充氣部304。氣體源110可提供不同類型的氣體,其取決於所執行的處理。舉例而言,若基板128進行背側沉積,則噴淋頭104係配置以輸送流動於基板128之頂表面上的惰性氣體,以避免基板128之頂表面上的沉積。
可配置噴淋頭104以在不同流率下供應氣體,俾在沉積背側表面時避免沉積氣體滲到頂表面上。若正在進行頂表面沉積,則基板128被降低至噴淋座106的表面上,且間隔件130被移開。在此配置中,頂表面沉積可利用被引入噴淋頭104的處理氣體而進行。在此階段期間,處理氣體並非藉由噴淋座106而引入,並且噴淋座106係作為標準的支撐夾頭或底座操作。因此,應理解,噴淋座106可操作於複數模式中,其取決於所沉積的表面,例如基板128的頂側表面或背側表面。
圖6A顯示電漿處理系統的另一實施例。在此實施例中,不利用間隔件130以將載送環124抬升至噴淋座106表面之上的適當高度。相對地,利用支架叉132以將載送環124抬升並維持於可控高度,因此載送環124所支撐之晶圓128的背側沉積可藉由通過噴淋座106之噴嘴106d所引入的反應氣體而進行。如上所討論,噴淋座106包含設置於同心圓中的複數噴嘴106d,該等同心圓自噴淋座106的中心延伸至邊緣。
可設置外緣區域107(其可包含一或更多的噴嘴同心圓)以提供反應氣體之傾斜輸出,該等反應氣體在載送環124將基板128固持時被導向基板128之下表面的外緣。在圖2及3中藉由示例的方式顯示支架叉132。應理解,用於搬運載送環124的替代方法亦可被採用。例如,設置於複數站之間的槳式支撐件亦可用於搬運載送環、並將載送環抬升至適當高度,以達到噴淋座106的頂表面與基板128的下側之間的間隔距離。廣泛而言,間隔件130、及支架叉132或槳件提供在離噴淋座106一間隔關係下固持載送環124(以及基板(當存在時))的基板支座。
在一實施例中,依據圖1A及4A-5B、用於在離噴淋器-底座一間隔關係下固持基板的基板支座係由複數間隔件130所界定。該等間隔件包含具有支撐表面以固持載送環的至少三個間隔件,並且載送環係配置以在基板存在時於該間隔關係下固持基板。
依據圖1B、6A及6B,用於在離噴淋器-底座一間隔關係下固持基板的基板支座係由支架叉或槳件之其中一者所界定,支架叉或槳件係配置以固持載送環,載送環係配置以在基板存在時於該間隔關係下固持基板。
圖7A顯示噴淋座106的俯視圖,且孔洞圖案106a具有噴嘴106d之同心圓,其自噴淋座106之中心延伸至噴淋座106之孔洞圖案106a的外周。如圖所示,噴嘴106d之至少一個同心圓係沿著邊緣孔洞區域107而加以界定。如上所提及,建構邊緣孔洞區域107以使噴嘴傾斜遠離中心,因此在載送環124於間隔關係下將基板128固持於噴淋座106之上時,氣體可被導向基板128的邊緣。此範例中亦顯示支架叉132,其用以將載送環124抬升至間隔距離,如圖6A及6B所示。
在一實施例中,噴淋座106亦包含一加熱器,該加熱器係整合於其中以在頂側沉積期間提供加熱功能。可分配加熱器元件以提供均勻的加熱分佈,同時仍供在背側沉積期間輸送氣體的噴嘴106d通過。
如上所提及,基板128的頂部與噴淋頭104的表面104a之間的間隔距離較佳係介於約2 mm至0.5 mm之間,而在一些實施例中為介於約1 mm至約0.5 mm之間,其取決於晶圓彎曲。亦即,若晶圓係實質上彎曲的,則間距為大於0.5 mm。若晶圓尚未實質上彎曲,則間距可為小於0.5 mm。在一實施例中,當基板被固持於間隔距離時,基板的背側與噴淋座106的頂表面之間的間隔距離為介於約8 mm至約14 mm之間,而在一範例中,基板128的下側與噴淋座106的頂表面之間的間隔距離為約10 mm。
在一些實施例中,在噴淋座106中形成噴嘴的同心環亦可被分隔成不同區帶。例如,可界定外側區帶以將用於沉積特定類型之材料之一種類型的氣體施加於基板背側,同時將不同的氣體組合供應至基板背側的中心部分。藉由供應不同氣體通過噴嘴106d的不同區帶,以下情況係可能的:利用不同類型的材料、不同厚度、及不同區帶來標定基板背側的不同區域。
圖7B-7C顯示可如何界定不同的區帶。圖7B顯示可依據應用而向內或向外調整的內側區帶、以及外側區帶。圖7C顯示三個區帶,例如內側區帶、中間區帶、及外側區帶。
在一些實施例中,可由外側區帶(其可包含一些同心環)輸出沉積氣體,而不由中心區域之噴嘴流動氣體。藉由此舉,以下情況係可能的:影響沉積於基板背側上之材料的厚度,並且策略性地對抗由基板頂表面上之材料層所造成的翹曲。在一些實施例中,噴淋座106可包含同心區帶以外的不同定向之區帶。
其他定向之區帶亦可包含在不同象限、圓餅的不同切片、不同區域等中設置區帶,其可利用設置於噴淋座106內的不同充氣部而加以單獨控制。因此,應設想,設置於噴淋座106中的噴嘴106d及孔洞圖案106a可被設計為將相同或不同的氣體輸送至不同區帶,以影響基板背側上之不同厚度的沉積,俾對抗由基板之頂表面或頂側上加工的複數膜層所造成的基板翹曲。
圖8顯示用以控制上述系統之控制模組800。在一實施例中,圖1之控制模組120可包含一些範例元件。例如,控制模組800可包含處理器、記憶體及一或更多介面。可採用控制模組800以部份基於所感測之數值而控制系統中的裝置。僅舉例而言,基於所感測之數值及其他控制參數,控制模組800可控制一或更多之閥802、濾波加熱器804、泵浦806、及其他裝置808。控制模組800,僅舉例而言,從壓力測壓器810、流量計812、溫度感測器814、及/或其他感測器816接收所感測之數值。亦可採用控制模組800以在前驅物輸送及薄膜沉積期間控制處理條件。控制模組800通常包含一或更多之記憶體裝置及一或更多之處理器。
控制模組800可控制前驅物輸送系統及沉積設備之作業。控制模組800執行電腦程式,包含用於控制以下者之指令集:特定處理的處理時序、輸送系統溫度、過濾器兩端之壓差、閥之位置、氣體之混合、腔室壓力、腔室溫度、晶圓溫度、RF功率位準、晶圓夾頭或底座位置、以及其他參數。控制模組800亦可監視壓差及自動切換從一或更多路徑到一或更多其他路徑的蒸氣前驅物輸送。儲存於記憶體裝置、與控制模組800相關的其他電腦程式可於一些實施例中被採用。
通常會存在與控制模組800相關的使用者介面。該使用者介面可包含顯示器818(例如顯示螢幕、及/或設備及/或處理條件的圖形軟體顯示器) 、以及使用者輸入裝置820,例如指向裝置、鍵盤、觸控螢幕、傳聲器等。
用以控制處理序列中的前驅物之輸送、沉積及其他處理之電腦程式可以任何習用電腦可讀取程式語言寫入:例如,組合語言、C、C++、Pascal、Fortran或其他。藉由處理器執行編譯目的碼或指令碼以執行程式中所識別之工作。
控制模組參數係關於處理條件,例如過濾器之壓差、處理氣體之成分及流動速率、溫度、壓力、電漿條件(例如RF功率位準及低頻RF之頻率)、冷卻氣體壓力、以及腔室牆溫度。
系統軟體可以許多方式設計或配置。例如,可寫入許多腔室元件之子程式或控制目的,以控制必要的腔室元件之操作,俾實現創造性的沉積處理。為此目的之程式或程式區段之範例包含基板定位碼、處理氣體控制碼、壓力控制碼、加熱器控制碼、以及電漿控制碼。
基板定位碼可包含用以控制腔室元件的程式碼,該等腔室元件係用以裝載基板至底座上或夾頭上、及用以控制基板與腔室的其他部件(例如氣體入口及/或目標)之間的間距。處理氣體控制程式可包含程式碼,用以控制氣體成分及流動速率及可選擇地用以在沉積之前將氣體流入腔室,俾穩定腔室中的壓力。過濾器監視程式包含將所量測的壓差與預先決定的數值作比較之碼、及/或用以開關路徑之碼。壓力控制程式可包含用以藉由調整例如腔室之排放系統中的節流閥以控制腔室內的壓力之碼。加熱器控制程式可包含用以控制前驅物輸送系統、基板及/或系統之其他部分中的加熱元件之加熱單元的電流之碼。或者,加熱器控制程式可控制熱傳氣體(例如氦氣)輸送至晶圓夾頭。
在沉積期間可監視之感測器之範例包含(但不限於)位於輸送系統、底座或夾頭(例如溫度感測器814)中的質量流量控制模組、壓力感測器(例如壓力測壓器810)、以及熱電隅。可將適當程式化之回授及控制演算法與來自該等感測器之資料配合使用,以維持所期望之處理條件。上述描述在單一或多腔室半導體處理工具中本發明之實施例的執行。
上述之實施例說明已為例釋及敘述之目的而提供。非意圖為詳盡的或作為限制本發明。即使未特意加以顯示或描述,特定實施例之個別元素或特徵一般而言不限於該特定實施例,而係在適用情況下皆為可通用且可用於所選之實施例。相同物亦可以許多方式而作變化。如此之變化不被認為係離開本發明,且所有如此之修改均應包含於本發明之範圍內。
雖然上述之實施例為了清楚理解的目的已以一些細節加以描述,但顯然地,某些改變與修飾可在隨附申請專利範圍之範疇內加以實施。因此,所描述的實施例係被視為說明性而非限制性的,且該等實施例非受限於本文所提供之細節,而可在其範疇及申請專利範圍之等效設計內加以修飾。
100‧‧‧基板處理系統
102‧‧‧腔室
104‧‧‧噴淋頭
104a‧‧‧表面
104d‧‧‧噴嘴
106‧‧‧噴淋座
106a‧‧‧孔洞圖案
106d‧‧‧噴嘴
106g‧‧‧階梯區域
107‧‧‧邊緣孔洞區域
108‧‧‧氣體歧管
110‧‧‧氣體源
112‧‧‧氣體歧管
114‧‧‧氣體源
120‧‧‧控制模組
122‧‧‧電源
124‧‧‧載送環
124a‧‧‧載送環內半徑
124b‧‧‧載送環外半徑
124c‧‧‧支撐延伸部
125‧‧‧匹配網路
128‧‧‧基板/晶圓
130‧‧‧間隔件/支撐件
132‧‧‧支架叉
140‧‧‧出站裝載室
142‧‧‧機器人
144‧‧‧大氣埠
146‧‧‧腔室轉移埠
148‧‧‧入站裝載室
150‧‧‧盒件
204‧‧‧隔板
206‧‧‧隔板
220‧‧‧銜接及旋轉機構
304‧‧‧充氣部
306‧‧‧充氣部
308‧‧‧氣體進入路徑
312‧‧‧氣體進入路徑
800‧‧‧控制模組
802‧‧‧閥
804‧‧‧濾波加熱器
806‧‧‧泵浦
808‧‧‧其他裝置
810‧‧‧壓力測壓器
812‧‧‧流量計
814‧‧‧溫度感測器
816‧‧‧其他感測器
818‧‧‧顯示器
820‧‧‧輸入裝置
依據一些實施例,圖1A及1B顯示基板處理系統,其可配置用於背側晶圓沉積。
依據一實施例,圖2顯示多站處理工具的俯視圖,其中設置四個處理站。
依據一實施例,圖3顯示具有入站裝載室及出站裝載室之多站處理工具之實施例的示意圖。
圖4A提供當基板128被抬升離噴淋器-底座之頂表面一間隔距離時噴淋器-底座之邊緣的橫剖面圖。
依據一實施例,圖4B顯示在基板之背側沉積期間用以支撐載送環之間隔件的俯視圖。
依據一實施例,圖5A及5B顯示腔室圖,其顯示當在基板上執行背側沉積時位於一間隔距離處之支撐載送環的間隔件。
依據一實施例,圖6A及6B顯示腔室圖,其顯示當在基板上執行背側沉積時用以於一間隔距離處支撐載送環的支架叉。
依據一些實施例,圖7A-7C顯示噴淋器-底座的俯視圖,其具有界定整個圖案的噴嘴同心環,並顯示出可針對不同半徑區域及不同區隔而界定各種區帶,該等半徑區域包括中心半徑區域、中間半徑區域、外側半徑區域。
依據一實施例,圖8顯示用於控制系統的控制模組。
Claims (20)
- 一種電漿處理系統,其包含: 一腔室; 一控制器; 一噴淋頭,其係配置於該腔室中; 一第一氣體歧管,其係連接至該噴淋頭以響應來自該控制器的控制而從一第一氣體源提供第一氣體; 一噴淋器-底座,其係配置於該腔室中並定向於該噴淋頭的對側; 一第二氣體歧管,其係連接至該噴淋器-底座以響應來自該控制器的控制而從一第二氣體源提供第二氣體; 一基板支座,用於在與該噴淋器-底座分隔之一間隔關係下固持一基板;以及 一射頻(RF)電源,用於在該基板存在於該腔室中並由該基板支座固持於與該噴淋器-底座分隔之該間隔關係下時,將功率提供給該噴淋頭以產生電漿,俾在該基板之背側上沉積薄膜。
- 如申請專利範圍第1項之電漿處理系統,其中該噴淋器-底座包含垂直噴嘴之孔洞圖案以輸出由該第二氣體源所提供的該第二氣體,該孔洞圖案界定複數圓形同心環,該複數圓形同心環自該噴淋器-底座的中心延伸至該噴淋器-底座的外半徑,其中該噴淋器-底座的至少一外環包含複數傾斜孔洞噴嘴,該複數傾斜孔洞噴嘴在遠離該噴淋器-底座之中心的方向上從垂線傾斜遠離。
- 如申請專利範圍第1項之電漿處理系統,其中該噴淋器-底座包含通向該噴淋器-底座之本體內之隔板的一氣體進入路徑,該隔板連接至與複數噴嘴相接合的一內充氣部,該複數噴嘴連接至分佈於該噴淋器-底座之表面上的孔洞圖案。
- 如申請專利範圍第1項之電漿處理系統,其中該噴淋器-底座包含由複數圓形同心環所界定的孔洞圖案,該複數圓形同心環自該噴淋器-底座的中心延伸至該噴淋器-底座的外半徑,其中該噴淋器-底座的至少一外環包含複數傾斜孔洞噴嘴,該複數傾斜孔洞噴嘴在遠離該噴淋器-底座之中心的方向上從垂線傾斜遠離。
- 如申請專利範圍第4項之電漿處理系統,其中該噴淋器-底座包含通向該噴淋器-底座之本體內之隔板的一氣體進入路徑,該隔板與提供路徑至複數噴嘴的內充氣部相接合,該複數噴嘴通向形成於該噴淋器-底座之整個表面的孔洞圖案。
- 如申請專利範圍第5項之電漿處理系統,其中該噴淋頭包含通向該噴淋頭之本體內之隔板的一氣體進入路徑,該隔板與提供路徑至複數噴嘴的內充氣部相接合,該複數噴嘴通向形成於該噴淋頭之整個表面的孔洞圖案。
- 如申請專利範圍第1項之電漿處理系統,其中用於在與該噴淋器-底座分隔之該間隔關係下固持該基板的該基板支座係由複數間隔件所界定,該等間隔件包含具有支撐表面以固持載送環的至少三個間隔件,該載送環係配置以在該基板存在時於該間隔關係下固持該基板。
- 如申請專利範圍第7項之電漿處理系統,其中該載送環具有擁有一內半徑及一外半徑的碟形,靠近該內半徑的一唇部係配置以支撐該基板,且該載送環的下表面具有至少三個支撐延伸部,以在該載送環被支撐於該複數間隔件上時與該至少三個間隔件接合,該複數間隔件係配置為坐落於該噴淋器-底座的外周上。
- 如申請專利範圍第8項之電漿處理系統,其中該複數間隔件之各者具有一設定高度,該設定高度係配置以使該載送環的上表面或支撐於該載送環上時的該基板與該噴淋頭的表面之間的間距減小,該間距被設定為介於約2 mm至約0.5 mm之間。
- 如申請專利範圍第9項之電漿處理系統,其中用於在該基板之背側上沉積薄膜的處理模式係在該基板被置於該間距時由該控制器啟動,並且該第一氣體使一惰性氣體流動,而由該噴淋器-底座所提供的該第二氣體使一反應物氣體流動以在該基板之該背側上形成所述薄膜。
- 如申請專利範圍第1項之電漿處理系統,其中用於在與該噴淋器-底座分隔之該間隔關係下固持該基板的該基板支座係由支架叉或槳件之其中一者所界定,該支架叉或槳件係配置以固持一載送環,該載送環係配置以在該基板存在時於該間隔關係下固持該基板。
- 如申請專利範圍第11項之電漿處理系統,其中該支架叉或槳件係配置以設定該載送環的上表面或支撐於該載送環上時的該基板與該噴淋頭的表面之間的間距,該間距被設定為介於約2 mm至約0.5 mm之間。
- 如申請專利範圍第12項之電漿處理系統,其中用於在該基板之背側上沉積薄膜的處理模式係在該基板被置於該間距時由該控制器啟動,並且該第一氣體使一惰性氣體流動,而由該噴淋器-底座所提供的該第二氣體使一反應物氣體流動以在該基板之該背側上形成所述薄膜。
- 一種用於在一電漿處理系統中處理基板的方法,該電漿處理系統具有一噴淋頭及定向於該噴淋頭下方的一噴淋器-底座,該方法包含: 在該噴淋頭與該噴淋器-底座之間設置一載送環,該載送環係配置以支撐該基板; 使一處理氣體以朝向該基板之背側的方向流出該噴淋器-底座; 將功率施加至該電漿處理系統的電極,該功率係配置以在該噴淋器-底座的頂表面與該基板的該背側之間的區域中產生電漿,該電漿係配置以由該處理氣體產生沉積於該基板之該背側上的一材料層;並且 使一惰性氣體以朝向該基板之頂側的方向流出該噴淋頭,該惰性氣體的流動係配置為在該處理氣體流動時進行,以使用該惰性氣體將該處理氣體從該基板之該頂側排淨,俾在該材料層被沉積於該基板之該背側上時,避免在所述頂側上的沉積。
- 如申請專利範圍第14項之用於在一電漿處理系統中處理基板的方法,其中該噴淋器-底座包含界定噴嘴的複數孔洞,該等噴嘴係配置為: 在延伸靠近該噴淋器-底座之邊緣的中心區域內,以朝向該基板之該背側的方向、垂直於該噴淋器-底座之表面而引導該處理氣體的流動;以及 使用設置於該噴淋器-底座的邊緣中的複數噴嘴以遠離該中心區域的角度引導該處理氣體的流動。
- 如申請專利範圍第14項之用於在一電漿處理系統中處理基板的方法,其中該噴淋器-底座包含複數區帶,用於在不同流率下輸送該處理氣體、或將不同的處理氣體施加於該複數區帶之各者,其中該不同的處理氣體係配置以在該基板之該背側上界定不同的材料沉積區帶。
- 如申請專利範圍第14項之用於在一電漿處理系統中處理基板的方法,其中該載送環的頂表面被定位於距離該噴淋頭之頂表面至少0.5 mm、並與該噴淋器-底座相隔開。
- 如申請專利範圍第14項之用於在一電漿處理系統中處理基板的方法,其中該載送環係由複數間隔件所支撐,使得該載送環在該處理氣體之流動期間被放置靠近於該噴淋頭,並且一處理空間係界定於該基板的該背側與該噴淋器-底座的該頂表面之間。
- 如申請專利範圍第14項之用於在一電漿處理系統中處理基板的方法,其中支架叉係用於在執行該處理氣體的流動時將該載送環抬升於離該噴淋器-底座的該頂表面一間隔距離之處。
- 如申請專利範圍第14項之用於在一電漿處理系統中處理基板的方法,其中該功率被施加至該噴淋頭或該噴淋器-底座之其中一者。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/692,300 | 2017-08-31 | ||
US15/692,300 US10851457B2 (en) | 2017-08-31 | 2017-08-31 | PECVD deposition system for deposition on selective side of the substrate |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201930640A true TW201930640A (zh) | 2019-08-01 |
TWI762709B TWI762709B (zh) | 2022-05-01 |
Family
ID=65434865
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107130221A TWI762709B (zh) | 2017-08-31 | 2018-08-30 | 用於在基板選擇側沉積的pecvd沉積系統 |
TW111111545A TWI800332B (zh) | 2017-08-31 | 2018-08-30 | 用於在基板選擇側沉積的pecvd沉積系統 |
TW112109300A TWI832722B (zh) | 2017-08-31 | 2018-08-30 | 用於在基板選擇側沉積的pecvd沉積系統 |
Family Applications After (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW111111545A TWI800332B (zh) | 2017-08-31 | 2018-08-30 | 用於在基板選擇側沉積的pecvd沉積系統 |
TW112109300A TWI832722B (zh) | 2017-08-31 | 2018-08-30 | 用於在基板選擇側沉積的pecvd沉積系統 |
Country Status (8)
Country | Link |
---|---|
US (6) | US10851457B2 (zh) |
EP (1) | EP3676418A4 (zh) |
JP (1) | JP7303796B2 (zh) |
KR (7) | KR20230158133A (zh) |
CN (3) | CN119615129A (zh) |
SG (1) | SG11202001651UA (zh) |
TW (3) | TWI762709B (zh) |
WO (1) | WO2019046134A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI840764B (zh) * | 2021-03-19 | 2024-05-01 | 台灣積體電路製造股份有限公司 | 薄膜沉積系統及其方法 |
Families Citing this family (33)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10851457B2 (en) * | 2017-08-31 | 2020-12-01 | Lam Research Corporation | PECVD deposition system for deposition on selective side of the substrate |
KR102560283B1 (ko) * | 2018-01-24 | 2023-07-26 | 삼성전자주식회사 | 샤워 헤드를 설계하고 제조하는 장치 및 방법 |
US10526703B2 (en) * | 2018-03-15 | 2020-01-07 | Taiwan Semiconductor Manufacturing Company Ltd. | Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities |
US20190390341A1 (en) * | 2018-06-26 | 2019-12-26 | Lam Research Corporation | Deposition tool and method for depositing metal oxide films on organic materials |
CN112513324B (zh) * | 2018-08-09 | 2023-04-11 | 东京毅力科创株式会社 | 成膜装置和成膜方法 |
WO2020068254A1 (en) * | 2018-09-25 | 2020-04-02 | Applied Materials, Inc. | Methods and apparatus to eliminate wafer bow for cvd and patterning hvm systems |
KR102641752B1 (ko) * | 2018-11-21 | 2024-03-04 | 삼성전자주식회사 | 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법 |
US10790466B2 (en) * | 2018-12-11 | 2020-09-29 | Feng-wen Yen | In-line system for mass production of organic optoelectronic device and manufacturing method using the same system |
CN111321391A (zh) * | 2018-12-13 | 2020-06-23 | 夏泰鑫半导体(青岛)有限公司 | 用于半导体制造的喷头 |
CN113366145B (zh) * | 2019-01-31 | 2024-10-11 | 朗姆研究公司 | 具有可调式气体出口的喷头 |
JP6807420B2 (ja) * | 2019-02-21 | 2021-01-06 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
JP7520868B2 (ja) * | 2019-03-11 | 2024-07-23 | アプライド マテリアルズ インコーポレイテッド | 基板処理チャンバ用のリッドアセンブリ装置及び方法 |
TW202445725A (zh) | 2019-03-15 | 2024-11-16 | 美商蘭姆研究公司 | 半導體製造應用中的摩擦攪拌銲接 |
US11225715B2 (en) * | 2019-04-11 | 2022-01-18 | Samsung Electronics Co., Ltd. | Showerhead, semiconductor manufacturing apparatus including the same, and semiconductor manufacturing method |
FI129040B (fi) | 2019-06-06 | 2021-05-31 | Picosun Oy | Fluidia läpäisevien materiaalien päällystäminen |
KR20240130154A (ko) * | 2019-08-16 | 2024-08-28 | 램 리써치 코포레이션 | 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착 |
US11859284B2 (en) * | 2019-08-23 | 2024-01-02 | Taiwan Semiconductor Manufacturing Company Ltd. | Shower head structure and plasma processing apparatus using the same |
JP6860048B2 (ja) * | 2019-08-30 | 2021-04-14 | 株式会社明電舎 | 原子層堆積方法 |
GB2588932B (en) * | 2019-11-15 | 2022-08-24 | Dyson Technology Ltd | Method and apparatus for sputter deposition of target material to a substrate |
JP7527928B2 (ja) * | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
US20230032481A1 (en) * | 2020-01-03 | 2023-02-02 | Lam Research Corporation | Station-to-station control of backside bow compensation deposition |
KR20250033324A (ko) | 2020-02-11 | 2025-03-07 | 램 리써치 코포레이션 | 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들 |
US20230238223A1 (en) * | 2020-06-25 | 2023-07-27 | Lam Research Corporation | Carrier rings with radially-varied plasma impedance |
CN112853316B (zh) * | 2020-12-31 | 2023-03-14 | 拓荆科技股份有限公司 | 镀膜装置及其承载座 |
CN113388826A (zh) * | 2021-06-01 | 2021-09-14 | 长江存储科技有限责任公司 | 一种沉积装置和沉积方法 |
WO2023141162A1 (en) * | 2022-01-21 | 2023-07-27 | Lam Research Corporation | Apparatuses for backside wafer processing with edge-only wafer contact related application(s) |
WO2024129260A1 (en) * | 2022-12-12 | 2024-06-20 | Lam Research Corporation | Controlling gas flows in processing tool |
US20240242939A1 (en) * | 2023-01-13 | 2024-07-18 | Micron Technology, Inc. | Plasma-assisted film removal for wafer fabrication |
CN116716590A (zh) * | 2023-04-06 | 2023-09-08 | 拓荆科技(上海)有限公司 | 一种背面沉积腔室及化学气相沉积设备 |
WO2024215502A1 (en) * | 2023-04-10 | 2024-10-17 | Lam Research Corporation | Bow compensation of semiconductor substrate using plasma jet |
US20250022694A1 (en) * | 2023-07-13 | 2025-01-16 | Applied Materials, Inc. | Heater plates with distributed purge channels, rf meshes and ground electrodes |
WO2025034455A1 (en) * | 2023-08-04 | 2025-02-13 | Lam Research Corporation | Chemical deposition system and method for deposition |
CN116926511A (zh) * | 2023-09-18 | 2023-10-24 | 上海陛通半导体能源科技股份有限公司 | 气相沉积设备和晶圆应力调整方法 |
Family Cites Families (151)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US4892753A (en) | 1986-12-19 | 1990-01-09 | Applied Materials, Inc. | Process for PECVD of silicon oxide using TEOS decomposition |
JPH0834187B2 (ja) | 1989-01-13 | 1996-03-29 | 東芝セラミックス株式会社 | サセプタ |
JPH07110991B2 (ja) | 1989-10-02 | 1995-11-29 | 株式会社日立製作所 | プラズマ処理装置およびプラズマ処理方法 |
JP3061401B2 (ja) * | 1990-07-20 | 2000-07-10 | 株式会社東芝 | 半導体気相成長装置 |
JP3323530B2 (ja) * | 1991-04-04 | 2002-09-09 | 株式会社日立製作所 | 半導体装置の製造方法 |
JP2763222B2 (ja) | 1991-12-13 | 1998-06-11 | 三菱電機株式会社 | 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置 |
EP0550058B1 (en) | 1991-12-30 | 1998-11-11 | Texas Instruments Incorporated | A programmable multizone gas injector for single-wafer semiconductor processing equipment |
US6279506B1 (en) | 1995-06-26 | 2001-08-28 | Aixtron Ag | Reactor for coating plane substrates and method for producing said substrates |
DE19522525A1 (de) * | 1994-10-04 | 1996-04-11 | Kunze Concewitz Horst Dipl Phy | Verfahren und Vorrichtung zum Feinstreinigen von Oberflächen |
JPH0950992A (ja) * | 1995-08-04 | 1997-02-18 | Sharp Corp | 成膜装置 |
US5792269A (en) * | 1995-10-31 | 1998-08-11 | Applied Materials, Inc. | Gas distribution for CVD systems |
DE19622402C1 (de) | 1996-06-04 | 1997-10-16 | Siemens Ag | Vorrichtung zum Behandeln wenigstens eines Substrats sowie Verwendung der Vorrichtung |
NL1003538C2 (nl) | 1996-07-08 | 1998-01-12 | Advanced Semiconductor Mat | Werkwijze en inrichting voor het contactloos behandelen van een schijfvormig halfgeleidersubstraat. |
US6183565B1 (en) | 1997-07-08 | 2001-02-06 | Asm International N.V | Method and apparatus for supporting a semiconductor wafer during processing |
US5981899A (en) | 1997-01-17 | 1999-11-09 | Balzers Aktiengesellschaft | Capacitively coupled RF-plasma reactor |
US5789028A (en) | 1997-03-04 | 1998-08-04 | Lsi Logic Corporation | Method for eliminating peeling at end of semiconductor substrate in metal organic chemical vapor deposition of titanium nitride |
JP3582330B2 (ja) | 1997-11-14 | 2004-10-27 | 東京エレクトロン株式会社 | 処理装置及びこれを用いた処理システム |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6179924B1 (en) | 1998-04-28 | 2001-01-30 | Applied Materials, Inc. | Heater for use in substrate processing apparatus to deposit tungsten |
US6170496B1 (en) * | 1998-08-26 | 2001-01-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Apparatus and method for servicing a wafer platform |
NL1011856C2 (nl) | 1999-04-21 | 2000-10-24 | Asm Internat B V | Floating wafer reactor alsmede werkwijze voor het regelen van de temperatuur daarvan. |
US6565661B1 (en) * | 1999-06-04 | 2003-05-20 | Simplus Systems Corporation | High flow conductance and high thermal conductance showerhead system and method |
JP2001068538A (ja) | 1999-06-21 | 2001-03-16 | Tokyo Electron Ltd | 電極構造、載置台構造、プラズマ処理装置及び処理装置 |
US6245192B1 (en) | 1999-06-30 | 2001-06-12 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
US20020062792A1 (en) * | 1999-07-14 | 2002-05-30 | Seh America, Inc. | Wafer support device and reactor system for epitaxial layer growth |
WO2001071784A1 (fr) * | 2000-03-17 | 2001-09-27 | Hitachi, Ltd. | Procede de fabrication de semi-conducteurs et appareil de fabrication |
US8308931B2 (en) | 2006-08-16 | 2012-11-13 | Novellus Systems, Inc. | Method and apparatus for electroplating |
KR100717583B1 (ko) * | 2000-08-26 | 2007-05-15 | 주성엔지니어링(주) | Pecvd 장치 |
US7534977B2 (en) * | 2000-12-28 | 2009-05-19 | Semiconductor Energy Laboratory Co., Ltd. | Heat treatment apparatus and method of manufacturing a semiconductor device |
JP4545955B2 (ja) | 2001-01-10 | 2010-09-15 | ルネサスエレクトロニクス株式会社 | 半導体製造装置及び半導体装置の製造方法 |
EP1361604B1 (en) | 2001-01-22 | 2009-03-18 | Tokyo Electron Limited | Device and method for treatment |
NL1018086C2 (nl) | 2001-05-16 | 2002-11-26 | Asm Int | Werkwijze en inrichting voor het thermisch behandelen van substraten. |
US6800187B1 (en) | 2001-05-31 | 2004-10-05 | Novellus Systems, Inc. | Clamshell apparatus for electrochemically treating wafers |
JP2003027242A (ja) | 2001-07-18 | 2003-01-29 | Hitachi Cable Ltd | プラズマcvd装置及びそれを用いた成膜方法 |
MY148924A (en) | 2001-09-29 | 2013-06-14 | Cree Inc | Apparatus for inverted multi-wafer mocvd fabrication |
JP2003115483A (ja) | 2001-10-05 | 2003-04-18 | Seiko Instruments Inc | 基板の湾曲を低減させる薄膜積層素子の製造方法 |
KR100439948B1 (ko) * | 2002-04-19 | 2004-07-12 | 주식회사 아이피에스 | 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법 |
US6821347B2 (en) * | 2002-07-08 | 2004-11-23 | Micron Technology, Inc. | Apparatus and method for depositing materials onto microelectronic workpieces |
US6884296B2 (en) * | 2002-08-23 | 2005-04-26 | Micron Technology, Inc. | Reactors having gas distributors and methods for depositing materials onto micro-device workpieces |
US20040142558A1 (en) * | 2002-12-05 | 2004-07-22 | Granneman Ernst H. A. | Apparatus and method for atomic layer deposition on substrates |
WO2004059736A1 (ja) | 2002-12-25 | 2004-07-15 | Fujitsu Limited | 半導体装置の製造方法 |
US7153772B2 (en) | 2003-06-12 | 2006-12-26 | Asm International N.V. | Methods of forming silicide films in semiconductor devices |
US6892769B2 (en) * | 2003-06-30 | 2005-05-17 | Lg.Philips Lcd Co., Ltd. | Substrate bonding apparatus for liquid crystal display device panel |
JP4413084B2 (ja) | 2003-07-30 | 2010-02-10 | シャープ株式会社 | プラズマプロセス装置及びそのクリーニング方法 |
US7410355B2 (en) * | 2003-10-31 | 2008-08-12 | Asm International N.V. | Method for the heat treatment of substrates |
US7022627B2 (en) * | 2003-10-31 | 2006-04-04 | Asm International N.V. | Method for the heat treatment of substrates |
US6940047B2 (en) * | 2003-11-14 | 2005-09-06 | Asm International N.V. | Heat treatment apparatus with temperature control system |
US20050196971A1 (en) | 2004-03-05 | 2005-09-08 | Applied Materials, Inc. | Hardware development to reduce bevel deposition |
US20070110895A1 (en) | 2005-03-08 | 2007-05-17 | Jason Rye | Single side workpiece processing |
EP1833078B1 (en) | 2004-07-09 | 2013-03-20 | Sekisui Chemical Co., Ltd. | Apparatus and method for processing the outer periphery of a substrate |
US20060137609A1 (en) | 2004-09-13 | 2006-06-29 | Puchacz Jerzy P | Multi-single wafer processing apparatus |
JP4185483B2 (ja) | 2004-10-22 | 2008-11-26 | シャープ株式会社 | プラズマ処理装置 |
US7217670B2 (en) * | 2004-11-22 | 2007-05-15 | Asm International N.V. | Dummy substrate for thermal reactor |
JP4584722B2 (ja) * | 2005-01-13 | 2010-11-24 | シャープ株式会社 | プラズマ処理装置および同装置により製造された半導体素子 |
KR100690491B1 (ko) | 2005-02-18 | 2007-03-09 | 후지쯔 가부시끼가이샤 | 반도체 장치의 제조 방법 |
US8137465B1 (en) | 2005-04-26 | 2012-03-20 | Novellus Systems, Inc. | Single-chamber sequential curing of semiconductor wafers |
US7247582B2 (en) | 2005-05-23 | 2007-07-24 | Applied Materials, Inc. | Deposition of tensile and compressive stressed materials |
JP4508054B2 (ja) | 2005-09-12 | 2010-07-21 | パナソニック株式会社 | 電極部材の製造方法 |
JP4674512B2 (ja) * | 2005-09-12 | 2011-04-20 | パナソニック株式会社 | プラズマ処理装置 |
US7666766B2 (en) | 2005-09-27 | 2010-02-23 | Semiconductor Energy Laboratory Co., Ltd. | Film formation apparatus, method for forming film, and method for manufacturing photoelectric conversion device |
US8097120B2 (en) * | 2006-02-21 | 2012-01-17 | Lam Research Corporation | Process tuning gas injection from the substrate edge |
CN101389415A (zh) | 2006-02-22 | 2009-03-18 | 赛迈有限公司 | 单侧工件处理 |
JP2007242858A (ja) | 2006-03-08 | 2007-09-20 | Wafermasters Inc | ウエハ処理システム及び処理方法 |
KR100818390B1 (ko) | 2006-08-24 | 2008-04-01 | 동부일렉트로닉스 주식회사 | 화학기상증착장비의 샤워헤드 구조 및 이 샤워헤드를이용한 가스분사방법 |
US8951351B2 (en) * | 2006-09-15 | 2015-02-10 | Applied Materials, Inc. | Wafer processing hardware for epitaxial deposition with reduced backside deposition and defects |
US8852349B2 (en) | 2006-09-15 | 2014-10-07 | Applied Materials, Inc. | Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects |
KR20080048243A (ko) * | 2006-11-28 | 2008-06-02 | 엘지디스플레이 주식회사 | 플라즈마 화학기상 증착장치 |
US8580078B2 (en) * | 2007-01-26 | 2013-11-12 | Lam Research Corporation | Bevel etcher with vacuum chuck |
US7858898B2 (en) | 2007-01-26 | 2010-12-28 | Lam Research Corporation | Bevel etcher with gap control |
US7943007B2 (en) | 2007-01-26 | 2011-05-17 | Lam Research Corporation | Configurable bevel etcher |
WO2008114958A1 (en) * | 2007-03-16 | 2008-09-25 | Sosul Co., Ltd. | Apparatus for plasma processing and method for plasma processing |
KR20080092766A (ko) * | 2007-04-13 | 2008-10-16 | (주)소슬 | 기판 지지대 및 이를 구비하는 플라즈마 처리 장치 |
KR101357699B1 (ko) * | 2007-03-16 | 2014-02-04 | 참엔지니어링(주) | 플라즈마 처리 장치 및 이를 이용한 플라즈마 처리 방법 |
US8216419B2 (en) | 2008-03-28 | 2012-07-10 | Bridgelux, Inc. | Drilled CVD shower head |
US20090096349A1 (en) * | 2007-04-26 | 2009-04-16 | Moshtagh Vahid S | Cross flow cvd reactor |
US20090000550A1 (en) * | 2007-06-29 | 2009-01-01 | Applied Materials, Inc. | Manifold assembly |
EP2202785A4 (en) | 2007-09-04 | 2010-11-10 | Sharp Kk | PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD, AND SEMICONDUCTOR ELEMENT |
US7807222B2 (en) * | 2007-09-17 | 2010-10-05 | Asm International N.V. | Semiconductor processing parts having apertures with deposited coatings and methods for forming the same |
KR101432561B1 (ko) | 2007-11-23 | 2014-08-22 | (주)소슬 | 박막 제조 방법 및 박막 제조 장치 |
KR101548398B1 (ko) | 2007-12-06 | 2015-08-28 | 인테벡, 인코포레이티드 | 기판의 양면 스퍼터 에칭을 위한 시스템 및 방법 |
US20090155488A1 (en) * | 2007-12-18 | 2009-06-18 | Asm Japan K.K. | Shower plate electrode for plasma cvd reactor |
SG187386A1 (en) | 2007-12-19 | 2013-02-28 | Lam Res Corp | A composite showerhead electrode assembly for a plasma processing apparatus |
JP5548841B2 (ja) | 2008-01-16 | 2014-07-16 | チャーム エンジニアリング シーオー エルティーディー | 基板処理装置 |
EP2104135B1 (en) * | 2008-03-20 | 2013-06-12 | Siltronic AG | A semiconductor wafer with a heteroepitaxial layer and a method for producing the wafer |
KR101515150B1 (ko) | 2008-04-07 | 2015-04-27 | 참엔지니어링(주) | 플라즈마 처리 장치 및 플라즈마 처리 방법 |
JP2011517087A (ja) * | 2008-04-07 | 2011-05-26 | チャーム エンジニアリング シーオー エルティーディー | プラズマ処理装置及びプラズマ処理方法 |
KR20090118676A (ko) | 2008-05-14 | 2009-11-18 | (주)퓨전에이드 | 기판처리장치 |
US9238867B2 (en) * | 2008-05-20 | 2016-01-19 | Asm International N.V. | Apparatus and method for high-throughput atomic layer deposition |
US20090291209A1 (en) | 2008-05-20 | 2009-11-26 | Asm International N.V. | Apparatus and method for high-throughput atomic layer deposition |
US8147648B2 (en) * | 2008-08-15 | 2012-04-03 | Lam Research Corporation | Composite showerhead electrode assembly for a plasma processing apparatus |
CN101358337B (zh) | 2008-09-25 | 2010-08-04 | 上海蓝光科技有限公司 | 一种非极性GaN薄膜的生长方法 |
DE102009020436A1 (de) | 2008-11-04 | 2010-09-16 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Verfahren und Vorrichtung zur Plasmabehandlung eines flachen Substrats |
US20100130017A1 (en) * | 2008-11-21 | 2010-05-27 | Axcelis Technologies, Inc. | Front end of line plasma mediated ashing processes and apparatus |
EP2251897B1 (en) | 2009-05-13 | 2016-01-06 | Siltronic AG | A method for producing a wafer comprising a silicon single crystal substrate having a front and a back side and a layer of SiGe deposited on the front side |
JP4969607B2 (ja) | 2009-05-25 | 2012-07-04 | シャープ株式会社 | 半導体積層構造体の製造方法 |
US20100314725A1 (en) | 2009-06-12 | 2010-12-16 | Qualcomm Incorporated | Stress Balance Layer on Semiconductor Wafer Backside |
EP2281921A1 (en) * | 2009-07-30 | 2011-02-09 | Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO | Apparatus and method for atomic layer deposition. |
US8334017B2 (en) | 2009-09-18 | 2012-12-18 | Applied Materials, Inc. | Apparatus and methods for forming energy storage and photovoltaic devices in a linear system |
JP2011119472A (ja) | 2009-12-03 | 2011-06-16 | Panasonic Corp | 半導体製造装置 |
US8562750B2 (en) | 2009-12-17 | 2013-10-22 | Lam Research Corporation | Method and apparatus for processing bevel edge |
US20110256734A1 (en) | 2010-04-15 | 2011-10-20 | Hausmann Dennis M | Silicon nitride films and methods |
US8101531B1 (en) | 2010-09-23 | 2012-01-24 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
JP4794685B1 (ja) | 2010-10-19 | 2011-10-19 | ミクロ技研株式会社 | 基板処理装置及び基板処理方法 |
US8531654B2 (en) | 2010-10-21 | 2013-09-10 | Panduit Corp. | Method for designing and selecting optical fiber for use with a transmitter optical subassembly |
US8470614B2 (en) * | 2010-10-28 | 2013-06-25 | Texas Instruments Incorporated | PECVD showerhead configuration for CMP uniformity and improved stress |
EP2481832A1 (en) * | 2011-01-31 | 2012-08-01 | Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO | Apparatus for atomic layer deposition |
EP2481833A1 (en) * | 2011-01-31 | 2012-08-01 | Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO | Apparatus for atomic layer deposition |
EP2481830A1 (en) * | 2011-01-31 | 2012-08-01 | Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO | Apparatus for atomic layer deposition. |
KR101937115B1 (ko) | 2011-03-04 | 2019-01-09 | 노벨러스 시스템즈, 인코포레이티드 | 하이브리드 세라믹 샤워헤드 |
US9175391B2 (en) | 2011-05-26 | 2015-11-03 | Intermolecular, Inc. | Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead |
US8562785B2 (en) * | 2011-05-31 | 2013-10-22 | Lam Research Corporation | Gas distribution showerhead for inductively coupled plasma etch reactor |
US8980767B2 (en) | 2012-01-13 | 2015-03-17 | Applied Materials, Inc. | Methods and apparatus for processing a substrate |
WO2014064779A1 (ja) | 2012-10-24 | 2014-05-01 | 株式会社Jcu | プラズマ処理装置及び方法 |
CN103904155B (zh) * | 2012-12-28 | 2017-12-05 | 上海理想万里晖薄膜设备有限公司 | 硅基异质结太阳能电池真空处理系统及电池制备方法 |
KR101562192B1 (ko) | 2013-02-15 | 2015-10-22 | 최대규 | 플라즈마 반응기 |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9449808B2 (en) | 2013-05-29 | 2016-09-20 | Novellus Systems, Inc. | Apparatus for advanced packaging applications |
US10808317B2 (en) * | 2013-07-03 | 2020-10-20 | Lam Research Corporation | Deposition apparatus including an isothermal processing zone |
US9017526B2 (en) | 2013-07-08 | 2015-04-28 | Lam Research Corporation | Ion beam etching system |
US20150020848A1 (en) | 2013-07-19 | 2015-01-22 | Lam Research Corporation | Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning |
US9328416B2 (en) | 2014-01-17 | 2016-05-03 | Lam Research Corporation | Method for the reduction of defectivity in vapor deposited films |
JP6713413B2 (ja) | 2014-01-21 | 2020-06-24 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 低圧ツール交換を可能にする原子層堆積処理チャンバ |
KR101564962B1 (ko) | 2014-01-29 | 2015-11-03 | 주식회사 루미스탈 | 기판의 하면 에칭이 가능한 반도체 기판 처리 장치 및 이를 이용한 반도체 기판 처리 방법 |
KR102014279B1 (ko) | 2014-02-27 | 2019-08-26 | 주식회사 원익아이피에스 | 기판 처리 장치 |
US9741575B2 (en) * | 2014-03-10 | 2017-08-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | CVD apparatus with gas delivery ring |
US9881788B2 (en) * | 2014-05-22 | 2018-01-30 | Lam Research Corporation | Back side deposition apparatus and applications |
US11097974B2 (en) * | 2014-07-31 | 2021-08-24 | Corning Incorporated | Thermally strengthened consumer electronic glass and related systems and methods |
BR112017002106A2 (pt) * | 2014-07-31 | 2017-11-21 | Corning Inc | ?vidro termicamente temperado e métodos e aparelhos para têmpera térmica do vidro? |
US10611664B2 (en) | 2014-07-31 | 2020-04-07 | Corning Incorporated | Thermally strengthened architectural glass and related systems and methods |
US9793096B2 (en) * | 2014-09-12 | 2017-10-17 | Lam Research Corporation | Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity |
US10242848B2 (en) * | 2014-12-12 | 2019-03-26 | Lam Research Corporation | Carrier ring structure and chamber systems including the same |
US10648079B2 (en) * | 2014-12-19 | 2020-05-12 | Lam Research Corporation | Reducing backside deposition at wafer edge |
CN104835712A (zh) * | 2015-03-25 | 2015-08-12 | 沈阳拓荆科技有限公司 | 一种应用于半导体等离子体处理装置的弧面喷淋头 |
US20160289827A1 (en) | 2015-03-31 | 2016-10-06 | Lam Research Corporation | Plasma processing systems and structures having sloped confinement rings |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US10358722B2 (en) * | 2015-12-14 | 2019-07-23 | Lam Research Corporation | Showerhead assembly |
US9870917B2 (en) | 2015-12-17 | 2018-01-16 | Lam Research Corporation | Variable temperature hardware and methods for reduction of wafer backside deposition |
US10301718B2 (en) | 2016-03-22 | 2019-05-28 | Lam Research Corporation | Asymmetric pedestal/carrier ring arrangement for edge impedance modulation |
US9644271B1 (en) * | 2016-05-13 | 2017-05-09 | Lam Research Corporation | Systems and methods for using electrical asymmetry effect to control plasma process space in semiconductor fabrication |
US11024531B2 (en) | 2017-01-23 | 2021-06-01 | Lam Research Corporation | Optimized low energy / high productivity deposition system |
US20180334746A1 (en) | 2017-05-22 | 2018-11-22 | Lam Research Corporation | Wafer Edge Contact Hardware and Methods to Eliminate Deposition at Wafer Backside Edge and Notch |
US10851457B2 (en) | 2017-08-31 | 2020-12-01 | Lam Research Corporation | PECVD deposition system for deposition on selective side of the substrate |
KR102404061B1 (ko) | 2017-11-16 | 2022-05-31 | 삼성전자주식회사 | 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치 |
KR102538177B1 (ko) | 2017-11-16 | 2023-05-31 | 삼성전자주식회사 | 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치 |
US10109517B1 (en) | 2018-01-10 | 2018-10-23 | Lam Research Corporation | Rotational indexer with additional rotational axes |
CN112513324B (zh) | 2018-08-09 | 2023-04-11 | 东京毅力科创株式会社 | 成膜装置和成膜方法 |
CN109273378B (zh) | 2018-09-20 | 2021-11-02 | 长江存储科技有限责任公司 | 平衡晶圆弯曲度分布的方法 |
KR102185623B1 (ko) | 2019-05-20 | 2020-12-02 | 주식회사 테스 | 박막증착장치 및 박막증착방법 |
KR20240130154A (ko) | 2019-08-16 | 2024-08-28 | 램 리써치 코포레이션 | 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
-
2017
- 2017-08-31 US US15/692,300 patent/US10851457B2/en active Active
-
2018
- 2018-08-24 KR KR1020237038352A patent/KR20230158133A/ko active Pending
- 2018-08-24 EP EP18852315.3A patent/EP3676418A4/en active Pending
- 2018-08-24 SG SG11202001651UA patent/SG11202001651UA/en unknown
- 2018-08-24 JP JP2020511239A patent/JP7303796B2/ja active Active
- 2018-08-24 WO PCT/US2018/048029 patent/WO2019046134A1/en unknown
- 2018-08-24 KR KR1020217041758A patent/KR102490172B1/ko active Active
- 2018-08-24 KR KR1020237001699A patent/KR20230015507A/ko active Pending
- 2018-08-24 CN CN202411476389.7A patent/CN119615129A/zh active Pending
- 2018-08-24 CN CN201880055786.3A patent/CN111094620B/zh active Active
- 2018-08-24 CN CN202210991402.7A patent/CN115613010B/zh active Active
- 2018-08-24 KR KR1020217041761A patent/KR102490171B1/ko active Active
- 2018-08-24 KR KR1020237013677A patent/KR20230060549A/ko not_active Ceased
- 2018-08-24 KR KR1020237022595A patent/KR20230107707A/ko not_active Ceased
- 2018-08-24 KR KR1020207009256A patent/KR102490169B1/ko active Active
- 2018-08-30 TW TW107130221A patent/TWI762709B/zh active
- 2018-08-30 TW TW111111545A patent/TWI800332B/zh active
- 2018-08-30 TW TW112109300A patent/TWI832722B/zh active
-
2020
- 2020-10-26 US US17/080,749 patent/US20210108314A1/en not_active Abandoned
-
2021
- 2021-12-16 US US17/644,761 patent/US11725283B2/en active Active
- 2021-12-16 US US17/644,759 patent/US11441222B2/en active Active
- 2021-12-16 US US17/644,760 patent/US11851760B2/en active Active
-
2024
- 2024-03-21 US US18/612,809 patent/US20240309507A1/en active Pending
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI840764B (zh) * | 2021-03-19 | 2024-05-01 | 台灣積體電路製造股份有限公司 | 薄膜沉積系統及其方法 |
US12014910B2 (en) | 2021-03-19 | 2024-06-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method and system for adjusting location of a wafer and a top plate in a thin-film deposition process |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI832722B (zh) | 用於在基板選擇側沉積的pecvd沉積系統 | |
KR102695104B1 (ko) | 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착 방법 및 장치 | |
US20230238223A1 (en) | Carrier rings with radially-varied plasma impedance | |
JP7624460B2 (ja) | 裏面処理のためのステーション可変支持フィーチャを備えたマルチステーション処理ツール |