Skip to main content
... The authors wish to thank the Philips Research Materials Analysis department for the various analysis methods that support this work, Mark van Dal, Bert Huizing, Johan Klootwijk, Wiho van Noon, Robbert Weemaes, Rob Wolters, and the... more
... The authors wish to thank the Philips Research Materials Analysis department for the various analysis methods that support this work, Mark van Dal, Bert Huizing, Johan Klootwijk, Wiho van Noon, Robbert Weemaes, Rob Wolters, and the members of the BiCMOS project for the ...
We show control of the crystal structure of indium phosphide (InP) and gallium phosphide (GaP) nanowires by impurity dopants. More importantly, we demonstrate that we can, once we have enforced the zinc blende crystal structure, induce... more
We show control of the crystal structure of indium phosphide (InP) and gallium phosphide (GaP) nanowires by impurity dopants. More importantly, we demonstrate that we can, once we have enforced the zinc blende crystal structure, induce twinning superlattices with long-range order in the length direction in the nanowires. The spacing of the superlattices is tuned by the wire diameter and
We have investigated the morphology of heterostructured GaP-GaAs nanowires grown by metal-organic vapor-phase epitaxy as a function of growth temperature and V/III precursor ratio. The study of heterostructured nanowires with transmission... more
We have investigated the morphology of heterostructured GaP-GaAs nanowires grown by metal-organic vapor-phase epitaxy as a function of growth temperature and V/III precursor ratio. The study of heterostructured nanowires with transmission electron microscopy tomography allowed the three-dimensional morphology to be resolved, and discrimination between the effect of axial (core) and radial (shell) growth on the morphology. A temperature- and precursor-dependent structure diagram for the GaP nanowire core morphology and the evolution of the different types of side facets during GaAs and GaP shell growth were constituted.
ABSTRACT Control of the nucleation behavior during atomic layer deposition (ALD) of metals is of great importance for the deposition of metallic thin films and nanoparticles, and for nanopatterning applications. In this work it is... more
ABSTRACT Control of the nucleation behavior during atomic layer deposition (ALD) of metals is of great importance for the deposition of metallic thin films and nanoparticles, and for nanopatterning applications. In this work it is established for Pt ALD, that the exposure to O2 during the O2 pulse of the ALD process is the key parameter controlling the nucleation behavior. The O2 dependence of the Pt nucleation is explained by the enhanced diffusion of Pt species in the presence of oxygen, and the resulting faster aggregation of Pt atoms in metal clusters that catalyze the surface reactions of ALD growth. Moreover, it is demonstrated that the O2 exposure can be used as the parameter to tune the nucleation to enable (i) deposition of ultrathin films with minimal nucleation delay, (ii) preparation of single element or core/shell nanoparticles, and (iii) nanopatterning of metallic structures based on area-selective deposition.
Scandium nitride (ScN) and aluminum nitride (AlN) are novel semiconducting materials with tremendous potential for optoelectronic applications. While AlN's bandgap lies in the UV at 6 eV, ScN's bandgap is around 2-2.4 eV.... more
Scandium nitride (ScN) and aluminum nitride (AlN) are novel semiconducting materials with tremendous potential for optoelectronic applications. While AlN's bandgap lies in the UV at 6 eV, ScN's bandgap is around 2-2.4 eV. Combinations of these semiconductors can cover most of the visible spectrum (from the UV/violet to up to the color red). This makes the alloying of AlN with ScN an interesting alternative to AlxIn1-xN or InxGa1-xN, the latter of which is currently used for a.o. white light LEDs. The drawback of InN is its poor thermal and chemical stability, whereas ScN is extremely inert and stabile. In this chapter we will introduce the first ever semiconducting Sc x-Al1-xN alloy in the form of nanowires. These nanowires were grown on ScN films, deposited by hydride vapor phase epitaxy on silicon carbide substrates. Though their fabrication was unintentional, we can explain the growth of these ScxAl1-xN nanowires via the formation of nanosized metallic droplets of aluminum on the ScN film. These droplets then acted as catalysts under which the nanowires formed during the final stages of the growth process. The structural properties of these ScxAl1-xN nanowires on ScN films were examined by scanning electron microscopy (SEM), high resolution transmission electron microscopy (HRTEM), energy dispersive analysis of X-rays (EDX), and X-ray diffraction (XRD). The optical properties were investigated by single nanowire cathodoluminescence (CL).
MoS2 is a layered 2D semiconductor with thickness‐dependent electrical properties. Often, 6–12 nm of MoS2 are advised to be used as the channel material in field‐effect transistors (FETs) for achieving an optimal device electrical... more
MoS2 is a layered 2D semiconductor with thickness‐dependent electrical properties. Often, 6–12 nm of MoS2 are advised to be used as the channel material in field‐effect transistors (FETs) for achieving an optimal device electrical performance. However, this notion is based on exfoliated MoS2 flakes that cannot be employed for large‐area and wafer‐scale applications. In this work, the thickness‐dependent electrical properties of atomic layer deposition (ALD)‐based MoS2 FETs are studied. A two‐step approach is used for the synthesis of MoS2, wherein large‐area and thickness‐controlled MoOx films are initially grown using plasma‐enhanced (PE‐)ALD and subsequently sulfurized in H2S gas. The number of MoOx PE‐ALD cycles is varied systematically to obtain MoS2 films with a thickness range of 1–10 nm. Current–voltage (I–V) characterization of the fabricated MoS2 FETs with various channel thicknesses reveals that ≈1.2 nm MoS2 suffices in attaining the best device electrical performance. Scanning transmission electron microscopy imaging elucidates that the synthetic MoS2 films are polycrystalline and the resultant ≈1.2 nm of MoS2 are not completely continuous. The empty areas in the polycrystalline MoS2 network can serve as locations for side contact formation, leading to substantial improvements in the device metrics fabricated from such ultrathin MoS2 films.
We demonstrate an efficiency enhancement of an InP nanowire (NW) axial p-n junction solar cell by cleaning the NW surface. NW arrays were grown with in situ HCl etching on an InP substrate patterned by nanoimprint lithography, and the NWs... more
We demonstrate an efficiency enhancement of an InP nanowire (NW) axial p-n junction solar cell by cleaning the NW surface. NW arrays were grown with in situ HCl etching on an InP substrate patterned by nanoimprint lithography, and the NWs surfaces were cleaned after growth by piranha etching. We find that the postgrowth piranha etching is critical for obtaining a good solar cell performance. With this procedure, a high diode rectification factor of 10(7) is obtained at ±1 V. The resulting NW solar cell exhibits an open-circuit voltage (Voc) of 0.73 V, a short-circuit current density (Jsc) of 21 mA/cm(2), and a fill factor (FF) of 0.73 at 1 sun. This yields a power conversion efficiency of up to 11.1% at 1 sun and 10.3% at 12 suns.
The patterned growth of transition metal dichalcogenides (TMDs) and their lateral heterostructures is paramount for the fabrication of application-oriented electronics and optoelectronics devices. However, the large scale patterned growth... more
The patterned growth of transition metal dichalcogenides (TMDs) and their lateral heterostructures is paramount for the fabrication of application-oriented electronics and optoelectronics devices. However, the large scale patterned growth of TMDs remains challenging. Here, we demonstrate the synthesis of patterned polycrystalline 2D MoS2 thin films on device ready SiO2/Si substrates, eliminating any etching and transfer steps using a combination of plasma enhanced atomic layer deposition (PEALD) and thermal sulfurization. As an inherent advantage of ALD, precise thickness control ranging from a monolayer to few-layered MoS2 has been achieved. Furthermore, uniform films with exceptional conformality over 3D structures are obtained. Finally, the approach has been leveraged to obtain in-plane lateral heterostructures of 2D MoS2 and WS2 thin films over a large area which opens up an avenue for their direct integration in future nano- and opto-electronic device applications.
Texture has a significant impact on several key properties of transition-metal dichalcogenides (TMDs) films.
Silicon-Germanium in a hexagonal crystal-structure is a candidate material for a direct band-gap group IV semiconductor that can be integrated into the CMOS process. It has recently been synthesized as a crystalline shell grown epitaxial... more
Silicon-Germanium in a hexagonal crystal-structure is a candidate material for a direct band-gap group IV semiconductor that can be integrated into the CMOS process. It has recently been synthesized as a crystalline shell grown epitaxial around a nanowire core of hexagonal Gallium-Phosphide. In order to study the optical properties of this newly generated material and evaluate its potential for building optical devices it is necessary to grow defect and impurity free hexagonal Silicon-Germanium. Impurity detection and mapping in nano-structures is however challenging as most bulk and thin film characterization methods cannot be used. Here we show that Atom Probe Tomography can be used to map the impurities in hexagonal shells of Silicon-Germanium and Silicon. This will allow to optimize growth of hexagonal Silicon-Germanium nanocrystals towards impurity free, optically active crystals.
In this work we report the influence of methane/hydrogen on the nucleation and formation of MgTi bimetallic nanoparticles (NPs) prepared by gas phase synthesis. We show that a diverse variety of structural motifs can be obtained from MgTi... more
In this work we report the influence of methane/hydrogen on the nucleation and formation of MgTi bimetallic nanoparticles (NPs) prepared by gas phase synthesis. We show that a diverse variety of structural motifs can be obtained from MgTi alloy, TiCx/Mg/MgO, TiCx/MgO and TiHx/MgO core/shell NPs via synthesis using CH4/H2 as a trace gas, and with good control of the final NP morphology and size distribution. Moreover, depending on the concentration of Ti and type of employed trace gas, the as prepared MgTi NPs can be tuned from truncated hexagonal pyramid to triangular and hexagonal platelet shapes. The shape of MgTi NPs is identified using detailed analysis from selected area electron diffraction (SAED) patterns and tomography (3D reconstruction based on a tilt series of Bright-Field transmission electron microscopy (TEM) micrographs). We observe the truncated hexagonal pyramid as a shape of MgTi alloy NPs in contrast to Mg NPs that show a hexagonal prismatic shape. Moreover, based ...
HfO2 thin films were prepared by plasma-enhanced atomic layer deposition using a cyclopentadienyl-alkylamido precursor [HfCp(NMe2)3, HyALD™] and an O2 plasma over a temperature range of 150–400 °C at a growth per cycle around 1.1 Å/cycle.... more
HfO2 thin films were prepared by plasma-enhanced atomic layer deposition using a cyclopentadienyl-alkylamido precursor [HfCp(NMe2)3, HyALD™] and an O2 plasma over a temperature range of 150–400 °C at a growth per cycle around 1.1 Å/cycle. The high purity of the films was demonstrated by x-ray photoelectron spectroscopy and elastic recoil detection analyses which revealed that by increasing the deposition temperature from 200 to 400 °C, the atomic concentrations of residual carbon and hydrogen reduced from 1.0 to <0.5 at. % and 3.4 to 0.8 at. %, respectively. Moreover, Rutherford backscattering spectroscopy studies showed an improvement in stoichiometry of HfO2 thin films with the increase in deposition temperature, resulting in Hf/O ratio close to ∼0.5 at 400 °C. Furthermore, grazing incidence x-ray diffraction measurements detected a transition from amorphous at the deposition temperature of 300 °C to fully polycrystalline films at 400 °C, consisting of a mixture of monoclinic, ...
Semiconductor nanowires have increased the palette of possible heterostructures thanks to their more effective strain relaxation. Among these, core-shell heterostructures are much more sensitive to strain than axial ones. It is now... more
Semiconductor nanowires have increased the palette of possible heterostructures thanks to their more effective strain relaxation. Among these, core-shell heterostructures are much more sensitive to strain than axial ones. It is now accepted that the formation of misfit dislocations depends both on the lattice mismatch and relative dimensions of the core and the shell. Here, we show for the first time the existence of a new kind of defect in core-shell nanowires: cracks. These defects do not originate from a lattice mismatch (we demonstrate their appearance in an essentially zero-mismatch system) but from the thermal history during the growth of the nanowires. Crack defects lead to the development of secondary defects, such as type-I1 stacking faults and Frank-type dislocations. These results provide crucial information with important implications for the optimized synthesis of nanowire-based core-shell heterostructures.
Research Interests:
Future generations of cellular RF transceivers require higher degrees of integration, presumably using the third dimension. This paper describes technologies that we recently studied and which have found or may soon find their... more
Future generations of cellular RF transceivers require higher degrees of integration, presumably using the third dimension. This paper describes technologies that we recently studied and which have found or may soon find their implementation in RF and other System-in-Package (SiP) applications. In passive integration we describe options to integrate 3D ‘trench’ capacitors in silicon with a new world record capacitance density of ³ 400 nF/mm2 and break-down voltage > 6 V using Atomic Layer Deposition (ALD) of multiple MIM layer stacks of high-k dielectrics (Al2O3) and conductive layers (TiN). We also describe a few through-silicon via (TSV) drilling and filling techniques for 3D die and wafer stacking and generic SiP integration with a small form factor.Here, dry and wet-chemical methods were applied successfully in both the drilling and filling.We compare RIE etching and (photo)chemical etching, the latter method yielding ultrafine high aspect ratio (~1.5×200 µm) vias.We report on a ‘bottom-up’ Cuelectroplating method and on some preliminary Cu-paste filling tests.
Research Interests:
ABSTRACT
Abstract Vanadium dioxide displays thermochromic properties based on its structural phase transition from monoclinic VO2 (M) to rutile VO2 (R) and vice versa, and the accompanying reversible metal-insulator transition. We developed a... more
Abstract Vanadium dioxide displays thermochromic properties based on its structural phase transition from monoclinic VO2 (M) to rutile VO2 (R) and vice versa, and the accompanying reversible metal-insulator transition. We developed a single layer coating comprising VO2 (M) and SiO2. We applied the coating from an alcoholic solution comprising vanadium(IV) oxalate complex and pre-oligomerized tetra ethoxy silane to SiO2-coated float glass using dip coating, and thermally annealed the dried xerocoat in a two-step process. The addition of SiO2 as coating matrix resulted in non-scattering coatings with low surface roughness and random distribution of VO2 nanodomains (≤200 nm). Furthermore, the formation of the coating, comprising a phase separation yielding SiO2 and VO2 nanodomains during the thermal anneal, was studied in detail. The coating displays unrivalled optical properties, combining high visible light transmission Tvis > 60% and large solar modulation ΔTsol ≥ 10%. When applied in insulating glass units, the coating has a positive impact on energy savings for heating and cooling of buildings in intermediate climates, which we demonstrated through building energy simulations. For a typical house in the Netherlands, energy savings up to 24% were obtained. In addition, we demonstrate a coating stability comparable to current energy-efficient window coatings during processing into and in insulating glass units through (accelerated) life time tests.
Nanowire based solar cells have attracted great attention due to their potential for high efficiency and low device cost. Photovoltaic devices based on InP nanowires now have characteristics comparable to InP bulk solar cells. A detailed... more
Nanowire based solar cells have attracted great attention due to their potential for high efficiency and low device cost. Photovoltaic devices based on InP nanowires now have characteristics comparable to InP bulk solar cells. A detailed and direct correlation of the influence of growth conditions on performance is necessary to improve efficiency further. We explored the effects of the growth temperature, and of the addition of HCl during growth, on the efficiency of nanowire array based solar cell devices. By increasing HCl, the saturation dark current was reduced, and thereby the nanowire solar cell efficiency was enhanced from less than 1% to 7.6% under AM 1.5 illumination at 1 sun. At the same time, we observed that the solar cell efficiency decreased by increasing the tri-methyl-indium content, strongly suggesting that these effects are carbon related.
The preparation of Ru nanoparticles supported on γ-Al2O3 followed by chemical reduction using RuCl3 as a precursor is demonstrated, and their properties are compared to Ru nanoparticles supported on γ-Al2O3 prepared by impregnation of... more
The preparation of Ru nanoparticles supported on γ-Al2O3 followed by chemical reduction using RuCl3 as a precursor is demonstrated, and their properties are compared to Ru nanoparticles supported on γ-Al2O3 prepared by impregnation of γ-Al2O3 with Ru3(CO)12 and subsequent thermal decomposition. The Ru nanoparticles resulting from chemical reduction of RuCl3 are slightly larger (1.2 vs. 0.8 nm). In addition, Ru nanoparticles were deposited on Stöber SiO2 using both deposition techniques. These particles were larger than the ones deposited on γ-Al2O3 (2.5 and 3.4 nm for chemical reduction and thermal decomposition, respectively). Taking into account the size differences between the Ru nanoparticles, all catalysts display similar activity (0.14–0.63 mol·gRu−1·h−1) and selectivity (≥99%) in the sunlight-powered Sabatier reaction. Ergo, the use of toxic and volatile Ru3(CO)12 can be avoided, since catalysts prepared by chemical reduction of RuCl3 display similar catalytic performance.
We demonstrate the extensive study on how film density and crystallinity of molybdenum carbide ($MoC_{x}$) can be tailored during plasma-enhanced ALD (PEALD) by controlling either the plasma exposure time or the ion energy. We... more
We demonstrate the extensive study on how film density and crystallinity of molybdenum carbide ($MoC_{x}$) can be tailored during plasma-enhanced ALD (PEALD) by controlling either the plasma exposure time or the ion energy. We investigated $MoC_{x}$ films grown using $Mo(^tBuN)_2(NMe_2)_2$ as the precursor and $H_2/Ar$ plasma as the co-reactant at temperatures between 150°C and 300°C. We discover a threshold for graphitic layer formation at high mean ion energies during the PEALD cycle. The supplied high energy dose allows for hybridised $sp^{2}$ carbon bonds formation, similar to high temperature annealing. The graphitisation of the $MoC_{x}$ surface takes place at temperature of 300$^{\circ}C$. The graphitic film show a (101) plane diffraction peak with dominant intensity in XRD, and a typical $sp^{2}$ C1s peak along with carbidic metal in XPS measurements. Surface roughness of the film lowers significantly at the graphitisation regime of deposition. This low temperature graphitis...
The maximum conductivity achievable in Al-doped ZnO thin films prepared by atomic layer deposition (ALD) is limited by the low doping efficiency of Al. To better understand the limiting factors for the doping efficiency, the... more
The maximum conductivity achievable in Al-doped ZnO thin films prepared by atomic layer deposition (ALD) is limited by the low doping efficiency of Al. To better understand the limiting factors for the doping efficiency, the three-dimensional distribution of Al atoms in the ZnO host material matrix has been examined on the atomic scale using a combination of high-resolution transmission electron microscopy (TEM) and atom probe tomography (APT). Although the Al distribution in ZnO films prepared by so-called "ALD supercycles" is often presented as atomically flat δ-doped layers, in reality a broadening of the Al-dopant layers is observed with a full-width-half-maximum of ∼2 nm. In addition, an enrichment of the Al at grain boundaries is observed. The low doping efficiency for local Al densities > ∼1 nm can be ascribed to the Al solubility limit in ZnO and to the suppression of the ionization of Al dopants from adjacent Al donors.
Oxide and nitride thin-films of Ti, Hf, and Si serve numerous applications owing to the diverse range of their material properties. It is therefore imperative to have proper control over these properties during materials processing.... more
Oxide and nitride thin-films of Ti, Hf, and Si serve numerous applications owing to the diverse range of their material properties. It is therefore imperative to have proper control over these properties during materials processing. Ion-surface interactions during plasma processing techniques can influence the properties of a growing film. In this work, we investigated the effects of controlling ion characteristics (energy, dose) on the properties of the aforementioned materials during plasma-enhanced atomic layer deposition (PEALD) on planar and 3D substrate topographies. We used a 200 mm remote PEALD system equipped with substrate biasing to control the energy and dose of ions by varying the magnitude and duration of the applied bias, respectively, during plasma exposure. Implementing substrate biasing in these forms enhanced PEALD process capability by providing two additional parameters for tuning a wide range of material properties. Below the regimes of ion-induced degradation,...
Protecting groups are commonly applied in multi-step molecular syntheses to protect one or multiple functional groups from reacting. After the reaction, they are removed from the molecule. In full analogy to this concept, we report the... more
Protecting groups are commonly applied in multi-step molecular syntheses to protect one or multiple functional groups from reacting. After the reaction, they are removed from the molecule. In full analogy to this concept, we report the practical and scalable colloidal synthesis of Au semishells using polyphenylsiloxane protecting patches to prevent part of the surface of polystyrene nanoparticles from being covered with Au. After Au deposition, the patches are removed yielding Au semishells. We anticipate that this strategy can be extended to the synthesis of other types of non-centrosymmetric nanoparticles.
Ultralow-power gas sensing devices need to operate without an energy consuming heater element. This requires the design of sensing devices that are so efficient that they can operate at room temperature (RT). Here, we report on the RT... more
Ultralow-power gas sensing devices need to operate without an energy consuming heater element. This requires the design of sensing devices that are so efficient that they can operate at room temperature (RT). Here, we report on the RT sensing performance of atomic layer deposition (ALD) prepared i-ZnO and Al-doped ZnO sensing devices. The sensitivity of these devices has been catalytically enhanced with ALD Pt nanoparticles (NPs). It was shown that the size distribution of the Pt NPs can be controlled by the number of Pt-ALD cycles. The Pt-enhanced sensing devices showed a reversible, proportional change in current response at RT upon exposure to O2 and CO. O2 could be detected, diluted in N2, down to 0.5%. CO could be detected, diluted in N2 in the presence of O2 and H2O, down to 20 ppm. Reference devices without Pt NPs showed no response, indicating the importance of the Pt NPs for the sensing mechanism.
The doping efficiency and hence the electrical properties of atomic layer deposited ZnO can be improved by using a novel, safer boron precursor.

And 120 more