TWI394862B - 經化學去活化而使反應器表面鈍化 - Google Patents
經化學去活化而使反應器表面鈍化 Download PDFInfo
- Publication number
- TWI394862B TWI394862B TW093112463A TW93112463A TWI394862B TW I394862 B TWI394862 B TW I394862B TW 093112463 A TW093112463 A TW 093112463A TW 93112463 A TW93112463 A TW 93112463A TW I394862 B TWI394862 B TW I394862B
- Authority
- TW
- Taiwan
- Prior art keywords
- reaction space
- reactant
- reaction
- vapor deposition
- deposition
- Prior art date
Links
- 239000000126 substance Substances 0.000 title claims description 50
- 230000009849 deactivation Effects 0.000 title description 18
- 238000002161 passivation Methods 0.000 title description 4
- 238000006243 chemical reaction Methods 0.000 claims description 274
- 239000000376 reactant Substances 0.000 claims description 239
- 239000007789 gas Substances 0.000 claims description 211
- 238000000151 deposition Methods 0.000 claims description 184
- 230000008021 deposition Effects 0.000 claims description 176
- 238000000231 atomic layer deposition Methods 0.000 claims description 136
- 238000000034 method Methods 0.000 claims description 125
- 239000000758 substrate Substances 0.000 claims description 98
- 230000008569 process Effects 0.000 claims description 81
- 238000011282 treatment Methods 0.000 claims description 72
- 238000012545 processing Methods 0.000 claims description 70
- 239000010410 layer Substances 0.000 claims description 52
- 239000011241 protective layer Substances 0.000 claims description 39
- 238000007740 vapor deposition Methods 0.000 claims description 36
- 238000005229 chemical vapour deposition Methods 0.000 claims description 25
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 21
- 238000001179 sorption measurement Methods 0.000 claims description 19
- 239000013545 self-assembled monolayer Substances 0.000 claims description 18
- 238000004381 surface treatment Methods 0.000 claims description 18
- 125000002887 hydroxy group Chemical group [H]O* 0.000 claims description 17
- 239000012071 phase Substances 0.000 claims description 13
- 239000012808 vapor phase Substances 0.000 claims description 12
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical group [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 10
- 238000011068 loading method Methods 0.000 claims description 10
- 239000001301 oxygen Substances 0.000 claims description 10
- 229910052760 oxygen Inorganic materials 0.000 claims description 10
- 230000001681 protective effect Effects 0.000 claims description 10
- 239000002094 self assembled monolayer Substances 0.000 claims description 10
- 229910052757 nitrogen Inorganic materials 0.000 claims description 9
- 125000003118 aryl group Chemical group 0.000 claims description 8
- 230000000694 effects Effects 0.000 claims description 8
- 238000005137 deposition process Methods 0.000 claims description 7
- 230000004048 modification Effects 0.000 claims description 7
- 238000012986 modification Methods 0.000 claims description 7
- 125000000217 alkyl group Chemical group 0.000 claims description 6
- 150000004820 halides Chemical class 0.000 claims description 5
- 239000002243 precursor Substances 0.000 claims description 5
- 238000005019 vapor deposition process Methods 0.000 claims description 5
- 238000012856 packing Methods 0.000 claims description 4
- 239000004065 semiconductor Substances 0.000 claims description 4
- 229910052801 chlorine Inorganic materials 0.000 claims description 3
- 239000001257 hydrogen Substances 0.000 claims description 3
- 229910052739 hydrogen Inorganic materials 0.000 claims description 3
- 125000004169 (C1-C6) alkyl group Chemical group 0.000 claims description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 2
- 230000005660 hydrophilic surface Effects 0.000 claims description 2
- 229910052707 ruthenium Inorganic materials 0.000 claims description 2
- PYJJCSYBSYXGQQ-UHFFFAOYSA-N trichloro(octadecyl)silane Chemical compound CCCCCCCCCCCCCCCCCC[Si](Cl)(Cl)Cl PYJJCSYBSYXGQQ-UHFFFAOYSA-N 0.000 claims description 2
- 150000002431 hydrogen Chemical class 0.000 claims 1
- 238000006011 modification reaction Methods 0.000 claims 1
- 235000012431 wafers Nutrition 0.000 description 43
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 28
- 239000010408 film Substances 0.000 description 23
- 238000009826 distribution Methods 0.000 description 22
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 19
- 239000006227 byproduct Substances 0.000 description 18
- 239000002356 single layer Substances 0.000 description 18
- 238000002156 mixing Methods 0.000 description 14
- 238000002203 pretreatment Methods 0.000 description 13
- 238000003672 processing method Methods 0.000 description 12
- 150000001875 compounds Chemical class 0.000 description 11
- 238000012958 reprocessing Methods 0.000 description 11
- 230000015572 biosynthetic process Effects 0.000 description 10
- 238000004140 cleaning Methods 0.000 description 9
- 238000013461 design Methods 0.000 description 9
- 239000000047 product Substances 0.000 description 9
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 8
- 239000011261 inert gas Substances 0.000 description 8
- 229910052751 metal Inorganic materials 0.000 description 8
- 239000002184 metal Substances 0.000 description 8
- 239000010409 thin film Substances 0.000 description 8
- 230000032258 transport Effects 0.000 description 8
- 238000011144 upstream manufacturing Methods 0.000 description 8
- 230000004888 barrier function Effects 0.000 description 7
- 239000011248 coating agent Substances 0.000 description 7
- 238000000576 coating method Methods 0.000 description 7
- 239000000463 material Substances 0.000 description 7
- 239000000203 mixture Substances 0.000 description 7
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 7
- 230000002829 reductive effect Effects 0.000 description 7
- 239000007921 spray Substances 0.000 description 7
- 230000008901 benefit Effects 0.000 description 6
- 238000010586 diagram Methods 0.000 description 6
- 238000009792 diffusion process Methods 0.000 description 6
- -1 etc.) Substances 0.000 description 6
- 239000007788 liquid Substances 0.000 description 6
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 5
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 5
- 230000000737 periodic effect Effects 0.000 description 5
- 238000002360 preparation method Methods 0.000 description 5
- 239000012495 reaction gas Substances 0.000 description 5
- 239000010936 titanium Substances 0.000 description 5
- 229910052719 titanium Inorganic materials 0.000 description 5
- CINOYIQWMMQTGN-UHFFFAOYSA-N 1,1,1-trichlorononadecane Chemical compound C(CCCCCCCCCCCCCCCCC)C(Cl)(Cl)Cl CINOYIQWMMQTGN-UHFFFAOYSA-N 0.000 description 4
- 238000009825 accumulation Methods 0.000 description 4
- 238000000354 decomposition reaction Methods 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 238000011065 in-situ storage Methods 0.000 description 4
- 238000007689 inspection Methods 0.000 description 4
- 239000003446 ligand Substances 0.000 description 4
- 239000002052 molecular layer Substances 0.000 description 4
- 238000005979 thermal decomposition reaction Methods 0.000 description 4
- 230000009471 action Effects 0.000 description 3
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 3
- 239000012159 carrier gas Substances 0.000 description 3
- 238000009833 condensation Methods 0.000 description 3
- 230000005494 condensation Effects 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 125000005843 halogen group Chemical group 0.000 description 3
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 3
- 239000006193 liquid solution Substances 0.000 description 3
- 125000004430 oxygen atom Chemical group O* 0.000 description 3
- 238000005086 pumping Methods 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- 230000002441 reversible effect Effects 0.000 description 3
- 238000009738 saturating Methods 0.000 description 3
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- 238000010521 absorption reaction Methods 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 238000010420 art technique Methods 0.000 description 2
- 239000003153 chemical reaction reagent Substances 0.000 description 2
- 239000003795 chemical substances by application Substances 0.000 description 2
- IJOOHPMOJXWVHK-UHFFFAOYSA-N chlorotrimethylsilane Chemical compound C[Si](C)(C)Cl IJOOHPMOJXWVHK-UHFFFAOYSA-N 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 229910001873 dinitrogen Inorganic materials 0.000 description 2
- 238000011066 ex-situ storage Methods 0.000 description 2
- 230000005661 hydrophobic surface Effects 0.000 description 2
- 238000011221 initial treatment Methods 0.000 description 2
- 239000007791 liquid phase Substances 0.000 description 2
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 238000009718 spray deposition Methods 0.000 description 2
- 238000005507 spraying Methods 0.000 description 2
- 238000010025 steaming Methods 0.000 description 2
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 238000009834 vaporization Methods 0.000 description 2
- 230000008016 vaporization Effects 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 1
- 229910000990 Ni alloy Inorganic materials 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical group [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 229910001069 Ti alloy Inorganic materials 0.000 description 1
- 239000002099 adlayer Substances 0.000 description 1
- 125000002947 alkylene group Chemical group 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- WDIHJSXYQDMJHN-UHFFFAOYSA-L barium chloride Chemical compound [Cl-].[Cl-].[Ba+2] WDIHJSXYQDMJHN-UHFFFAOYSA-L 0.000 description 1
- 229910001626 barium chloride Inorganic materials 0.000 description 1
- 239000011324 bead Substances 0.000 description 1
- IYYIVELXUANFED-UHFFFAOYSA-N bromo(trimethyl)silane Chemical compound C[Si](C)(C)Br IYYIVELXUANFED-UHFFFAOYSA-N 0.000 description 1
- AIYUHDOJVYHVIT-UHFFFAOYSA-M caesium chloride Chemical compound [Cl-].[Cs+] AIYUHDOJVYHVIT-UHFFFAOYSA-M 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 125000001309 chloro group Chemical group Cl* 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 230000001351 cycling effect Effects 0.000 description 1
- 230000032798 delamination Effects 0.000 description 1
- 238000003795 desorption Methods 0.000 description 1
- 125000005265 dialkylamine group Chemical group 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 239000004744 fabric Substances 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 230000002401 inhibitory effect Effects 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 150000002605 large molecules Chemical class 0.000 description 1
- 229920002521 macromolecule Polymers 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 238000010297 mechanical methods and process Methods 0.000 description 1
- 230000005226 mechanical processes and functions Effects 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 239000013110 organic ligand Substances 0.000 description 1
- 150000001282 organosilanes Chemical class 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000007781 pre-processing Methods 0.000 description 1
- 239000011253 protective coating Substances 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 230000001568 sexual effect Effects 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 230000002269 spontaneous effect Effects 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 230000002194 synthesizing effect Effects 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 1
- 239000004408 titanium dioxide Substances 0.000 description 1
- ZOYFEXPFPVDYIS-UHFFFAOYSA-N trichloro(ethyl)silane Chemical compound CC[Si](Cl)(Cl)Cl ZOYFEXPFPVDYIS-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/403—Oxides of aluminium, magnesium or beryllium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/20—Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B05—SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D—PROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D1/00—Processes for applying liquids or other fluent materials
- B05D1/18—Processes for applying liquids or other fluent materials performed by dipping
- B05D1/185—Processes for applying liquids or other fluent materials performed by dipping applying monomolecular layers
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B05—SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D—PROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D1/00—Processes for applying liquids or other fluent materials
- B05D1/36—Successively applying liquids or other fluent materials, e.g. without intermediate treatment
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B05—SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D—PROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D1/00—Processes for applying liquids or other fluent materials
- B05D1/60—Deposition of organic layers from vapour phase
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y30/00—Nanotechnology for materials or surface science, e.g. nanocomposites
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4404—Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C22/00—Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
- C23C22/05—Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using aqueous solutions
- C23C22/68—Chemical surface treatment of metallic material by reaction of the surface with a reactive liquid, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using aqueous solutions using aqueous solutions with pH between 6 and 8
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
- C30B25/14—Feed and outlet means for the gases; Modifying the flow of the reactive gases
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B05—SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D—PROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D2203/00—Other substrates
- B05D2203/30—Other inorganic substrates, e.g. ceramics, silicon
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B05—SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D—PROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D2518/00—Other type of polymers
- B05D2518/10—Silicon-containing polymers
- B05D2518/12—Ceramic precursors (polysiloxanes, polysilazanes)
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B05—SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D—PROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D3/00—Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
- B05D3/04—Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
- B05D3/0433—Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases the gas being a reactive gas
- B05D3/044—Pretreatment
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B05—SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D—PROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D3/00—Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
- B05D3/04—Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
- B05D3/0466—Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases the gas being a non-reacting gas
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B05—SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D—PROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
- B05D3/00—Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
- B05D3/10—Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by other chemical means
- B05D3/104—Pretreatment of other substrates
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Materials Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Physics & Mathematics (AREA)
- Crystallography & Structural Chemistry (AREA)
- Nanotechnology (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Composite Materials (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Vapour Deposition (AREA)
Description
本發明是有關一種在原子層沈積(ALD)或化學氣相沈積(CVD)反應器的反應表面上之抑制沈積作用,且特別是有關一種反應器表面的去活化,可限制因氣體流經反應器後造成的覆層作用(coating)。
在半導體工業中,原子層沈積(ALD)是一個熟知的技術,其係為在基板例如是矽晶圓上形成一薄膜。原子層沈積屬於氣相沈積的一種,其係為在循環中經由自飽和反應(self-saturating reaction)以形成薄膜,而薄膜的厚度取決於循環的次數。在原子層沈積處理中,交替重覆地提供氣相前驅物到基板或晶圓,以於晶圓上形成薄膜。一反應物以一自限製程(self-limiting process)吸附在晶圓上,接著在反應物脈衝輸送後,其會與被吸附物質進行反應以形成所希望物質的一單分子層。然而,經由與一適當的選擇試劑反應會產生分解,例如是配位交換反應或吸氣反應。在典型的原子層沈積反應中,每一次循環不會形成超過一個單分子層。因此要經重覆的循環才會使薄膜成長到欲達到的厚度。
在原子層沈積製程中,一個或多個基板會至少有一表面產生覆層,且可形成想要的產物的反應物會被導入到反應器或沈積室中。一個或多個晶圓通常置於一晶圓支承或一基座上。晶圓支承設置在一反應室內,此反應室定義在
反應器內。晶圓可升溫到一所希望的溫度,其溫度通常高於反應物氣體的冷凝溫度,且通常低於反應物氣體的熱分解溫度。因為原子層沈積製程中的某些變化(例如是週期性或指針性的化學氣相沈積),晶圓有時會被加熱到高於熱分解的溫度,此變化係依賴晶圓表面上的前驅物的分解。在這些變化中,藉著使用帶狀溫度控制(保持反應器其它零件的溫度比基座的溫度低)或減少在反應器內氣體的滯留時間,可使反應器其它零件上的分解達到最小化。
原子層沈積技術的特徵是以一脈衝輸送方式將每一反應物傳送到基板上,直到達到飽和表面的狀態。如上述所說,一反應物會吸附在基板表面上,且在隨後的脈衝輸送期間,第二反應物會與被吸附物質產生反應。藉著在連續的反應物脈衝輸送之間的噴沖步驟或其它的清除步驟,使蒸氣相反應物持續分散,以得到一自限成長。因為所希望物質的成長並不會發生在噴沖步驟期間,所以有利於限制噴沖步驟的持續期。一較短的噴沖步驟持續期,可以增加吸附的有效時間及反應器內反應物的反應。但是,蒸汽相反應物不被允許混合以避免化學氣相沈積技術中沈積的自限性被破壞的風險。同樣的成長率也有自限性,成長的速率與反應順序的重覆率成比例,而不是與化學氣相沈積中反應物的溫度或通量成比例。
同樣地,在處理期間,沈積現象發生在除了基板表面外的原子層沈積反應器的表面上。隨著時間增加,反應器的表面上會發生一薄膜聚集。反應器表面的薄膜聚集是一
層狀結構,且其會污染基板表面。在反應器表面上的大量且零散的薄膜層狀物會增加暴露在一反應物脈衝輸送中的總表面區域。因此,其也會增加使晶圓表面達到飽和所需的脈衝輸送時間和噴沖時間。
同樣地,熱化學氣相沈積反應物零件面臨到沈積組合的問題。雖然,此類的覆層作用可以藉由讓反應器零件暴露在比化學氣相沈積溫度低的反應物氣體中以達到最少化。換句話說,冷卻化學氣相沈積反應物零件可能遭受到反應物冷凝或吸附/吸解。如同在原子層沈積反應器,其將再一次導致污染問題。
經常地清潔反應器可限制污染的可能性。然而,在這些清潔步驟中,反應器不在清潔步驟中,且其會降低原子層沈積或化學氣相沈積的效率。
本發明的目的在提出一種氣相沈積反應器的一反應空間表面處理及反應器操作的方法。表面是經處理以使得氣相沈積處理選擇到基板,而不是處理過的表面。在一實施例中,此處理(treatment)包括一在定義反應空間的零件的組裝之前的非現場(ex situ)處理。在另一實施例中,此處理和周期性的再處理(re-treatment)可藉由一處理氣體流經反應空間而使反應空間表面去活化以進行現場(in situ)處理。
在上述之氣相沈積反應器的一反應空間表面處理及反應器操作的方法中,一氣相沈積反應器是供給定義出一反應空間之中的至少一些表面,此反應表面由一單分子層
去活化以抑制表面上的沈積反應。在原子層沈積反應器的例子中,在沈積期間,單分子層在一般的條件下不會與反應物反應。
在上述之氣相沈積反應器的一反應空間表面處理及反應器操作的方法中,包括使用化學處理品處理反應空間表面。此化學處理品是利用多數個沈積反應物對反應空間表面去活化以抵抗反應。在此處理之後,載入基板到反應空間內,並使基板暴露在多數個反應物中,以於基板上沈積一積層。
本發明的又一目的在提出一種氣相沈積裝置,且氣相沈積裝置提供多數個反應空間表面,其定義出一反應空間。一基板支承結構裝置在反應空間中。多數個進料線路與反應空間連接,以供應適合氣相沈積處理的氣相反應物。至少某些反應空間表面包含一表面改質,以去活化此些表面而抵抗氣相沈積製程。
由上述可知,本發明之氣相沈積裝置包括有一反應器,在反應器中有一沈積室,且其可在基板上沈積一積層。而在沈積室的表面上覆蓋反應位置,其反應位置係選自包括氫氧基(OH)、氫氣和鹵化物表面之族群所組合之群組。而且,在反應器內配置有一基板支承,其結構係用來支撐基板。此外,處理氣體來源包括一處理氣體,而且有一進氣口線路與處理氣體來源相連接,此進氣口線路可將處理氣體供應源導入到沈積室的表面。處理氣體選擇與反應位置鍵結以於沈積室的表面上形成保護層,此保護層可降低
薄膜沈積在沈積室表面的可能。
在說明的實施例中,反應空間表面上的處理反應物的吸附可提供去活化。處理方法是與可用的反應位置鍵結、佔住反應位置或防止這樣的反應位置在後續的處理中暴露於沈積反應物中。例如,OH分子常出現在金屬反應空間的表面上和被氧化而具保護作用的金屬表面上(例如,覆層有氧化鋁的鈦),如此OH終止層容易與原子層沈積前趨物產生反應。同樣地,也可以有其他類型的反應位置。處理氣體造成處理反應物的吸附、與OH團鍵結,並殘留一終止層。此終止層對特別的連接至反應器用以形成沈積的原子層沈積反應物是呈非反應性。特別的是,處理反應物和反應空間表面之間的鍵結強度大到足以避免吸解(desorption)或避免與沈積反應物進行化學反應。
去活化流程的主要優點是減少在反應室表面上的沈積聚集、減少反應器清潔的停工時間和減少粒子產生。進一步來說,在沈積步驟之間可減少從反應空間中移除反應物的時間,因事實上反應物並不容易附著在壁上,而其對原子層沈積而言是一特別重大的優點。對原子層沈積而言在每一個反應物脈衝輸送之間是重複地進行移除步驟(通常是用惰性氣體進行噴沖)以避免氣相反應。反應空間表面的去活化以抵抗沈積可明顯降低噴沖次數而維持有效性噴沖。原子層沈積的上下文的”有效性”噴沖是在連續的反應物脈衝輸送之間可防止氣體混合的不當風險的噴沖。有效性噴沖不僅可減少原子層沈積步驟的循環次數,也可以因
減少氣相化學氣相沈積反應導致在基板上能有較均勻的沈積層。
為讓本發明之上述和其他目的、特徵和優點能更明顯易懂,下文特舉一較佳實施例,然其並非用以限定本發明,並配合所附圖式,作詳細說明如下:
圖1所繪示為本發明之一原子層沈積反應器100的示意圖。此原子層沈積反應器100是一標準裝置,而如文中描述的處理方法有利於此裝置經反應物蒸氣沈積,而使反應器表面去活化。另外,此處理方法也可使用在其它半導體製程的裝置上,例如是化學氣相沈積(CVD)反應器。然而,此處理方法並不限於用在半導體製程的裝置上,但其對於使用在許多工業中的化學反應裝置的保護也是可行的,標準工業包括航太工業、化學工業和電子工業。
處理方法適合於多種常見的反應器材質,尤其是金屬、玻璃和石英等材質。其中金屬材質包括有鈦、鈦合金、鈦二氧化物、鎳、鎳合金、不銹鋼和氧化鋁(常被用來做為覆蓋在金屬反應器表面上的保護層)。這樣的表面會對於反應位置(例如,氫氧基-終止層,OH-termination)的形成變得敏感,而其會導致在沈積期間會產生一多餘的覆層作用。習知的氧化物(例如,氧化鋁,Al2
O3
)保護層同樣地包括一氫氧基表面終止層。此處理方法特別有利於表面上累積一不想要的薄膜聚集的鈦金屬表面。舉例來說,蝕刻技術是一習知的清潔技術,此技術可以去除或侵蝕鈦金屬的表
面,且機械製程像是噴珠處理也會對表面產生損失,使清潔的頻率達最小化特別對鈦金屬表面較有利。在反應器運作期間,氧化鋁保護層可保護表面以避免侵蝕。由於反應位置濃度的增加,保護層會使得沈積聚集的程度加深。通常原子層沈積反應器和化學氣相沈積反應器必須經由移除產物、拆開及使用蝕刻化學品處理以移除沈積聚集。習知的氧化物保護層是應用於再組裝之前,且在清潔之前的一多數沈積也需要此氧化物保護層。通常在此所描述的處理方法有利於反應器表面,其反應器表面的特徵是在處理前有親水性終止層。
原子層沈積反應器100包括一頂部構件110、一底部構件112、一進氣裝置側面構件114及一抽氣裝置側面構件116,其合起來構成原子層沈積反應器100外罩的一部分。其中進氣裝置測面構件114和抽氣裝置測面構件116分別包含有一孔口115及一孔口117。如圖1所示,外罩的部分定義出一沈積室200。當然還包括有側壁(未顯示在剖面圖上)與圖1的內壁合併以圍成沈積室200。
圖1所示的僅是一種反應器100的概要圖。氣相沈積反應器可具有多種的結構。本文所描述的處理製程並不限制於任何特定的結構。例如,原子層沈積反應器和化學氣相沈積反應器都可使用如圖1所示的氣體線路的上流側的合併。換句話說,許多的氣相沈積反應器,特別是原子層沈積反應器,使用的是反應物在注射到反應室之前是保持分開的系統。因此,可使反應室上流側的共有線路數量最
小化。在這些實例中,此處理方法可只實施於反應室表面上,而有些可能直接在下流側抽氣裝置表面實施。此外,當例圖是一水平式層流類型的單一晶圓反應器,則這裡所描述的處理方法適合於批式系統及其它類型的流動系統(例如,噴沖頭、常壓、低壓化學氣相沈積等)。
一基板支承或基座204置於原子層沈積反應器100內,且在沈積製程中,基板支承或晶座204的結構係用來支撐一個或多個基板或晶圓(一晶圓205,如圖所示)。在進行製程之前,一個或多個基板會放置於基板支承或基座204上。在某些設計中,基板支承204在沈積室200內會轉動。在製程結束後,須從沈積室200將晶圓205移出。反應器100也包括一加熱裝置以使基板維持在一適當的沈積溫度,且為了控制壁表面可分開此加熱裝置。
原子層沈積反應器更包括一氣體分佈系統130。氣體分佈系統130包括至少有兩個進氣口線路118a-n與氣體來源119a-n連接,和至少一抽氣口線路120通到一真空泵121中。進氣口線路118a-n藉著至少一孔口115與反應室200相連通。而抽氣口線路120藉著至少一孔口117與反應室200相連通。如圖所示,氣體分佈系統130係為反應室200上流側之混合室132的形成上的一共同的空間。在這個圖例的設計上,混合室132、反應室200和任何介於各樣分布間會容易遭受(在原子層沈積中是不同時;在化學氣相沈積中是同時)到至少兩種互相反應性的反應物。因此,混合室132和反應室200共同定義出一反應空間。氣體分
佈系統130和混合室132並不限於只有在如圖1所示的反應器的一邊,此系統可以是有倍數個且分離的氣體分佈系統且可能使用混合室,例如是,在進入反應室200之前分離不互容(可互相反應)的氣體。在實例中,其中只有反應室200容易遭受多個反應物。因此反應室200與抽氣系統的直接下流側的部份定義出反應空間。在這裡所使用的反應空間指的是容易遭受多個互相有反應性的反應物的表面。
氣體分佈系統是安裝以安排反應物和噴沖氣體通過原子層沈積反應器100。例如,氣體分佈系統130週期性地安排反應物氣體到沈積室200中,而反應物氣體是藉由進氣口線路118a、118b以進入原子層沈積反應器中,更特別的是,氣體分佈系統130係藉由第一反應物進氣口線路118a安排第一反應物氣體經混合室132送到沈積室200中。在沈積室200中,一部分的第一反應物氣體會吸附在晶圓205的表面上。如上述所解釋的,第一蒸氣相反應物不易吸附在沈積室200或進氣口線路118的其他表面上。接著,氣體分佈系統藉著噴沖進氣口線路118c安排一噴沖氣體到沈積室200中,而噴沖氣體會取代未被吸附在基板或晶圓表面上的第一反應物氣體的部分,且噴沖氣體會取代可能副產物。而被取代的第一反應物氣體的部分和噴沖氣體會藉由抽氣口線路120排出沈積室200。之後,藉由第二反應物進氣口線路118b,以提供一第二蒸氣相反應物與被吸附的單分子層反應。然後噴沖多餘的第二反應物與
副產品。在某些設計上,伴隨著噴沖步驟,原子層沈積循環也能夠包括有第三、第四等的反應物脈衝輸送。
除了反應物與噴沖氣體之外,圖示上的氣體分佈系統可藉由一處理線路118d週期性地安排一個或多個處理氣體導入沈積室200中。更佳的是,氣體分佈系統藉由一共同的進氣口線路118z以安排每一個處理氣體導入沈積室200中,進氣口線路118z係為這些沈積反應物共同使用的反應室200上流側的某些路徑。如下述更詳細之描述,每一個處理氣體可提供給原子層沈積處理一個益處。例如,根據所選擇的處理氣體,第一處理氣體,與任何沈積室200的前存在表面終止層結合,其可以附著在這些表面以使反應空間表面去活化,以抵抗反應氣體在操作期間附著在這些相同的表面。因此,處理反應物、沈積反應物和噴沖氣體可藉著進氣口線路118a-n進入外罩中,並藉著抽氣口線路120以排出外罩。
由此技術工藝的揭露可明瞭,處理氣體可包括一混合氣體。例如是,許多適合在反應器表面進行化學吸附的處理氣體在標準條件下為固態或液態。因此,藉著在一分離的氣體來源容器的蒸發作用,處理氣體會傳送到反應室,且傳送一惰性載體氣體從氣體來源容器到反應器表面上。此傳送方式特別適合反應器表面的現場氣相處理,而從下述的揭露可以了解,此傳送方式也能夠適用於反應器零件的非現場處理。此外,處理氣體可包括一混合的反應氣體。
除了處理氣體之外,一前處理氣體可隨意地被導入沈
積室200中。在與上述描述的處理氣體結合或之前,第二處理氣體或前處理氣體可被導入到沈積室200中。在本發明的一較佳實施例中,對反應空間表面的預備或前處理而言,前處理氣體是一含氧的氣體。在導入第一處理氣體之前,較佳的是,含氧的氣體會導入到沈積室200中。藉由與沈積室200的表面反應和增加反應空間表面上的反應位置密度,含氧的氣體有利於準備沈積室200的表面以與第一處理氣體較完整的反應。一旦含氧的氣體形成了這些反應位置,則沈積室200的表面會對後續處理氣體的吸附變的較為敏感。其會形成一較密集填充的保護層以對抗吸附在相同表面上的處理氣體。在一說明實施例中,前處理的特徵是產生一較親水性的表面,反之處裡的特徵是產生一較疏水性的表面。更進一步地參考圖2A和2B來敘述,前處理和處理的合併以去活化反應器表面。有關圖3、圖4的說明,藉由暴露在液體化學品中,可實施前處理,例如是在反應器零件的非現場處理。因此,反應器不需要包括一蒸氣相化學處理品的來源。
氣體分佈系統可包括其他的進氣口線路(未示出)。例如,依據原子層沈積反應器100的表面配置,氣體分佈系統可包括較多的進氣口線路118a-n,其是為處理、沈積反應物和惰性氣體所設計的。而且,每一個進氣口線路都可能和一個或多個其他氣體流動線路相連接。例如,為反應物氣體所設計的一或多個進氣口路線會將氣體來源容器119a-n的上流側與載體氣體的供應源(例如為一起泡器)相
連接,當另一個反應物供應源是一反應物的簡單容器或氣體容器,其中反應物在標準條件下是氣態。因此,在一實施例中,進氣口線路118a-n可使反應物氣體從反應物氣體來源或液體蒸氣來源(例如是一蒸發器或起泡器)流動。其中進氣口線路118d可讓處理氣體從一處理氣體來源119d流動到沈積室200中。進氣口線路118c可使得讓來自惰性氣體來源119c的惰性氣體流動。氮氣是一可以噴沖和負載之模範惰性氣體。
如提過的,氣體分佈系統130也包含一混合裝置132。混合裝置132可在氣體導入沈積室200之前混合來自進氣口線路118a-n的氣體。例如,在原子層沈積期間,氣體分佈系統可混合一反應物與一惰性載體氣體流量。此外,雖然原子層沈積反應物在氣相中沒有被混合,但是事實上兩個原子層沈積反應物會連續地流經混合器內的相同空間,而在混合器中,第二反應物會與先前第一反應物脈衝輸送到混合器內部表面上而被吸附的第一反應物反應而造成沈積。這樣的反應室上流側的可控制沈積可視為一較佳的犧牲反應,如與允許第一反應物在第二反應物脈衝輸送期間從反應器表面吸解做比較,其會在反應室200中導致非控制與無自限制的類似化學氣相沈積反應。混合室132能夠可定期地被清潔。一混合裝置可能需被使用也可能不被使用。在一些簡單的原子層沈積結構中,一氣體可被從反應器的一邊導入,及另一氣體可被從反應器的另一邊導入。在這個情況下,除了抽氣口線路120外,反應物唯一共同
使用的空間是反應室200本身。
簡言之,沈積室200區域定義出一反應空間的一部分,而沈積室沿著鄰接的氣體分佈系統的任何部份,其中氣體分佈系統受限於至少兩個反應物氣體或一氣體混合物。不同於氣體分布系統內的一線路是只暴露在一反應物中。線路暴露在超過一種反應物氣體,且其對於在內部表面上薄膜的聚集特別敏感。因此,反應器表面上的沈積不僅是發生在混合器132,也會發生在沈積室200的表面上。抽氣口線路120的內部表面是直接與沈積室200相連接的。且共同使用的進氣口線路118z是裝置在混合裝置132的下流側及沈積室200的上流側。
原子層沈積控制系統150是為了在晶圓處理期間和晶圓處理之前控制原子層沈積反應器100。例如,原子層沈積控制系統可包括控制軟體和電子控制閥,以控制進出原子層沈積反應器100的反應物和噴沖氣體的流量。在一個實施例中,原子層沈積控制系統也可控制進入原子層沈積反應器100的處理氣體流量以使表面去活化以抵抗原子層沈積反應,例如是在反應空間的內部表面上形成一保護層。在表面去活化之後,則原子層沈積控制系統150載入基板到沈積室200中。接著導入反應物或噴沖氣體到原子層沈積反應器100內,以於基板205(例如是矽晶圓)上形成一沈積層。原子層沈積控制系統150包括模組,例如是一軟體或硬體設備。像是現場可程式閘陣列(field programmable gate array,FPGA)或特定應用積體電路
(application specific integrated circuit,ASIC),其可執行某些任務。一模組可裝配在原子層沈積控制系統150的可定址儲存媒介上,且可裝配以執行一個或多個處理程序。
在晶圓或基板的處理之前,反應物處理品或化學處理品至少會與一部分的反應空間產生反應。此反應空間包括原子層沈積反應器100的內部表面的一部分。較佳的是,化學處理品吸附或佔住在反應空間表面上的反應位置。在某些設計上,化學處理品是提供作為在液相中的溶液。在圖1的實施例中,化學處理品是從蒸氣相的處理來源119d提供到反應空間。在這種情況下,未使用的處理氣體與任何從反應中產生的副產品會藉由抽氣口線路120而從原子層沈積反應器100中被抽出。原子層沈積控制系統150控制一個或一個以上的閥開關,其可以允許或避免不同的氣體,包括處理氣體,在處理期間或處理之前導入到原子層沈積反應器100中。一個或多個不同氣體來源119可被加壓,且可從固態或液態被加熱到蒸氣反應物。
如同所描述的,處理氣體可以適用或應用在反應空間的一部分,並藉著化學處理品以蒸氣或氣體形式流經進氣口線路118d和進入沈積室200中。此處理說明於下文中並請參照圖4,然而,本發明並不在此限內。
在另一實施例中,在沈積室200的組裝之前或之後,此處理方法可以適用於或直接應用在一部分的反應空間。例如,在沈積室200從這些組件中組裝之前,液態或氣態的化學處理品可適用於反應空間的一個或多個的組件。此
製程說明於下文中並請參照圖3。因此,舉例說,處理液體可適用於原子層沈積反應器100組裝之前的混合氣裝置132。在這種情況下,化學處理品可以直接適用於或應用在反應空間的一部分,其在原子層沈積反應器100組裝之後。此再應用可發生於原子層沈積製程之沈積室200的使用之後。例如,根據圖三的拆卸和非現場處理,或根據圖四週期性的現場處理。再處理可保持在使用原子層沈積反應器100期間的最初處理的有效性。
圖2A和2B是一在化學處理品或反應物206與反應空間表面上的反應位置之間的示範化學反應圖式。在一說明實施例中,反應位置包括反應空間的表面201上的OH表面基團210,而化學反應會導致形成一保護層208。其中保護層208可使選擇性表面產生鈍化或去活化,其中選擇性表面定義出反應空間,以降低在晶圓或基板的處理期間,被處理的表面上形成不希望得到的沈積的可能性。通常,在反應器操作期間,自然發生的OH表面基團210當作是沈積反應物的反應位置或吸附位置。因此,藉由形成一保護覆層208後,以鍵結、佔住或其他方式使表面201上的反應位置或吸附位置產生去活化。而對反應物氣體而言,這些相同的反應位置或吸附位置即變成無作用,因此可避免形成多餘的沈積。此外,與噴沖一未被處理的反應空間做比較,則噴沖由被處理表面定義出的反應空間的循環次數會減少。因為反應物氣體較不可能吸附在此處理反應空間的表面上,因此,化學處理品或反應物206是一較佳與
沈積反應物的非反應,且在後續處理(例如是,原子層沈積或化學氣相沈積)的條件下不容易產生吸附。在某種程度上,處理反應物的選擇是根據所使用的反應物,和即將發生的處理(例如是,沈積)的條件。
保護層208能夠在反應空間內部的一個或多個表面上形成。而反應空間包括沈積室200(如圖1)的一個或多個內部表面、混合器132的上流側、任何為了反應物的常見線路118z、晶圓支承的暴露表面204和/或反應空間內的其他表面。
較佳地,所希望的反應空間表面經處理或再處理以在載入和處理一晶圓或基板之前形成保護層208。從下述圖3的討論將會說明的更詳細,處理可在反應器零件上提供一非現場處理,例如是,在反應器組裝之前,暴露在蒸氣相處理反應物206,或把反應器部零件浸入化學處理品的液態溶液中。如同有關圖1與圖4的討論,其中之一,處理可藉著進料一蒸氣相處理反應物206到一組裝反應器100的反應空間可實施現場處理。
在本發明的一實施例中,在導入先前描述的處理反應物之前,一前處理或預反應物適合至少一反應空間表面的一部分。這個前處理反應物於此也被稱為一第二反應物氣體,即使此第二反應物氣體在應用處理反應物之前是較佳地適用於反應空間的表面。藉由確保OH表面基團210或其他反應位置的最大的填充密度,前處理反應物應用到反應空間表面上可以提高後續形成的保護層208。在一說明
實施例中,前處理反應物包括氧化物,例如是臭氧。除了已存在或原先的OH表面基團210之外,臭氧的氧原子與自由氫原子鍵結以形成附加的OH表面基團210。這些額外的OH表面基團210在反應空間表面上形成額外的反應位置,其為了後續與第一處理反應物的鍵結。因此,形成保護層的分子會較緊密填充在反應空間表面上。當處理反應物206接著被提供到反應空間表面上時,處理反應物可與OH表面基團210發生化學反應,而OH表面基團210為一完全羥基表面以保證所有有效的反應位置產生全鈍化。
注意,在這裡所討論OH表面基團是作為反應表面上的反應位置。在某些設計上,不同的表面基團將被作為反應位置。因此,不是自然發生就是刻意產生表面基團對於化學處理品的化學吸收作用是敏感的,其中化學處理品例如是氮、氫或鹵化物終止層。前處理可使反應基團的密度最大化,其可包括暴露在帶有氫原子的反應物(例如,氫氣、氟化氫(HF)等)、帶有氮原子的反應物(例如,氨、聯氨等)和帶有鹵化物的反應物(例如,三氟化氮(NF3
)、四氟甲烷(CF4
)或其他含氯化合物或碳氟化合物等)。此外,上文中反應終止層的列表不是一唯一列表,且反應位置可包括一不同類型的表面基團混合。假如使用前處理步驟應該會增加和使反應位置的有效性最大化,並藉由後續處理反應物以確保完全的表面鈍化。這樣會改善反應器表面上的處理反應物的填充密度,且會導致去活化薄膜更穩定及有
效。
同時或交替地,有效反應位置的較完全去活化可以較容易地藉由脈衝輸送暴露的處理反應物到反應空間表面,在習知的原子層沈積技術中,原子層沈積的一次循環通常並不會導致一物質的完全單分子層沈積。當然,空間阻礙物經配位體附著在氣相反應物,而當反應物吸附在基板上時,限制某些可能反應位置,配位體通常仍然附著在氣相反應物上。後續的反應物將會去除配位體並暴露較有效的反應位置。但是再一次的另一吸附脈衝輸送將會限制某些這種反應位置。因此,平均來說需要多次的循環才能得到一完全的單分子層。實際上這個現象顯示出本身沈積速率的形成,沈積速率是每一循環一埃,其小於沈積物質的晶格常數。
同樣地,藉由處理反應物吸附在反應空間表面的反應位置上之作用,空間阻礙物可防止所有有效反應位置的完全佔領,其不管表面是否有經前處理。因此,那可能有利於重複脈衝輸送暴露反應空間表面到處理反應物中。舉例來說,對現場處理而言,處理包含一特別長的化學處理品暴露或一多數個藉由噴沖步驟分離的處理反應脈衝輸送。
在圖2A中的處理反應物206是二矽烷基胺化合物(alkyldisilazane)。然而,在下述將會說明,本發明並不限於圖2A中的化合物,也可使用其他能讓表面反應位置產生去活化的化合物。例如,在一基板的被選擇部位上的選擇性原子層沈積法的揭露,是由加州,史丹福,史丹福大
學的Collin Mui、Stacey F.Bent、和Charles B.Musgrave提出(之後簡稱Mui等人),在2002出版,書名”高介電值材料的選擇性原子層沈積之表面改質”,和由加州,史丹福,史丹福大學的Rong Collin、Hyoungsub Kim、Stacey F.Bent和Paul C.Mclntyre提出(之後簡稱Chen等人),在2003出版,書名”區域選擇原子層沈積之表面改質”。兩項參考文獻在文中合併以全部參考。標準化合物可包含一單電子對,和/或一反應鹵素基團。
在Chen等人提出的處理中,處理反應物會以一種方法與基板反應。此方法是完全地佔滿有效反應位置,以及在反應器操作條件(例如,原子層沈積的較佳條件在200℃到500℃之間,更好是在250℃到400℃之間)下保持穩定。與小處理反應物分子反應的實驗,在氣相中容易傳送,(例如,乙基三氯矽烷(trichloroethylsilane)、氯三甲基矽烷(chlorotrimethylsilane)和三甲基溴矽烷(bromotrimethylsilane))。當Chen等人發現這些處理不是沒有完全覆蓋在所希望的基板表面上,就是在原子層沈積條件下太容易揮發時,而技術立即獲得欣賞,甚至是藉由減少反應器壁上的沈積的聚集,處理反應物將可改善反應器的效能。此外,氣相傳送的有效性可經由化學處理品的合適選擇、傳送製程的最佳化以及前處理、脈衝輸送處理和頻繁的再處理的使用而得到改善。
為了更密集地覆蓋在基板表面和反抗高溫下的無法沈積現象,Chen等人研究較具代表性的分子以提供在液相
中及較特別的聚合分子以形成自組單分子層(SAMs)。關於衍生劑(Silylating agents)的使用在下述會有更詳盡的說明,其中衍生劑例如是十八烷基三氯矽烷(octadecyltrichlorosilane,CH3
-(CH2
)17
-SiCl3
或簡稱ODTCS)。
在圖2A中尚未發生形成保護層208的化學反應,因此,圖2A中的表面是在一未被處理的狀態。在這個未被處理的狀態中,表面201包括一個以上的OH表面基團210(或其他反應位置),而OH基團210可與處理氣體206產生反應。假如OH基團210沒有藉著與處理氣體206反應而產生去活化,則在後續晶圓處理期間,OH基團會與反應物氣體(例如,化學氣相沈積或原子層沈積的前驅物)進行反應。
化學氣相沈積必須提高溫度,以使一化學氣相沈積反應器的反應表面發生反應物的熱分解。而與原子層沈積反應器表面相比較,對於化學氣相沈積反應器表面的處理反應物之選擇會使用不同的標準。在化學氣相沈積中,由於一熱系統上反應氣體的分解,會使基板或晶圓表面的溫度提高。根據化學氣相沈積反應器的設計,熱系統可增加除了基板表面外的反應空間表面的表面溫度,而反應空間溫度的增加會使得保護層發生吸解。然而,採取熱處理步驟可以改善化學氣相沈積反應器內保護層的生命週期。例如,當保持其他表面相對冷時,冷卻壁熱系統可合併到化學氣相沈積反應器內,以限制基板與基板支承的加熱,而
處理反應物可被選擇以與反應空間表面產生較強的鍵結。
為了預防在晶圓處理期間,OH基團210(或其他反應位置)和沈積反應物之間形成一反應或化學鍵,則處理反應物206的一部分會藉由與OH基團反應而與表面201產生結合。例如,假設處理反應物206的化學化合物選擇是二矽烷基胺,則在反應空間表面201上OH基團210的氧原子會與二矽烷基胺的矽原子產生化學鍵結,且釋放氨氣。請參照圖2B可說明,在這些矽原子和氧原子之間可形成化學鍵結及非反應有機端在表面201上可形成保護層208。注意其保護層208少於5個單分子層,較佳是不超過大約2個單分子層厚度,且一單分子層最佳的代表是反應物分子206的厚度。由習知技術可明瞭,由於吸附處理的自飽和性質影響,則保護層208會在反應空表面201的靶材產生均一覆層。一旦形成後,表面201係為在一被處理的狀態,且在晶圓處理期間,其表面上較不可能會形成沈積。保護層208是由有機處理反應物所產生,因為Chen等人為處理氣體產生一疏水性表面,以抑制在表面201上某些類型的原子層沈積化學作用的沈積。
對處理反應物206而言,二矽烷基胺化合物是一示範化合物。如上文Chen等人合併提出的描述,其他的示範化合物包括有機矽烷和與鹵素基團反應的化合物。其中,示範有機矽烷是二矽烷基胺(alkyldisilazanes)、二矽芳香基胺(
aryldisilazanes)和二矽烷芳香基胺(alkylaryldisilazanes)(化學式1)以及鹵化矽烷基
(alkylhalosilanes)、鹵化矽芳香基(arylhalosilanes)和鹵化矽烷芳香基(alkylarylhalosilanes)(化學式2)。處理反應物化學品的選擇通常是根據原子層沈積反應器的操作條件。例如,假設沈積處理在400℃條件下發生,且產生腐蝕性副產物,例如是HCl,則反應器表面上形成的處理薄膜會反抗這樣的條件而沒有沈積或反應發生。對於化學品的選擇,在反應器表面上的填充密集度也是一個重要的標準。
(2)R7 p
R8 q
R9 r
SiX4-p-q-r
在化學式(1)中,R1
到R6
是每一個獨立的C1
到C6
的烷基或C6
到C10
的芳香族羥基。化學式(1)包括二矽烷基胺(其R1
到R6
是烷基)、二矽芳香基胺(其R1
到R6
是芳香族羥基)和二矽烷芳香基胺(其R1
到R6
是至少有一個烷基和至少有一個芳香族羥基)。
在化學式(2)中,R7
到R9
各自是獨立的C1
到C20
的烷基和C6
到C10
的芳香族羥基組合的選擇。其中,p、q和r個別是0、1、2和3數字之一,且須符合1p+q+r3的
條件。其中,X代表一鹵素原子。化學式(2)包括鹵化矽烷基(其R7
到R9
是烷基)、鹵化矽芳香基(其R7
到R9
是芳香族羥基)和鹵化矽烷芳香基(其R7
到R9
是至少有一個烷基和至少有一個芳香族羥基)。
大型有機分子與鹵化物終止層可在反應器表面上形成自組單分子層(self-assembled monolayers,SAMs),自組單分子層很穩定且不容易從表面吸解。另外,大型有機物尾端提供空間阻礙效應及不允許原子層沈積反應物到達反應器表面。如上文所討論的,當空間阻礙效應可以減到最小以使反應位置的佔領最大化時,其在後續操作期間可形成沈積反應物的成核。在某些情況下,空間阻礙效應可以提供穩定及較完全的覆層作用。例如,形成自組單分子層的大型反應物經空間阻礙效應可以提高保護效應,而大型反應物例如是Chen等人所揭露之十八烷基三氯矽烷化合物。
圖2C和2D是用大型分子(特別是十八烷基三氯矽烷)形成自組單分子層(SAMs)的處理示意圖。圖2C上所示的處理反應物206包括十八烷基三氯矽烷。代表性地,反應空間表面201暴露在十八烷基三氯矽烷的液體溶液中,且保護層208的組成包括大型有機配位體或尾端212。這些尾端212所提供的主體可以保護任何殘留的反應位置210,而反應位置210是指在保護層208上未被佔領的反應位置。因此,主體可以阻止任何沈積反應物214接近且避免沈積。此外,尾端的尺寸和相對疏水性促進自組單分子
層裡面的分子的自發和穩定的單向結構。大分子量也有助於較穩定保護層208。對反應器表面的去活化而言,其較小的反應物分子也可以形成自組單分子層,且在現場處理中較容易在氣相中被傳送。
在處理反應物206與表面201經化學反應以形成保護層208之後,接著組裝反應器(假如此步驟在非現場處理中是需要的),然後將基板載入沈積室200內。載入之後,則晶圓或基板的處理現在開始將會有描述。
原子層沈積反應器100內沈積反應物氣體的循環是要得到所希望的產品。在氣體在進入沈積室200之前,為了使反應物氣體彼此之間的交互影響達最小化,則噴沖氣體在反應物氣體的脈衝輸送之間定期循環經過原子層沈積反應器100。因此,鈍氣可噴沖掉反應空間多餘的反應物氣體和任何副產物。不同反應物氣體和噴沖氣體有系統地循環經過原子層沈積反應器100,以在晶圓上形成一或多層沈積。其他的習知技術有可用噴沖沈積室200以去除反應物氣體的技術。例如,在導入第二反應物氣體之前將第反應物氣體抽出沈積室200外。
沈積反應物氣體在基板表面上產生吸附或反應,如圖2B所示,保護層已先形成在表面201上,則反應物氣體會優先吸附或反應在基板上,而不是與反應空間表面反應或附著。另外,不是在反應物脈衝輸送期間就是在後續反應物移除步驟期間,多餘的反應物氣體和反應的任何副產品會藉由抽氣口線路120被抽離開沈積室200,而附著在抽
氣口線路表面的反應物可以藉著本文敘述的處理方法而減少。
圖3是將保護層應用在反應空間的表面的非現場處理方法的流程圖。此方法可適用在原子層沈積或化學氣相沈積反應器上,其起始於處理步驟302,在組裝反應器之前,處理一個或多個反應器零件的一或多個部分。處理步驟302是一較佳適合在反應器零件的部分,經組裝後,至少部分定義出反應表面。例如,保護層是可以被應用在頂部構件110(圖1)的內表面,不是在頂部構件110的外表面。因為外表面是沒有暴露在反應物中。然而,在某些方法中,會對整個頂部構件110使用處理方法,以減少處理方法時間,而不是只在頂部構件110的特定表面或區域進行處理。較佳的是,處理方法適用至少反應空間表面的區域,而反應空間表面的區域對於反應物的依附敏感。一種機器是位在電子計算機或操作控制器內,其可實施處理的應用。當在圖3中使用,除了事實上的處理之外,此處理包含預備處理或前處理,而事實上的處理會形成保護層,其中,處理適用一個或多個反應物化合物。在以上所舉的例子中,處理步驟302包括將反應器浸入十八烷基三氯矽烷液體溶液中,導致在反應器表面形成自組單分子層。
接著,組裝反應器304。在組裝之後,反應器零件的一個或多個部分會一起處理,以形成至少反應空間的部分。此方法繼續是在沈積室200內基板支承204上進行晶圓或基板的載入306。
圖3的區塊308、310、312和314是一個標準的原子層沈積處理315。原子層沈積控制系統暴露308基板在第一反應物中,第一反應物藉由第一反應物進氣口線路導入沈積室。在沈積室中,第一反應物會被吸附在基板的表面上。注意,由於先前的處理302,暴露步驟308的吸附是對基板的選擇及抗反應室的處理表面。在第一反應物已經吸附在基板的表面之後,原子層沈積控制系統會暫時性阻礙或阻止第一反應物流動到沈積室中。原子層沈積控制系統利用機械閥或其他設備來阻止第一反應物流動到沈積室中。例如,原子層沈積控制系統可使用一接頭、針閥或其他機械閥設計,以阻止第一反應物進入沈積室。除了機械設備之外,原子層沈積控制系統可產生一擴散阻隔層以阻擋第一反應物到達沈積室。在一實施例中,一惰性或非活性氣體優先以一反方向流動通過進氣口線路的一部分,而在進氣口線路本身的內部形成一擴散阻隔層。
從沈積室中移除反應空間內過量的第一反應物及任何副產物310,可使用噴沖步驟來移除過量的第一反應物與其他副產物。如果使用噴沖步驟,則在原子層沈積控制系統中,噴沖氣體的流動會通過噴沖進氣口線路並進入沈積室中。噴沖氣體是屬於非反應性的,且設計的功能是取代任何不能在基板表面被充分吸附的第一反應物部分,而部分的第一反應物和噴沖氣體藉由抽氣口線路120從沈積室被抽出。
此方法繼續是將基板暴露312在第二反應物氣體中,
此第二反應物氣體是藉由第二反應進氣口線路導入沈積室內。接著在沈積室中,第二反應物氣體會與第一反應物的吸附種類反應,而在基板表面形成預期物質的單分子層(或部分單分子層是由空間阻礙物形成)。此外,相對於反應室的處理表面,第二反應物的反應對基板是有選擇性的。在先前反應物脈衝輸送期間,會有很少或沒有第一反應物會吸附在處理反應空間上。在沈積的條件下,處理會留下一保護層,其選擇與第二反應物氣體產生非反應性作用。在第二反應物與吸附的第一反應物一起在基板表面形成預期物質的第一單分子層之後,原子層沈積控制系統會暫時性地阻礙或阻止第二反應物氣體流動到沈積室200中。原子層沈積控制系統利用機械閥或其他設備來阻止第二反應物流動到沈積室200中。例如,原子層沈積控制系統可使用一接頭、針閥或其他機械閥設計,以阻止第二反應物進入沈積室200。除了機械設備之外,原子層沈積控制系統可產生一擴散阻隔層以阻擋第二反應物從到達沈積室200。在一實施例中,一惰性或非活性氣體優先以一反方向流動通過進氣口線路118b的一部分,在進氣口線路本身的內部形成一擴散阻隔層。
從沈積室200中移除反應空間內過量的第二反應物及任何副產物314。可使用噴沖步驟來移除過量的第二反應物與其他副產物。如果使用噴沖步驟,則在原子層沈積控制系統中,噴沖氣體的流動會通過噴沖進氣口線路118c並進入沈積室200中。噴沖氣體是非反應性的,且設計的
功能是取代部分的第二反應物。部分的第二反應物和噴沖氣體藉由抽氣口線路120從沈積室200被抽出。
在基板上形成所希望物質的第一單分子層之後,重複308、310、312和314步驟可形成第二單分子層。在基板上形成預定的所希望物質的單分子層數量後,則控制系統會在沈積室200中進行基板或晶圓的卸載動作。
請參照圖1,將要描述一個關於原子層沈積更詳細具體例子。在表面去活化處理之後,原子層沈積控制系統載入一個或多個基板到原子層沈積反應器100的沈積室200中。在完成載入動作之後,原子層沈積處理就開始運作。一示範處理方法是組合兩種反應物氣體和一噴沖氣體以形成一連串的氧化鋁單分子層。這個原子層沈積處理是利用三甲基鋁(trimethyl aluminum,TMA)和水蒸氣作為反應物氣體,而噴沖氣體是氮氣(N2
),如上述說明的,有更複雜的處理使用三個或更多的反應物,其靠著反應物移除步驟(例如,噴沖脈衝輸送)將其分開,並和進氣口線路118a-n一起,以根據在基板上形成的所希望單分子層。
這個示範原子層沈積處理起始於,設定基板溫度範圍在冷凝溫度之上且在熱分解溫度之下。第一反應物脈衝輸送可和三甲基鋁一起藉由進氣口線路118a導入沈積室200中。在導入沈積室200後,三甲基鋁在基板表面上會產生化學吸附,而通常會留下不超過一單分子層。在三甲基鋁吸附在基板表面上之後,原子層沈積控制系統會暫時性地阻礙或阻止三甲基鋁流動到沈積室200中。原子層沈積控
制系統利用機械閥或其他設備來阻止三甲基鋁流動到沈積室200中。例如,原子層沈積控制系統可使用一接頭、針閥或其他機械閥設計,以阻止三甲基鋁進入沈積室200。除了機械設備之外,原子層沈積控制系統可產生一鈍氣擴散阻隔層以阻擋三甲基鋁從到達沈積室200,並使用一種鈍氣閥。在一實施例中,一惰性或非活性氣體優先以一反方向流動通過第一反應物進氣口線路118a的一部分,然後在進氣口線路的內部形成一擴散阻隔層。
在原子層沈積控制系統阻礙或阻止三甲基鋁流動到沈積室200中之後,從沈積室200移除過量的反應物和其他副產物。原子層沈積控制系統150使噴沖氣體經噴沖進氣口線路118c導入沈積室200內。氮氣是一非反應性的氣體,其功能是取代任何不能在基板表面被充分吸附的三甲基鋁部分。部分的三甲基鋁、其他副產物和氮氣係藉由抽氣口線路120從沈積室200被抽出。
在沈積室200經噴沖後,原子層沈積控制系統包含第二反應物脈衝輸送及水蒸氣,會藉由第二反應物線路118b進入沈積室200中。在沈積室200中,水蒸氣會與被吸附的三甲基鋁產生化學反應,而在基板的表面上形成氧化鋁層。在水蒸氣與被吸附的三甲基鋁在基板的表面上形成氧化鋁層之後,原子層沈積控制系統會暫時性阻礙或阻止水蒸氣流動到沈積室200中。原子層沈積控制系統150係利用機械閥或其他設備來阻止水蒸氣流動到沈積室200中。例如,原子層沈積控制系統150可使用一接頭、針閥或其
他機械閥設計,以阻止水蒸氣進入沈積室200。除了機械設備之外,原子層沈積控制系統亦可以使用惰性氣體閥件。原子層沈積控制系統150可使用不同的設備,以阻止三甲基鋁和水蒸氣進入沈積室200中。
在原子層沈積控制系統150已經暫時性阻礙或阻止水蒸氣進入沈積室200之後,其他過量反應物及副產品則會從沈積室200中被移除。在原子層沈積控制系統經噴沖進氣口線路118c可使氮氣流入沈積室200中。氮氣是一非反應性的氣體,其可取代未與三甲基鋁反應的其他水蒸氣。部分的水蒸氣、其他副產物和氮氣經由抽氣口線路120將從沈積室200中被排出。在的第一單分子層形成之後,接著可形成一第二單分子層。其將會提出說明,原子層沈積控制系統150利用簡單步驟,如同在形成第一薄膜層期間所使用的,以形成第二薄膜層(氧化鋁層)。
藉由第一反應物進氣口線路118a將三甲基鋁導入到沈積室200中,可形成第二薄膜層。而在沈積室200中,三甲基鋁會在第一薄膜層的表面上產生化學吸附。在三甲基鋁吸附於第一薄膜層的表面上之後,原子層沈積控制系統會暫時性中斷或阻礙三甲基鋁的流動進入沈積室200中。原子層沈積控制系統150會使噴沖氣體氮氣經由噴沖氣體進氣口線路118c流到沈積室200中。接著在噴沖沈積室200之後,原子層沈積控制系統藉由第二反應物進氣口118b可將包含第二反應物及水蒸氣導入沈積室200中。然後在沈積室200中,水蒸氣與吸附的三甲基鋁會產生化學
反應以於第一薄膜層的表面上形成一第二氧化鋁層。在水蒸氣與吸附的三甲基鋁一起在第一薄膜層表面上形成氧化鋁之後,原子層沈積控制系統150會暫時性阻礙或阻止水蒸氣流動到沈積室200中,並進行噴沖沈積室。
在第二薄膜層在基板上形成後,原子層沈積控制系統150可藉著將三甲基鋁與水蒸氣再導入到沈積室200中,以重複循環上述步驟第三次,然後直到達到所希望的薄膜厚度,再藉由噴沖步驟將其分開。如上述所說的,每一次循環通常形成小於一單分子層。因為事實上,並不是所有理論上可用的反應位置在處理期間都實際上對反應有效。通常幫助自限反應或自飽和反應的配位體(例如,三甲基鋁的甲基團或四氯化鈦(TiCl4
)的氯原子)經空間阻礙效應會佔住反應空間。因此,平均成長速率會遠小於每一循環所希望物質的一完全分子單分子層。例如,原子層沈積中由三甲基鋁(CH3
)3
Al)與水蒸氣形成的氧化鋁層通常大約是每一循環之一埃(1A/cycle)或大約每一單分子層三到四循環(氧化鋁有一大約3A的堆積晶格常數)。每一三甲基鋁脈衝輸送殘留的甲基終止層會減少可用的化學吸附作用位置數,其會少於每一脈衝輸送形成的每一單分子層。
另一個可使用原子層沈積法在基板上形成的示範單分子層是氮化鈦層。形成氮化鈦層的方法與上述描述的形成氧化鋁層的方法類似,除了其第一反應物氣體是四氯化鈦及第二反應物氣體是氨氣之外。
此外,原子層沈積處理連續地使超過兩種以上的反應
物氣體流經原子層沈積反應器100,在基板或晶圓上形成一不同的薄膜層。在沈積室200中,每一反應物氣體在吸附或反應之後,會藉由抽氣口線路120排出。三種或更多反應物可在相同的一連串循環中使用或在不同循環中使用不同脈衝輸送以適合沈積物質的組成。
舉例來說,在晶圓或基板上形成第一、第二或接著的薄膜層之後,原子層沈積控制系統可導入一第三反應物氣體到沈積室200內。第三種反應物氣體可以是一不同於先前在沈積室200中用以形成薄膜層所使用的氣體。第三種反應物氣體可以吸附在先前形成的薄膜層的表面上或與其產生反應。在第三反應物氣體的流動被阻止到達沈積室200後,接著進行一噴沖步驟,此噴沖步驟與先前描述的在第一反應物和第二反應物導入到沈積室200中後所實施的噴沖步驟類似。然而,本文中任何其他所描述的處理使用的噴沖氣體並不限於上述描述的氮氣氣體。其他惰性氣體或非反應氣體可被單獨使用或與氮氣結合以用於從沈積室200中排出過量反應物氣體或副產物。根據薄膜層的所希望化學成分,噴沖第三反應物氣體的步驟可在第一或第二反應物氣體的再導入或一不同的第四反應物氣體之後進行。
另外,奈米層或混合薄膜層的成長可使用此原子層沈積系統。奈米層是一種薄膜層,其包括有多層不同的薄膜層(例如,5次循環的三甲基鋁和水蒸氣以形成5Å的氧化鋁,接著20次循環的氯化鉿和水蒸氣以形成10Å的氧化
鉿(HfO2
),重複這些步驟)。混合原子層沈積薄膜層是藉由脈衝輸送的較佳非晶相薄膜沈積,例如,依序是三甲基鋁、H2
O、氯化鉿(HfCl4
)和H2
O。
圖4是一現場處理方法的流程圖,現場處理方法是在組裝原子層沈積反應器100後,進行反應空間表面的去活化。此圖4的流程可與圖3的流程相連結,例如非現場處理反應器部分的再處理。
處理開始於氣體分佈系統流動一或多個處理氣體進入沈積室。氣體分佈系統可藉由原子層沈積方法中共同的進氣口線路以流動每一個氣體進入沈積室,例如,依賴選擇處理氣體,一單一處理氣體,與沈積室表面上發現的原始存在化學品結合,可以附著在這些表面的反應位置以於保護層上形成一阻障,以使反應物氣體附著於這些相同表面。保護層鈍化或去活化沈積室表面,以減少在晶圓或基板處理期間,處理表面上不希望的沈積形成的可能性。因此,藉著形成一保護層覆層以鍵結或佔住這些在反應空間表面上的反應位置或吸附位置,而這些相同的反應位置或吸附位置變得對反應氣體無作用。與噴沖一未處理反應空間比較,因為處理氣體較不可能附著在經被處理反應空間的表面,所以噴沖一被處理沈積室的循環時間會減少。
圖4中的步驟402可包括第二處理氣體上一前處理的使用。在之前或與上文描述的第一處理氣體結合之前,第二處理氣體可被導入沈積室中。在本發明的一實施例中,前處理氣體可以是一含氧氣體,在導入第一處理氣體前,
此含氧氣體會被導入到沈積室中,而含氧氣體有利於反應空間表面的準備,其中反應空間包括沈積室,其藉著與沈積室表面上的原始存在的化學品而形成化學鍵結。在含氧氣體形成這些化學鍵結後,沈積室表面會對第一處理氣體較敏感,而第一處理氣體會形成一較密集填充鈍化以抗反應氣體附著在這些相同表面上。
從反應空間移除過量的處理氣體和其他副產物,在此移除步驟中可以使用噴沖步驟。若使用噴沖步驟,則原子層沈積控制系統經噴沖進氣口線路流動一噴沖氣體到沈積室中,其中噴沖氣體是一非反應性氣體,其可在噴沖過程中帶走任何過量的處理氣體。接著噴沖氣體與過量的處理氣體會藉由抽氣口線路從沈積室中排出。如上文注意的,在處理步驟402和噴沖步驟404之間循環可以使在反應空間表面上被佔住的反應位置數量增加到最大化。
此處理方法繼續是載入晶圓406到沈積室內的基板支承上。接著實施的是沈積步驟408,沈積步驟408是如同先前所描述的相同步驟,請參考圖3的步驟308、310、312和314。雖然沈積不是現場處理就是非現場處理,其可以是化學氣相沈積的形成。相對於經被處理反應空間表面而言,沈積步驟408是一在基板上較佳的選擇。然後在基板上形成所希望物質的合適厚度,之後從沈積室移除基板或晶圓310。
決定步驟412是決定是否反應器應該為再處理或週期性處理。例如,在原子層沈積反應器已經處理選擇數量的
晶圓後或在選擇週期時間結束後,可以實施再處理或週期性處理。沈積室的處理或再處理及其他反應空間表面可被實施以回應原子層沈積反應器的直接或間接檢查。例如,直接檢查是對不想要沈積的一沈積室表面的可看見檢查。而不是,或除了,反應空間表面的檢查,處理的晶圓和/或抽出氣體可被試驗,為了非一致、方法實施和/或高粒子數。上述的任何一個可以是不充分選擇的指示,以對抗反應器壁上的沈積,由於在基板上不想要的化學氣相沈積反應或從反應空間表面不想要沈積的分層所引起的污染。
如果反應器是起因於為再處理,此處理則會回到如上文描述的處理步驟402,其中處理氣體是流經反應空間。在原子層沈積反應器100的使用期間,再處理可以維持最初處理的效果。同樣地,圖3的非現場處理可以重複週期藉著反應器的拆開、處理和再組裝。
回到決定區塊412,如果反應器不是起因於為再處理,則處理會回到載入一或多個基板到沈積室200的步驟406。然後,接著進行步驟408。
圖5是比圖3和圖4較為普遍的流程圖。如圖所示,反應空間表面是選擇前處理502以增加反應空間表面上反應位置的密度,而這樣的前處理502可實施如上描述的現場或非現場處理。而在反應空間表面上有充足的反應位置時,則前處理502是隨意且可省略的。接著處理步驟502之後,反應空間表面經處理步驟504而鈍化以抵抗隨後的反應。在一說明實施例中,化學處理品佔住反應位置及殘
留一相對非反應表面終止層,而在後續沈積反應期間,非反應性是相對於化學作用、溫度和其他條件。
然後在處理步驟504之後,反應器是為後續處理步驟506準備。如果是非現場處理,反應器的準備506包括反應器零件的某些組裝。換句話說,如果是現場處理,則依據圖4的實施例,處理步驟506會在反應空間殘留噴沖化學處理品。
在準備506之後,在一連串的基板上施行一沈積流程508。首先,載入一或多個基板到反應空間內,接著在基板上施行選擇性沈積510。”選擇性沈積”是一熟知得技術,其通常是指在一製程中一表面較另一表面的沈積速率大於5倍,更好的是大於10倍。因此,此習知技巧將容易地被欣賞,雖然處理步驟504留下一對於沈積化學作用沒有反應性的表面終止層,但是某些沈積可能甚至於會發生在處理過的反應空間表面上。不過,藉由去活化處理504可以獲得好處,去活化處理504可減少反應器零件上的沈積,也可降低噴沖,其避免沈積製程中互相反應化學品之間的不想要的反應。減少噴沖次數的優點特別地有利於原子層沈積製程,其中在為了沈積的反應物脈衝輸送之前,藉著噴沖反應物到反應室外可避免氣相混合。因此由於減少噴沖次數可以加快基板上的製程。
接著選擇性沈積510之後,進行基板卸載步驟512,然後對一新的基板進行重複514的步驟,而只要有足夠的清潔即可持續重複514步驟。週期性地,反應空間表面應
該要經再處理,其通常在一移除任何沈積層的清潔步驟(未示出)之前,且可能是一額外的鈍化步驟(例如,氧化鋁覆蓋在一金屬表面上的應用),接著在前處理步驟502和再處理步驟504之後。有利地,本文描述的處理步驟504能夠在必需要進行反應器清潔之前,使一沈積運轉延長(例如,多次的重複504的步驟),相對於繼續進行處理。其中,反應器以一種方式操作,其方式是使用現場蝕刻循環,且以週期性的、較不頻繁且較短的方式清潔反應器表面。而處理步驟504允許選擇性沈積510在基板上,其相對於在已處理反應空間表面上,能夠有較不刺鼻的蝕刻循環。
雖然本發明已以一較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100‧‧‧原子層沈積反應器
110‧‧‧頂部構件
112‧‧‧底部構件
114‧‧‧進氣裝置側面構件
115、117‧‧‧孔口
116‧‧‧抽氣裝置側面構
118a-n‧‧‧進氣口線路
119a-n‧‧‧氣體來源
120‧‧‧抽氣口線路
121‧‧‧真空泵
130‧‧‧氣體分佈系統
130‧‧‧混合室
150‧‧‧原子層沈積控制系統
200‧‧‧沈積室
201‧‧‧反應空間表面
204‧‧‧基板支承
205‧‧‧晶圓
206‧‧‧處理反應物
208‧‧‧保護層
210‧‧‧OH表面基團
212‧‧‧尾端
214‧‧‧反應物氣體
302‧‧‧處理反應器零件以去活化對抗沈積反應
304‧‧‧組裝反應器
306‧‧‧載入晶圓
308‧‧‧將晶圓暴露在第一反應物中
310‧‧‧從反應空間移去過量的第一反應物及副產物
312‧‧‧將晶圓暴露在第二反應物中
314‧‧‧從反應空間移去過量的第二反應物及副產物
315‧‧‧原子層沈積處理
316‧‧‧晶圓卸載
402‧‧‧使處理氣體流過反應空間
404‧‧‧從反應空間移除處理氣體
406‧‧‧載入基板
408‧‧‧進行沈積
410‧‧‧基板卸載
412‧‧‧反應器要進行再處理?
502‧‧‧對反應空間表面進行前處理以最大化反應位置密度
504‧‧‧處理反應空間表面以佔住反應位置並留下非反應表面終止層
506‧‧‧組裝反應器(非現場處理)或噴沖化學處理品(現場處理)
508‧‧‧載入基板
510‧‧‧選擇性沈積在基板上相對於處理反應空間表面
512‧‧‧基板卸載
514‧‧‧重覆載入/沈積/卸載直到再處理
圖1所繪示為本發明之原子層沈積反應器的示意剖面圖。
圖2A和2B係繪示本發明之反應空間內反應器表面的去活化反應的化學反應圖。
圖2C和2D係繪示本發明之反應器表面上合成一層保護層的化學處理方法的示意圖。
圖3為在沈積製程後,反應空間內表面去活化的非現場(ex situ)處理方法之流程圖。
圖4為在沈積製程後,反應空間內表面去活化的現場
(in situ)處理方法之流程圖。
圖5為關於沈積技術,包括部分反應器的去活化處理、再處理和光學前處理之流程圖。
100‧‧‧原子層沈積反應器
110‧‧‧頂部構件
112‧‧‧底部構件
114‧‧‧進氣裝置側面構件
115、117‧‧‧孔口
116‧‧‧抽氣裝置側面構
118a-n‧‧‧進氣口線路
119a-n‧‧‧氣體來源
120‧‧‧抽氣口線路
121‧‧‧真空泵
130‧‧‧氣體分佈系統
150‧‧‧原子層沈積控制系統
200‧‧‧沈積室
201‧‧‧反應空間表面
204‧‧‧基板支承
205‧‧‧晶圓
Claims (26)
- 一種氣相沈積反應器的反應空間表面處理及其操作方法,該氣相沈積反應器具有一反應空間表面,該方法包括:使該反應空間表面與包括一有機分子的一化學處理品接觸,以於該反應空間表面上形成一保護層,其中該保護層為一自組單分子層;在該接觸之後,載入一基板於該反應空間內;以及使該基板暴露於多數個反應物,以在該基板上沈積一積層。
- 如申請專利範圍第1項所述之氣相沈積反應器的反應空間表面處理及其操作方法,其中該沈積包括選擇性沈積該積層在該基板上,相對於在相同條件下在一未處理反應空間表面,在經處理之該反應空間表面上明顯地減少沈積。
- 如申請專利範圍第2項所述之氣相沈積反應器的反應空間表面處理及其操作方法,其中該沈積包括施行一選擇性原子層沈積製程。
- 如申請專利範圍第3項所述之氣相沈積反應器的反應空間表面處理及其操作方法,其中該原子層沈積製程包括多數個循環,每一次循環包括:導入一第一反應物到該反應空間,至少一部分的該第一反應物吸附在該基板上;從該反應空間移去多餘的該第一反應物; 在該反應空間中導入一第二反應物氣體;從該第二反應物氣體與該第一反應物氣體的吸附部分在該基板的表面上形成一第一單分子層物質;以及從該反應空間移去多餘的該第二反應物。
- 如申請專利範圍第4項所述之氣相沈積反應器的反應空間表面處理及其操作方法,其中每一次循環更包括,在該反應空間導入一第三反應物及從該反應空間移除多餘的該第三反應物。
- 如申請專利範圍第2項所述之氣相沈積反應器的反應空間表面處理及其操作方法,其中該沈積包括施行一選擇性化學氣相沈積製程。
- 如申請專利範圍第1項所述之氣相沈積反應器的反應空間表面處理及其操作方法,其中該接觸包括在現場使蒸氣相化學處理品流過該反應空間。
- 如申請專利範圍第1項所述之氣相沈積反應器的反應空間表面處理及其操作方法,其中該接觸包括非現場暴露反應器零件到該化學處理品。
- 如申請專利範圍第8項所述之氣相沈積反應器的反應空間表面處理及其操作方法,更包括組裝該些非現場處理的反應器零件,以在該接觸之後及載入和沈積之前形成該反應空間。
- 如申請專利範圍第1項所述之氣相沈積反應器的反應空間表面處理及其操作方法,更包括在該接觸之前,前處理該反應空間表面,其使在該反應空間表面和化學處 理品之間的反應作用最大化。
- 如申請專利範圍第10項所述之氣相沈積反應器的反應空間表面處理及其操作方法,其中該前處理包括增加在該反應空間表面的反應位置之密度,以提高化學處理品所留下的保護層的填充密度。
- 如申請專利範圍第11項所述之氣相沈積反應器的反應空間表面處理及其操作方法,其中該前處理包括暴露該反應空間表面在一帶有氧的反應物中。
- 如申請專利範圍第1項所述之氣相沈積反應器的反應空間表面處理及其操作方法,其中該化學處理品包括十八烷基三氯矽化合物(octadecyltrichlorosilane)。
- 如申請專利範圍第1項所述之氣相沈積反應器的反應空間表面處理及其操作方法,其中該化學處理品是選自於包括:
- 如申請專利範圍第1項所述之氣相沈積反應器的反應空間表面處理及其操作方法,更包括在多數個基板上施行多次沈積之後,對該反應空間表面進行再處理。
- 一種氣相沈積裝置包括:多數個反應空間表面,定義出為一反應空間;一基板支承結構,裝置在該反應空間內;以及多數條進料線路,與該反應空間相連通,以供應適合氣相沈積製程的蒸氣相反應物,其中,該些反應空間表面中至少一些包括一表面改質,該表面改質是對該些反應空間表面進行改質與去活化,以抵抗氣相沈積製程,該表面改質包括一保護性自組單分子層,且該保護性自組單分子層包括被吸附的有機反應物。
- 如申請專利範圍第16項所述之氣相沈積裝置,其中該保護性自組單分子層包括被吸附的化學處理品,其厚度不超過兩層單分子層。
- 如申請專利範圍第16項所述之氣相沈積裝置,其中該保護性自組單分子層提供一親水性表面,暴露在該氣相沈積製程中。
- 如申請專利範圍第16項所述之氣相沈積裝置,其中該表面改質使得該氣相沈積製程對於一半導體基板相對於該些改質反應空間表面有選擇性。
- 如申請專利範圍第16項所述之氣相沈積裝置,藉 由交替且重複地脈衝輸送加入該些氣相反應物以沈積一原子層。
- 如申請專利範圍第16項所述之氣相沈積裝置,更包括一進氣口,該進氣口將一處理反應物供應源導入到該反應空間,該處理反應物提供對該些改質反應空間表面的該表面改質。
- 如申請專利範圍第21項所述之氣相沈積裝置,其中該處理反應物是一種有機矽前趨物。
- 如申請專利範圍第16項所述之氣相沈積裝置,更包括一進氣口,該進氣口將一前處理反應物供應源導入到該反應空間,該前處理反應物使得該些反應空間表面之至少一些反應空間表面對該處理反應物較有反應性。
- 如申請專利範圍第23項所述之氣相沈積裝置,其中該前處理反應物包括一含氧反應物。
- 如申請專利範圍第16項所述之氣相沈積裝置,其中該表面改質包括覆於該些反應空間表面的反應位置,該些反應位置是選擇自於由OH、氮、氫和鹵化物表面之族群所組成之群組,且非反應表面之中止效果作用於該些反應位置。
- 一種原子層沈積反應器之經處理的組件,該組件形成一反應表面的一部分,該組件包括有一保護性自組單分子層在上的表面的一部分,該保護性自組單分子層包括一被吸附化學處理品,其厚度小於5層單分子層,該保護性自組單分子層被配置用以抑制與原子層沈積反應物反應, 且該保護性自組單分子層包括被吸附的有機反應物。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US46918103P | 2003-05-09 | 2003-05-09 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200502427A TW200502427A (en) | 2005-01-16 |
TWI394862B true TWI394862B (zh) | 2013-05-01 |
Family
ID=33452263
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW093112463A TWI394862B (zh) | 2003-05-09 | 2004-05-04 | 經化學去活化而使反應器表面鈍化 |
Country Status (6)
Country | Link |
---|---|
US (2) | US7118779B2 (zh) |
EP (1) | EP1623454A2 (zh) |
JP (1) | JP4959333B2 (zh) |
KR (1) | KR101090895B1 (zh) |
TW (1) | TWI394862B (zh) |
WO (1) | WO2004102648A2 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI772459B (zh) * | 2017-07-14 | 2022-08-01 | 荷蘭商Asm Ip控股公司 | 用於製備自組裝單層的方法 |
Families Citing this family (521)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7494927B2 (en) | 2000-05-15 | 2009-02-24 | Asm International N.V. | Method of growing electrical conductors |
US7563715B2 (en) | 2005-12-05 | 2009-07-21 | Asm International N.V. | Method of producing thin films |
US7491634B2 (en) * | 2006-04-28 | 2009-02-17 | Asm International N.V. | Methods for forming roughened surfaces and applications thereof |
US9139906B2 (en) | 2001-03-06 | 2015-09-22 | Asm America, Inc. | Doping with ALD technology |
KR100515052B1 (ko) * | 2002-07-18 | 2005-09-14 | 삼성전자주식회사 | 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비 |
NO20033207D0 (no) * | 2002-07-31 | 2003-07-15 | Per Kristian Egeberg | Fremgangsmåte og reaktor for fremstilling av höyrent silisium, samt anvendelse av fremgangsmåten og reaktoren ved fremstilling av höyrentsilisium fra uraffinert silisium |
EP1623454A2 (en) * | 2003-05-09 | 2006-02-08 | ASM America, Inc. | Reactor surface passivation through chemical deactivation |
US7914847B2 (en) * | 2003-05-09 | 2011-03-29 | Asm America, Inc. | Reactor surface passivation through chemical deactivation |
US7727588B2 (en) * | 2003-09-05 | 2010-06-01 | Yield Engineering Systems, Inc. | Apparatus for the efficient coating of substrates |
KR20070032957A (ko) * | 2004-06-24 | 2007-03-23 | 베네끄 오이 | 재료도핑방법 및 도핑된 재료 |
FI117243B (fi) * | 2004-06-24 | 2006-08-15 | Beneq Oy | Menetelmä materiaalin seostamiseksi ja seostettu materiaali |
FI117247B (fi) * | 2004-06-24 | 2006-08-15 | Beneq Oy | Materiaalin seostaminen selektiivisesti |
US20060040054A1 (en) * | 2004-08-18 | 2006-02-23 | Pearlstein Ronald M | Passivating ALD reactor chamber internal surfaces to prevent residue buildup |
US20060060301A1 (en) * | 2004-09-17 | 2006-03-23 | Lazovsky David E | Substrate processing using molecular self-assembly |
US7966969B2 (en) | 2004-09-22 | 2011-06-28 | Asm International N.V. | Deposition of TiN films in a batch reactor |
JP4934595B2 (ja) | 2005-01-18 | 2012-05-16 | エーエスエム アメリカ インコーポレイテッド | 薄膜成長用反応装置 |
US8025922B2 (en) | 2005-03-15 | 2011-09-27 | Asm International N.V. | Enhanced deposition of noble metals |
US7666773B2 (en) | 2005-03-15 | 2010-02-23 | Asm International N.V. | Selective deposition of noble metal thin films |
WO2007016013A2 (en) * | 2005-07-27 | 2007-02-08 | Applied Materials, Inc. | Unique passivation technique for a cvd blocker plate to prevent particle formation |
WO2007032261A1 (ja) * | 2005-09-13 | 2007-03-22 | Nec Corporation | 多孔質絶縁膜の形成方法および半導体装置 |
US20070065578A1 (en) * | 2005-09-21 | 2007-03-22 | Applied Materials, Inc. | Treatment processes for a batch ALD reactor |
US7595271B2 (en) * | 2005-12-01 | 2009-09-29 | Asm America, Inc. | Polymer coating for vapor deposition tool |
US8357434B1 (en) | 2005-12-13 | 2013-01-22 | Lam Research Corporation | Apparatus for the deposition of a conformal film on a substrate and methods therefor |
JP2007281150A (ja) * | 2006-04-05 | 2007-10-25 | Tokyo Electron Ltd | 処理装置 |
US7863198B2 (en) * | 2006-05-18 | 2011-01-04 | Micron Technology, Inc. | Method and device to vary growth rate of thin films over semiconductor structures |
US7691757B2 (en) | 2006-06-22 | 2010-04-06 | Asm International N.V. | Deposition of complex nitride films |
KR101427142B1 (ko) | 2006-10-05 | 2014-08-07 | 에이에스엠 아메리카, 인코포레이티드 | 금속 규산염 막의 원자층 증착 |
US8986456B2 (en) | 2006-10-10 | 2015-03-24 | Asm America, Inc. | Precursor delivery system |
US20080171436A1 (en) * | 2007-01-11 | 2008-07-17 | Asm Genitech Korea Ltd. | Methods of depositing a ruthenium film |
US20080216958A1 (en) * | 2007-03-07 | 2008-09-11 | Novellus Systems, Inc. | Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same |
US7629256B2 (en) | 2007-05-14 | 2009-12-08 | Asm International N.V. | In situ silicon and titanium nitride deposition |
KR101544198B1 (ko) | 2007-10-17 | 2015-08-12 | 한국에이에스엠지니텍 주식회사 | 루테늄 막 형성 방법 |
US7655564B2 (en) | 2007-12-12 | 2010-02-02 | Asm Japan, K.K. | Method for forming Ta-Ru liner layer for Cu wiring |
FI123322B (fi) * | 2007-12-17 | 2013-02-28 | Beneq Oy | Menetelmä ja laitteisto plasman muodostamiseksi |
US7799674B2 (en) | 2008-02-19 | 2010-09-21 | Asm Japan K.K. | Ruthenium alloy film for copper interconnects |
US8545936B2 (en) | 2008-03-28 | 2013-10-01 | Asm International N.V. | Methods for forming carbon nanotubes |
KR20110039482A (ko) * | 2008-08-14 | 2011-04-18 | 비덱스 에이/에스 | 보청기 부품 코팅 방법 및 코팅된 부품을 포함하는 보청기 |
US8084104B2 (en) | 2008-08-29 | 2011-12-27 | Asm Japan K.K. | Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition |
US20100062149A1 (en) | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
US8491967B2 (en) * | 2008-09-08 | 2013-07-23 | Applied Materials, Inc. | In-situ chamber treatment and deposition process |
US8133555B2 (en) | 2008-10-14 | 2012-03-13 | Asm Japan K.K. | Method for forming metal film by ALD using beta-diketone metal complex |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US7833906B2 (en) | 2008-12-11 | 2010-11-16 | Asm International N.V. | Titanium silicon nitride deposition |
US9379011B2 (en) | 2008-12-19 | 2016-06-28 | Asm International N.V. | Methods for depositing nickel films and for making nickel silicide and nickel germanide |
JP5088331B2 (ja) * | 2009-01-26 | 2012-12-05 | 東京エレクトロン株式会社 | 熱処理装置用の構成部品及び熱処理装置 |
US8257799B2 (en) | 2009-02-23 | 2012-09-04 | Synos Technology, Inc. | Method for forming thin film using radicals generated by plasma |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US9481937B2 (en) * | 2009-04-30 | 2016-11-01 | Asm America, Inc. | Selective etching of reactor surfaces |
US8758512B2 (en) | 2009-06-08 | 2014-06-24 | Veeco Ald Inc. | Vapor deposition reactor and method for forming thin film |
JP5359642B2 (ja) * | 2009-07-22 | 2013-12-04 | 東京エレクトロン株式会社 | 成膜方法 |
US8329569B2 (en) | 2009-07-31 | 2012-12-11 | Asm America, Inc. | Deposition of ruthenium or ruthenium dioxide |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8293658B2 (en) * | 2010-02-17 | 2012-10-23 | Asm America, Inc. | Reactive site deactivation against vapor deposition |
TWI509695B (zh) | 2010-06-10 | 2015-11-21 | Asm Int | 使膜選擇性沈積於基板上的方法 |
US20120201959A1 (en) * | 2011-02-04 | 2012-08-09 | Applied Materials, Inc. | In-Situ Hydroxylation System |
US8778816B2 (en) | 2011-02-04 | 2014-07-15 | Applied Materials, Inc. | In situ vapor phase surface activation of SiO2 |
US8840958B2 (en) | 2011-02-14 | 2014-09-23 | Veeco Ald Inc. | Combined injection module for sequentially injecting source precursor and reactant precursor |
US8877300B2 (en) | 2011-02-16 | 2014-11-04 | Veeco Ald Inc. | Atomic layer deposition using radicals of gas mixture |
US9163310B2 (en) | 2011-02-18 | 2015-10-20 | Veeco Ald Inc. | Enhanced deposition of layer on substrate using radicals |
US8871617B2 (en) | 2011-04-22 | 2014-10-28 | Asm Ip Holding B.V. | Deposition and reduction of mixed metal oxide thin films |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US9223203B2 (en) | 2011-07-08 | 2015-12-29 | Asm International N.V. | Microcontact printed films as an activation layer for selective atomic layer deposition |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9112003B2 (en) | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
US9388492B2 (en) * | 2011-12-27 | 2016-07-12 | Asm America, Inc. | Vapor flow control apparatus for atomic layer deposition |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
TWI622664B (zh) | 2012-05-02 | 2018-05-01 | Asm智慧財產控股公司 | 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法 |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US20140242811A1 (en) * | 2013-02-27 | 2014-08-28 | United Microelectronics Corp. | Atomic layer deposition method |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
JP6218921B2 (ja) * | 2013-04-10 | 2017-10-25 | ピコサン オーワイPicosun Oy | Aldコーティングによるターゲットポンプ内部の保護 |
US8993054B2 (en) * | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US9745658B2 (en) | 2013-11-25 | 2017-08-29 | Lam Research Corporation | Chamber undercoat preparation method for low temperature ALD films |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
TWI739285B (zh) | 2014-02-04 | 2021-09-11 | 荷蘭商Asm Ip控股公司 | 金屬、金屬氧化物與介電質的選擇性沉積 |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US11326254B2 (en) * | 2014-03-03 | 2022-05-10 | Picosun Oy | Protecting an interior of a gas container with an ALD coating |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10047435B2 (en) | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9548188B2 (en) | 2014-07-30 | 2017-01-17 | Lam Research Corporation | Method of conditioning vacuum chamber of semiconductor substrate processing apparatus |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US9490145B2 (en) | 2015-02-23 | 2016-11-08 | Asm Ip Holding B.V. | Removal of surface passivation |
KR20160103611A (ko) * | 2015-02-24 | 2016-09-02 | 삼성디스플레이 주식회사 | 증착 장치 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9828672B2 (en) | 2015-03-26 | 2017-11-28 | Lam Research Corporation | Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma |
US10023956B2 (en) | 2015-04-09 | 2018-07-17 | Lam Research Corporation | Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10428421B2 (en) | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US10566185B2 (en) | 2015-08-05 | 2020-02-18 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US10121699B2 (en) | 2015-08-05 | 2018-11-06 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9607842B1 (en) | 2015-10-02 | 2017-03-28 | Asm Ip Holding B.V. | Methods of forming metal silicides |
US10814349B2 (en) | 2015-10-09 | 2020-10-27 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10343186B2 (en) | 2015-10-09 | 2019-07-09 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10695794B2 (en) | 2015-10-09 | 2020-06-30 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
EP4358119A3 (en) * | 2016-03-03 | 2024-07-31 | Applied Materials, Inc. | Improved self-assembled monolayer blocking with intermittent air-water exposure |
US9981286B2 (en) | 2016-03-08 | 2018-05-29 | Asm Ip Holding B.V. | Selective formation of metal silicides |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10204782B2 (en) | 2016-04-18 | 2019-02-12 | Imec Vzw | Combined anneal and selective deposition process |
KR102182550B1 (ko) | 2016-04-18 | 2020-11-25 | 에이에스엠 아이피 홀딩 비.브이. | 유도된 자기-조립층을 기판 상에 형성하는 방법 |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
KR102306693B1 (ko) | 2016-04-25 | 2021-09-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 자기-조립 단분자층 프로세스들을 위한 화학물질 전달 챔버 |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11056527B2 (en) * | 2016-05-04 | 2021-07-06 | General Electric Company | Metal oxide interface passivation for photon counting devices |
US11081342B2 (en) | 2016-05-05 | 2021-08-03 | Asm Ip Holding B.V. | Selective deposition using hydrophobic precursors |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10662527B2 (en) | 2016-06-01 | 2020-05-26 | Asm Ip Holding B.V. | Manifolds for uniform vapor deposition |
US10453701B2 (en) | 2016-06-01 | 2019-10-22 | Asm Ip Holding B.V. | Deposition of organic films |
US10373820B2 (en) | 2016-06-01 | 2019-08-06 | Asm Ip Holding B.V. | Deposition of organic films |
US10358715B2 (en) * | 2016-06-03 | 2019-07-23 | Applied Materials, Inc. | Integrated cluster tool for selective area deposition |
US9805974B1 (en) | 2016-06-08 | 2017-10-31 | Asm Ip Holding B.V. | Selective deposition of metallic films |
US10014212B2 (en) | 2016-06-08 | 2018-07-03 | Asm Ip Holding B.V. | Selective deposition of metallic films |
US9803277B1 (en) | 2016-06-08 | 2017-10-31 | Asm Ip Holding B.V. | Reaction chamber passivation and selective deposition of metallic films |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US9859157B1 (en) | 2016-07-14 | 2018-01-02 | International Business Machines Corporation | Method for forming improved liner layer and semiconductor device including the same |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
JP6804250B2 (ja) * | 2016-09-23 | 2020-12-23 | 東京エレクトロン株式会社 | 減圧乾燥装置、および減圧乾燥方法 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US11430656B2 (en) | 2016-11-29 | 2022-08-30 | Asm Ip Holding B.V. | Deposition of oxide thin films |
KR102762543B1 (ko) | 2016-12-14 | 2025-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US10211099B2 (en) | 2016-12-19 | 2019-02-19 | Lam Research Corporation | Chamber conditioning for remote plasma process |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10752991B2 (en) | 2017-02-06 | 2020-08-25 | Applied Materials, Inc. | Half-angle nozzle |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US11094535B2 (en) | 2017-02-14 | 2021-08-17 | Asm Ip Holding B.V. | Selective passivation and selective deposition |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US11501965B2 (en) | 2017-05-05 | 2022-11-15 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
JP7183187B2 (ja) | 2017-05-16 | 2022-12-05 | エーエスエム アイピー ホールディング ビー.ブイ. | 誘電体上の酸化物の選択的peald |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10900120B2 (en) | 2017-07-14 | 2021-01-26 | Asm Ip Holding B.V. | Passivation against vapor deposition |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10872803B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10872804B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11018048B2 (en) | 2017-11-21 | 2021-05-25 | Watlow Electric Manufacturing Company | Ceramic pedestal having atomic protective layer |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111316417B (zh) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | 与批式炉偕同使用的用于储存晶圆匣的储存装置 |
KR102633318B1 (ko) | 2017-11-27 | 2024-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 청정 소형 구역을 포함한 장치 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
KR20240168473A (ko) | 2017-12-07 | 2024-11-29 | 램 리써치 코포레이션 | 챔버 내 산화 내성 보호 층 컨디셔닝 |
US10760158B2 (en) | 2017-12-15 | 2020-09-01 | Lam Research Corporation | Ex situ coating of chamber components for semiconductor processing |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI852426B (zh) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 沈積方法 |
WO2019142055A2 (en) | 2018-01-19 | 2019-07-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102600229B1 (ko) | 2018-04-09 | 2023-11-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법 |
JP7146690B2 (ja) | 2018-05-02 | 2022-10-04 | エーエスエム アイピー ホールディング ビー.ブイ. | 堆積および除去を使用した選択的層形成 |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TWI819010B (zh) | 2018-06-27 | 2023-10-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
JP7101551B2 (ja) | 2018-07-02 | 2022-07-15 | 東京エレクトロン株式会社 | 選択的に対象膜を形成する方法およびシステム |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
CN110783187B (zh) * | 2018-07-25 | 2024-04-19 | 东京毅力科创株式会社 | 等离子体处理方法和等离子体处理装置 |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
JP2020056104A (ja) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102748291B1 (ko) | 2018-11-02 | 2024-12-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI866480B (zh) | 2019-01-17 | 2024-12-11 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR102727227B1 (ko) | 2019-01-22 | 2024-11-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP7603377B2 (ja) | 2019-02-20 | 2024-12-20 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR102782593B1 (ko) | 2019-03-08 | 2025-03-14 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR102762833B1 (ko) | 2019-03-08 | 2025-02-04 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP7109397B2 (ja) | 2019-03-13 | 2022-07-29 | 東京エレクトロン株式会社 | 成膜方法 |
JP2020147792A (ja) | 2019-03-13 | 2020-09-17 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
US11492701B2 (en) | 2019-03-19 | 2022-11-08 | Asm Ip Holding B.V. | Reactor manifolds |
JP7118023B2 (ja) | 2019-03-25 | 2022-08-15 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11965238B2 (en) | 2019-04-12 | 2024-04-23 | Asm Ip Holding B.V. | Selective deposition of metal oxides on metal surfaces |
DE102019109987A1 (de) * | 2019-04-16 | 2020-10-22 | Aixtron Se | Verfahren zum Konditionieren einer Substratbehandlungseinrichtung und eine diesbezügliche Vorrichtung |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP7598201B2 (ja) | 2019-05-16 | 2024-12-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP7612342B2 (ja) | 2019-05-16 | 2025-01-14 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200141931A (ko) | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | 석영 에피택셜 챔버를 세정하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210015655A (ko) | 2019-07-30 | 2021-02-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 방법 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
KR20210018761A (ko) | 2019-08-09 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 장치를 포함한 히터 어셈블리 및 이를 사용하는 방법 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR102733104B1 (ko) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP2021044534A (ja) | 2019-09-05 | 2021-03-18 | 東京エレクトロン株式会社 | 成膜方法 |
JP2021052070A (ja) | 2019-09-24 | 2021-04-01 | 東京エレクトロン株式会社 | 成膜方法 |
JP2021057563A (ja) | 2019-09-24 | 2021-04-08 | 東京エレクトロン株式会社 | 成膜方法 |
JP7262354B2 (ja) | 2019-09-24 | 2023-04-21 | 東京エレクトロン株式会社 | 成膜方法 |
US12152304B2 (en) | 2019-09-24 | 2024-11-26 | Tokyo Electron Limited | Film forming method for forming self-assembled monolayer on substrate |
CN112553592B (zh) * | 2019-09-25 | 2023-03-31 | 中微半导体设备(上海)股份有限公司 | 一种利用ald工艺对静电吸盘进行处理的方法 |
CN112553597A (zh) * | 2019-09-25 | 2021-03-26 | 中微半导体设备(上海)股份有限公司 | 采用ald技术在输气管道内壁生成抗腐蚀涂层的方法 |
CN112553598B (zh) * | 2019-09-25 | 2023-03-28 | 中微半导体设备(上海)股份有限公司 | 利用ald技术增强修复刻蚀设备部件阳极氧化涂层的方法 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210048408A (ko) * | 2019-10-22 | 2021-05-03 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 증착 반응기 매니폴드 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11139163B2 (en) | 2019-10-31 | 2021-10-05 | Asm Ip Holding B.V. | Selective deposition of SiOC thin films |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR102731904B1 (ko) * | 2019-12-04 | 2024-11-20 | 주성엔지니어링(주) | 기판 처리 장치, 기판 처리 장치 마련 방법 및 기판 처리 방법 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
KR20210089077A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
CN113284789A (zh) | 2020-02-03 | 2021-08-20 | Asm Ip私人控股有限公司 | 形成包括钒或铟层的结构的方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
KR20210103956A (ko) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법 |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR102775390B1 (ko) | 2020-03-12 | 2025-02-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
TWI865747B (zh) | 2020-03-30 | 2024-12-11 | 荷蘭商Asm Ip私人控股有限公司 | 在兩不同表面上同時選擇性沉積兩不同材料 |
TWI862807B (zh) | 2020-03-30 | 2024-11-21 | 荷蘭商Asm Ip私人控股有限公司 | 相對於金屬表面在介電表面上之氧化矽的選擇性沉積 |
TW202140832A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽在金屬表面上之選擇性沉積 |
KR102755229B1 (ko) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202143328A (zh) | 2020-04-21 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於調整膜應力之方法 |
TW202200505A (zh) | 2020-04-24 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於穩定釩化合物之方法及設備 |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
TW202208671A (zh) | 2020-04-24 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括硼化釩及磷化釩層的結構之方法 |
JP2021181612A (ja) | 2020-04-29 | 2021-11-25 | エーエスエム・アイピー・ホールディング・ベー・フェー | 固体ソースプリカーサ容器 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR20210145079A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 플랜지 및 장치 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
KR20220002123A (ko) | 2020-06-30 | 2022-01-06 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI864307B (zh) | 2020-07-17 | 2024-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構、方法與系統 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220011092A (ko) | 2020-07-20 | 2022-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템 |
KR20220021863A (ko) | 2020-08-14 | 2022-02-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202228863A (zh) | 2020-08-25 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 清潔基板的方法、選擇性沉積的方法、及反應器系統 |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
TW202217045A (zh) | 2020-09-10 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積間隙填充流體之方法及相關系統和裝置 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
KR20220036866A (ko) | 2020-09-16 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물 증착 방법 |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202218049A (zh) | 2020-09-25 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220050048A (ko) | 2020-10-15 | 2022-04-22 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202229620A (zh) | 2020-11-12 | 2022-08-01 | 特文特大學 | 沉積系統、用於控制反應條件之方法、沉積方法 |
TW202229795A (zh) | 2020-11-23 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 具注入器之基板處理設備 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
JP2022091523A (ja) | 2020-12-09 | 2022-06-21 | 東京エレクトロン株式会社 | 成膜方法 |
US12255053B2 (en) | 2020-12-10 | 2025-03-18 | Asm Ip Holding B.V. | Methods and systems for depositing a layer |
TW202233884A (zh) | 2020-12-14 | 2022-09-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成臨限電壓控制用之結構的方法 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
JP2022137698A (ja) | 2021-03-09 | 2022-09-22 | 東京エレクトロン株式会社 | 成膜方法および成膜システム |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
JP7629594B2 (ja) | 2021-06-22 | 2025-02-14 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
USD1060598S1 (en) | 2021-12-03 | 2025-02-04 | Asm Ip Holding B.V. | Split showerhead cover |
US20240286117A1 (en) * | 2022-01-13 | 2024-08-29 | Lg Chem, Ltd. | Method for preparing carbon nanotube-producing catalyst |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH08199334A (ja) * | 1995-01-20 | 1996-08-06 | Neos Co Ltd | 真空蒸着膜形成室の表面処理方法 |
WO2001027346A1 (en) * | 1999-10-15 | 2001-04-19 | Asm Microchemistry Oy | Method of modifying source chemicals in an ald process |
Family Cites Families (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4539061A (en) * | 1983-09-07 | 1985-09-03 | Yeda Research And Development Co., Ltd. | Process for the production of built-up films by the stepwise adsorption of individual monolayers |
FI845161A0 (fi) * | 1984-12-28 | 1984-12-28 | Ksv Chemicals Oy | Ytbehandlingsmedel. |
US5350480A (en) * | 1993-07-23 | 1994-09-27 | Aspect International, Inc. | Surface cleaning and conditioning using hot neutral gas beam array |
JP2641385B2 (ja) * | 1993-09-24 | 1997-08-13 | アプライド マテリアルズ インコーポレイテッド | 膜形成方法 |
JP3590416B2 (ja) | 1993-11-29 | 2004-11-17 | アネルバ株式会社 | 薄膜形成方法および薄膜形成装置 |
US5479727A (en) * | 1994-10-25 | 1996-01-02 | Air Products And Chemicals, Inc. | Moisture removal and passivation of surfaces |
US5647953A (en) | 1995-12-22 | 1997-07-15 | Lam Research Corporation | Plasma cleaning method for removing residues in a plasma process chamber |
US5824365A (en) * | 1996-06-24 | 1998-10-20 | Micron Technology, Inc. | Method of inhibiting deposition of material on an internal wall of a chemical vapor deposition reactor |
US5766698A (en) * | 1996-11-25 | 1998-06-16 | Nanofilm Corporation | Method for modifying surfaces with ultra thin films |
FI104383B (fi) | 1997-12-09 | 2000-01-14 | Fortum Oil & Gas Oy | Menetelmä laitteistojen sisäpintojen päällystämiseksi |
US6071573A (en) * | 1997-12-30 | 2000-06-06 | Lam Research Corporation | Process for precoating plasma CVD reactors |
US6316052B1 (en) * | 1998-08-19 | 2001-11-13 | Anelva Corporation | Method for the surface treatment of vacuum materials and surface treated vacuum |
US6537461B1 (en) | 2000-04-24 | 2003-03-25 | Hitachi, Ltd. | Process for treating solid surface and substrate surface |
FI118342B (fi) * | 1999-05-10 | 2007-10-15 | Asm Int | Laite ohutkalvojen valmistamiseksi |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
FI118804B (fi) * | 1999-12-03 | 2008-03-31 | Asm Int | Menetelmä oksidikalvojen kasvattamiseksi |
JP2001326337A (ja) * | 2000-05-16 | 2001-11-22 | Fujitsu Ltd | 誘電体膜の製造方法、キャパシタの製造方法および半導体装置の製造方法 |
US6649408B2 (en) * | 2000-03-24 | 2003-11-18 | George Mason University | Microdroplet cell culture technique |
US6818250B2 (en) * | 2000-06-29 | 2004-11-16 | The Regents Of The University Of Colorado | Method for forming SIO2 by chemical vapor deposition at room temperature |
US6468903B2 (en) * | 2000-11-15 | 2002-10-22 | Asm International N.V. | Pre-treatment of reactor parts for chemical vapor deposition reactors |
US20020162507A1 (en) * | 2001-05-01 | 2002-11-07 | Applied Materials, Inc. | Self-renewing coating for plasma enhanced processing systems |
US6720259B2 (en) | 2001-10-02 | 2004-04-13 | Genus, Inc. | Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition |
US6890596B2 (en) * | 2002-08-15 | 2005-05-10 | Micron Technology, Inc. | Deposition methods |
US20040134427A1 (en) * | 2003-01-09 | 2004-07-15 | Derderian Garo J. | Deposition chamber surface enhancement and resulting deposition chambers |
EP1623454A2 (en) | 2003-05-09 | 2006-02-08 | ASM America, Inc. | Reactor surface passivation through chemical deactivation |
US20060040054A1 (en) * | 2004-08-18 | 2006-02-23 | Pearlstein Ronald M | Passivating ALD reactor chamber internal surfaces to prevent residue buildup |
-
2004
- 2004-04-29 EP EP04750869A patent/EP1623454A2/en not_active Withdrawn
- 2004-04-29 JP JP2006532497A patent/JP4959333B2/ja not_active Expired - Lifetime
- 2004-04-29 KR KR1020057020924A patent/KR101090895B1/ko active IP Right Grant
- 2004-04-29 WO PCT/US2004/013166 patent/WO2004102648A2/en active Application Filing
- 2004-05-04 TW TW093112463A patent/TWI394862B/zh not_active IP Right Cessation
- 2004-05-07 US US10/841,585 patent/US7118779B2/en not_active Expired - Lifetime
-
2006
- 2006-10-06 US US11/539,312 patent/US7799135B2/en not_active Expired - Lifetime
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH08199334A (ja) * | 1995-01-20 | 1996-08-06 | Neos Co Ltd | 真空蒸着膜形成室の表面処理方法 |
WO2001027346A1 (en) * | 1999-10-15 | 2001-04-19 | Asm Microchemistry Oy | Method of modifying source chemicals in an ald process |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI772459B (zh) * | 2017-07-14 | 2022-08-01 | 荷蘭商Asm Ip控股公司 | 用於製備自組裝單層的方法 |
US11495455B2 (en) | 2017-07-14 | 2022-11-08 | Asm Ip Holding B.V. | Apparatus for forming self-assembled monolayers |
TWI804369B (zh) * | 2017-07-14 | 2023-06-01 | 荷蘭商Asm Ip控股公司 | 用於將自組裝單層沈積於基板之表面上的設備 |
TWI810141B (zh) * | 2017-07-14 | 2023-07-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於將自組裝單層沈積於基板上的設備以及自組裝單層形成和選擇性沉積的方法 |
US11749523B2 (en) | 2017-07-14 | 2023-09-05 | Asm Ip Holding B.V. | Methods for preparing self-assembled monolayers |
Also Published As
Publication number | Publication date |
---|---|
WO2004102648A2 (en) | 2004-11-25 |
US7118779B2 (en) | 2006-10-10 |
JP4959333B2 (ja) | 2012-06-20 |
TW200502427A (en) | 2005-01-16 |
US20040221807A1 (en) | 2004-11-11 |
JP2007501902A (ja) | 2007-02-01 |
EP1623454A2 (en) | 2006-02-08 |
US20070084404A1 (en) | 2007-04-19 |
WO2004102648A3 (en) | 2005-03-24 |
KR20060004976A (ko) | 2006-01-16 |
US7799135B2 (en) | 2010-09-21 |
KR101090895B1 (ko) | 2011-12-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI394862B (zh) | 經化學去活化而使反應器表面鈍化 | |
US7914847B2 (en) | Reactor surface passivation through chemical deactivation | |
US10297462B2 (en) | Methods of etching films comprising transition metals | |
JP5253589B2 (ja) | 半導体デバイスの製造方法及び基板処理装置 | |
JP4411215B2 (ja) | 基板処理装置及び半導体装置の製造方法 | |
TWI446404B (zh) | 半導體裝置的製造方法、清潔方法及基板處理裝置 | |
KR102271767B1 (ko) | 금속 아미드 증착 전구체 및 불활성 앰플 라이너에 의한 이들의 안정화 | |
JP5856085B2 (ja) | 蒸着に対する反応部位の不活性化 | |
CN1312757C (zh) | 利用原子层淀积形成薄膜的方法 | |
US20060205228A1 (en) | Atomic layer deposition methods | |
CN100367459C (zh) | 衬底处理装置及半导体装置的制造方法 | |
US9922872B2 (en) | Tungsten films by organometallic or silane pre-treatment of substrate | |
US9540736B2 (en) | Methods of etching films with reduced surface roughness | |
JP5568212B2 (ja) | 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法 | |
TWI559381B (zh) | 金屬合金薄膜的原子層沉積 | |
JP2007227471A (ja) | 基板処理装置 | |
JP4356882B2 (ja) | 原子層制御薄膜の形成方法 | |
JP2011035191A (ja) | 基板処理装置 | |
JP2011100896A (ja) | 基板処理装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MK4A | Expiration of patent term of an invention patent |