TW201445614A - 用於半導體元件製造上的阻劑硬化及顯影製程 - Google Patents
用於半導體元件製造上的阻劑硬化及顯影製程 Download PDFInfo
- Publication number
- TW201445614A TW201445614A TW103109689A TW103109689A TW201445614A TW 201445614 A TW201445614 A TW 201445614A TW 103109689 A TW103109689 A TW 103109689A TW 103109689 A TW103109689 A TW 103109689A TW 201445614 A TW201445614 A TW 201445614A
- Authority
- TW
- Taiwan
- Prior art keywords
- resist layer
- substrate
- chamber
- regions
- etch
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/36—Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/26—Processing photosensitive materials; Apparatus therefor
- G03F7/40—Treatment after imagewise removal, e.g. baking
- G03F7/405—Treatment with inorganic or organometallic reagents after imagewise removal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68707—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Organic Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Robotics (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Drying Of Semiconductors (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Abstract
本文提供一種在基板上形成蝕刻遮罩之方法,在一些實施例中,該方法包括:(1)在基板上形成阻劑層;(2)將阻劑層之一或更多個區域曝露於能源,以便改變所曝露區域之物理性質及化學性質中至少一者;(3)在阻劑層上執行硬化製程以增大阻劑層之第一區域相對於阻劑層之第二區域之抗蝕刻性,該硬化製程包括在原子層沉積(atomic layer deposition; ALD)腔室內將阻劑層曝露於一或更多種反應性物質;及(4)對阻劑層進行乾式蝕刻以移除一或更多個第二區域,及在阻劑層中形成圖案。本文亦提供其他實施例。
Description
本申請案主張於2013年3月14日提交的、標題為「RESIST HARDENING AND DEVELOPMENT PROCESSES FOR SEMICONDUCTOR DEVICE MANUFACTURING(用於半導體元件製造之阻劑硬化及顯影製程)」(代理人案號第17753/L號)之美國臨時申請案第61/786,056號之優先權,該申請案在此以引用之方式併入本文以用於所有目的。
本發明一般係關於半導體元件製造,及更特定而言,係針對圖案化製程(微影術)之方法及設備。
在過去數十年,微影術技術已是半導體工業之關鍵技術及驅動因素之一。微影術之改良已使印刷矽晶圓之成本大體保持不變,同時以指數級方式減小電晶體尺寸,由此以已知為莫耳(Moore)定律之速率顯著增加每一晶片可印刷之電晶體數目。隨著透鏡及成像材料技術之改良與所用波長之縮
短,積體電路(Integrated circuit;IC)已以光學方式印刷,從而促進微影術技術之穩定改良。然而,多年以來眾多人士已預測到光學微影術技術之盡頭。
已提出及開發眾多技術以對光學微影術之效能進行改良。一些技術獲得成功;但成本及複雜性快速增長。此情況之所以屬實,很大程度上是因為促進現有光學技術之遞增改良比利用新技術取代現有技術更為經濟節約。所需要的是用於改良微影術效能而不會使製程對於生產而言不經濟節約或不切實際之方法及設備。
在一些實施例中,提供一種在基板上形成蝕刻遮罩之方法,該方法包括:(1)在基板上形成阻劑層;(2)將阻劑層之一或更多個區域曝露於能源,以便改變所曝露區域之物理性質及化學性質中至少一者;(3)在阻劑層上執行硬化製程以增大阻劑層之第一區域相對於阻劑層之第二區域之抗蝕刻性,該硬化製程包括在原子層沉積(atomic layer deposition;ALD)腔室內將阻劑層曝露於一或更多種反應性物質;及(4)對阻劑層進行乾式蝕刻以移除一或更多個第二區域,及在阻劑層中形成圖案。
在一些實施例中,提供一種圖案化基板之方法,該方法包括:(1)在基板上形成阻劑層;(2)將阻劑層之一或更多個區域曝露於能源,以便改變所曝露區域之物理性質及化學性質中至少一者;(3)使阻劑層顯影以圖案化阻劑層;(4)在經圖案化之阻劑層上執行硬化製程以增大經圖案化之阻劑層之
抗蝕刻性,該硬化製程包括在原子層沉積(atomic layer deposition;ALD)腔室內將經圖案化之阻劑層曝露於一或更多種反應性物質;及(5)在硬化製程之至少一部分期間進行利用紫外光照射基板及振動基板中之至少一步驟。
在一些實施例中,提供一種經配置以處理基板之處理系統,該處理系統包括:(1)移送室,該移送室具有(a)複數個側面,每一側面經配置以耦接至至少一處理腔室;及(b)基板搬運器,該基板搬運器經配置以在耦接至移送室之處理腔室之間運送基板;(2)耦接至移送室之原子層沉積(atomic layer deposition;ALD)腔室;(3)耦接至移送室之蝕刻腔室;及(4)控制器,該控制器耦接至移送室及經配置以控制處理系統之操作以:(a)將基板移送至ALD腔室內,該基板上形成有經圖案化之阻劑層;(b)在ALD腔室中在經圖案化之阻劑層上執行硬化製程,以增大經圖案化之阻劑層之抗蝕刻性,該硬化製程包括在ALD腔室內將經圖案化之阻劑層曝露於一或更多種反應性物質,及當在ALD腔室內將經圖案化之阻劑層曝露於一或更多種反應性物質之至少一部分期間,進行利用紫外光照射基板及振動基板中至少一步驟;(c)將基板移送至蝕刻腔室;及(d)在蝕刻腔室內蝕刻基板以圖案化基板。
在一些實施例中,提供經配置以處理基板之處理系統,該處理系統包括:(1)原子層沉積(atomic layer deposition;ALD)腔室,該腔室經配置以在基板上執行硬化製程,該基板上形成有阻劑層,該阻劑層之一或更多個曝露區域藉由曝露於紫外光、超紫外光及電子束中至少一者而發生物理改變及
化學改變中之至少一者,該硬化製程增大阻劑層之第一區域相對於阻劑層之第二區域之抗蝕刻性;(2)蝕刻腔室,該蝕刻腔室經配置以收納來自ALD腔室之基板,及蝕刻阻劑層以移除一或更多個第二區域及在阻劑層中形成圖案;及(3)控制器,該控制器經配置以控制處理系統之操作以:(a)將基板移送至ALD腔室內;(b)藉由在ALD腔室內將阻劑層曝露於一或更多種反應性物質而在ALD腔室中在阻劑層上執行硬化製程;(c)將基板移送至蝕刻腔室;及(d)在蝕刻腔室內蝕刻阻劑層以移除阻劑層之一或更多個第二區域。
在一些實施例中,提供一種圖案化基板之方法,該方法包括:(1)在基板上形成阻劑層,該阻劑層是藉由使用自集製程由兩種或更多種聚合物材料形成的;(2)在阻劑層上執行硬化製程以增大阻劑層之第一聚合物區域相對於阻劑層之第二聚合物區域之抗蝕刻性,該硬化製程包括在原子層沉積(atomic layer deposition;ALD)腔室內將阻劑層曝露於一或更多種反應性物質;(3)在硬化製程之至少一部分期間,進行利用紫外光照射基板及振動基板中之至少一步驟;及(4)對阻劑層進行乾式蝕刻以移除一或更多第二聚合物區域,及在阻劑層中形成圖案。
提供眾多其他態樣。本發明之其他特徵及態樣將根據下文之詳細描述、所附之申請專利範圍及附圖而變得更為全面地顯而易見。
100‧‧‧第一方法
101‧‧‧步驟
102‧‧‧步驟
103‧‧‧步驟
104‧‧‧步驟
105‧‧‧步驟
200‧‧‧基板
202‧‧‧材料層
204‧‧‧阻劑層
206‧‧‧遮罩
208a‧‧‧曝露區域
208b‧‧‧曝露區域
208c‧‧‧曝露區域
208d‧‧‧曝露區域
210a‧‧‧非曝露區域
210b‧‧‧非曝露區域
210c‧‧‧非曝露區域
210d‧‧‧非曝露區域
210e‧‧‧非曝露區域
300‧‧‧第二方法
301‧‧‧步驟
302‧‧‧步驟
303‧‧‧步驟
304‧‧‧步驟
305‧‧‧步驟
400a‧‧‧第一處理系統
400b‧‧‧第二處理系統
402‧‧‧微影術軌跡子系統
404‧‧‧群集工具子系統
406‧‧‧阻劑塗覆工具
408‧‧‧曝露工具
410‧‧‧ALD腔室
412‧‧‧軌跡
414a‧‧‧蝕刻腔室
414b‧‧‧蝕刻腔室
416‧‧‧濕式顯影工具
420‧‧‧控制器
500‧‧‧處理系統
502‧‧‧移送室
504a‧‧‧負載鎖定腔室
504b‧‧‧負載鎖定腔室
506‧‧‧處理腔室
508‧‧‧處理腔室
510‧‧‧處理腔室
512‧‧‧處理腔室
514‧‧‧基板搬運器
516‧‧‧控制器
518‧‧‧照射源
520‧‧‧振動能源/轉換器
第1圖圖示依據本發明之實施例提供的將圖案移送
至基板內的第一方法之流程圖。
第2A圖至第2F圖圖示依據本發明之實施例之基板的橫剖面示意圖,該基板藉由使用第1圖的方法得以處理。
第3圖圖示依據本發明之實施例提供的將圖案移送至基板內的第二方法之流程圖。
第4A圖係用於實施第1圖中之方法的示例性處理系統。
第4B圖係用於實施第3圖中之方法的示例性處理系統。
第5圖係依據本發明之實施例之經配置以處理基板之另一示例性處理系統的示意性俯視圖。
半導體元件製造中所採用之當前的微影術主要採用四個步驟。該等步驟包括(1)光阻劑或「阻劑」塗覆;(2)曝露;(3)濕式顯影;及(4)蝕刻。
在阻劑塗覆期間,通常使用旋塗製程在基板上塗覆阻劑層,在旋塗製程中,將阻劑材料沉積在旋轉基板之頂表面上。基板之旋轉使阻劑材料均勻地撒佈於基板之整個頂表面。在習用之阻劑中,阻劑材料之溶解度藉由經由遮罩圖案曝露於光或其他能量而變更,使得該遮罩圖案在濕式顯影之後在阻劑層中顯現(例如,類似於照片)。例如,化學放大阻劑(chemically amplified resist;CAR)可包括光酸產生劑(photo-acid generator;PAG),該光酸產生劑在曝露於諸如193奈米光、電子束(e-beam)、超紫外(extreme ultraviolet;EUV)
光,或類似物之能源之後經歷光化學反應。光化學反應可在阻劑之曝露區域內產生酸,該酸改變阻劑內之聚合物基團以在阻劑曝露於濕式顯影劑時在阻劑中產生溶解速率反差。可採用其他機制以在阻劑材料中產生溶解速率反差。例如,在一些阻劑中,曝露於適當之能源可使阻劑溶解於濕式顯影劑中之可能性較小。
在曝露期間,利用光或另一能源經由遮罩照明基板以選擇性地將阻劑之區域曝露於能源。阻劑之曝露區域發生化學改變,如上所述。
在濕式顯影期間,將曝露之阻劑層置於濕式化學浴(例如,顯影劑)中以選擇性地移除阻劑材料。對於正型阻劑而言,藉由顯影劑移除曝露於光之材料;而對於負型阻劑而言,則藉由顯影劑移除未曝露於光之材料。此製程將遮罩圖案移送至阻劑層內。
在顯影之後,採用阻劑層作為蝕刻遮罩以將在阻劑層中形成之圖案移送至基板內(直接或經由使用一或更多個硬遮罩)。
如上所述之習用微影術製程可能遭遇數個缺點。例如,阻劑之濕式顯影可能產生一圖案,該圖案具有歸因於遮罩邊緣處之酸梯度的阻劑線邊緣粗糙程度(line-edge-roughness;LER)。此情況可導致在預測濕式顯影之後所產生之線邊緣時之不確定性。此外,隨著裝置維度收縮至不足22奈米,歸因於較小特徵尺寸之毛細管力可導致圖案在濕式顯影及清洗製程期間皺縮。濕式顯影阻劑材料亦可具
有不良之抗蝕刻性,使得在裝置製造期間可能採用多個硬遮罩。此情況在諸如矽通孔(through-silicon via;TSV)蝕刻步驟之較深溝槽形成期間,或在採用較薄之超紫外線阻劑時尤為如此。
本發明之實施例提供藉由使用乾式顯影製程來對硬化阻劑層執行微影術之方法。例如,乾式顯影製程可為乾式蝕刻製程,例如反應性離子蝕刻製程。在一些實施例中,阻劑層經選擇性硬化,及遮罩圖案藉由採用電漿蝕刻製程而經移送至阻劑層。
使用該種乾式顯影製程可克服上文提及之缺點中之眾多缺點。例如,採用乾式顯影製程以取代濕式顯影製程可改良LER及減少圖案皺縮。在其他實施例中,可在硬化阻劑層之形成期間採用濕式顯影製程。
使用硬化阻劑層可藉由減少對一些硬遮罩層之使用,及在一些實施例中,藉由容許在單個蝕刻腔室中同時蝕刻硬化阻劑層及下層來減少所採用之處理步驟數目。該種硬化阻劑層亦可具有充足之抗蝕刻性以在無需額外之硬遮罩層之情況下賦能較深溝槽之蝕刻。該等及其他實施例在下文中參考第1圖到第5圖進行描述。
第1圖圖示依據本發明之實施例提供的將圖案移送至基板內的第一方法100之流程圖。方法100藉由參考第2A圖到第2F圖進行解釋,該等圖式圖示使用方法100處理之基板的橫剖面示意圖。
請參看第1圖及第2A圖,提供基板200(第2A圖),
該基板包括待蝕刻之材料層202。基板200可為任何適合之基板,例如矽或其他半導體晶圓、玻璃板材,或類似物。材料層202可為基板200之一部分,或為諸如傳導層、絕緣層、另一半導體層等之單獨層,及材料層202可具有任何適合之厚度。本文中所述之基板200、材料層202及其他層並非按比例繪製。
在方塊101(第1圖)中,阻劑層204在基板200上形成,如第2B圖中所圖示。阻劑層204係一材料層,該材料層在曝露於諸如紫外(ultra-violet;UV)光、超紫外(extreme-ultra-violet;EUV)光或電子束之能源時經歷化學及/或物理性質變更。例如,當阻劑層204之區域曝露於上述能源中之一者時,曝露區域內之化學鍵可形成或斷裂,薄膜密度可變更,及/或發生類似情況。作為非限定性實例,阻劑層204可為聚甲基丙烯酸甲酯(poly(methylmethacrylate);PMMA)阻劑,該阻劑包括C=O、C=N,或可藉由曝露於子紫外線、超紫外線或電子束能量而斷裂之其他基團。可採用諸如聚苯乙烯-b-聚甲基丙烯酸甲酯(polystyrene-b-poly(methylmethacrylate);PS-b-PMMA)、聚羥基苯乙烯丙烯酸聚合物等之其他阻劑,及/或可藉由適合之曝露製程修正諸如極性、密度、鍵結結構等之其他性質。
在一些實施例中,阻劑層204可為基於PMMA之阻劑層,該層之厚度為約150埃至約30000埃。可採用更薄或更厚之阻劑層。由於所採用之硬化製程(如下文中所述),可採用相對較薄之阻劑層,及該層仍提供充足之抗蝕刻性。
在一些實施例中,可採用塗覆阻劑後烘焙。例如,阻劑中可存在溶劑以促進阻劑層在整個基板200上之均勻撒佈。由此,在阻劑塗覆之後烘焙基板200可在曝露之前去除溶劑及「固化」阻劑。作為實例,基板200可在周圍空氣環境中在約100℃之溫度下經烘焙達約1分鐘之久。可採用任何適合之塗覆後/曝露前之烘焙溫度及/或環境。
在方塊102中,阻劑層204曝露於諸如紫外光、超紫外光或電子束之能源。請參看第2C圖,可將遮罩206置於阻劑層204之鄰近處。例如,可將遮罩206置於與阻劑層204接觸或與阻劑層204距離較短之處。遮罩206包括透明及不透明區域,該等區域選擇性地容許光能(或另一能量類型)穿過遮罩206及曝露阻劑層204之一或更多個區域(如阻劑層204中之陰影區域208a-208d所指示)。在一示例性實施例中,阻劑層204可曝露於波長為約13.5奈米(超紫外線)或約193或248奈米(紫外線)、劑量之數量級為約1-30mJ/cm2之光。亦可採用其他波長及/或劑量。
如所述,阻劑層204之曝露區域經歷化學及/或物理變更。例如,當採用PMMA阻劑以用於阻劑層204時,阻劑層204之曝露區域在曝露之後可具有較小之C=O、C=N,或其他基團之密度。如下文中將描述,在一些實施例中,阻劑層204之後續處理可增大阻劑層204之非曝露區域210a-210e(第2D圖)相對於阻劑層204之曝露區域208a-208d之抗蝕刻性。在其他實施例中,阻劑層204之後續處理可增大阻劑層204之曝露區域208a-208d相對於阻劑層204之非曝露區域
210a-210e之抗蝕刻性。
在方塊103中,在曝露之阻劑層204上執行硬化製程。在一些實施例中,將基板200載入原子層沉積(atomic layer deposition;ALD)腔室,及將該基板曝露於一或更多種反應性物質以選擇性地硬化阻劑層204。例如,可在ALD腔室內將阻劑層204曝露於金屬前驅物以增大阻劑層204之非曝露區域210a-210e相對於阻劑層204之曝露區域208a-208d之抗蝕刻性(或反之亦然)。示例性金屬前驅物包括鋁源、鈦源、鋅源、鎢源、矽源、鉿源、鋯源及/或其他金屬源及/或類似材料源。
在一示例性實施例中,可藉由使用順序或同時之沉積/蝕刻ALD製程在阻劑層204上沉積三甲基鋁(trimethylaluminum;TMA)及水。例如,在順序製程中,可採用TMA以將阻劑層204曝露於鋁,及將鋁併入阻劑層204。然後,可採用水或另一氧源以對鋁進行氧化及在阻劑層204內形成氧化鋁(Al2O3)。在一些實施例中,可在約1托至約50托之壓力及約60℃至約150℃之基板溫度下供應TMA達少於約1秒至約600秒之久。可在約1托至約50托之壓力及約60℃至約150℃之基板溫度下供應水達少於約1秒至約600秒之久。亦可採用其他金屬前驅物及/或製程條件。
在一些實施例中,使用諸如TMA之金屬源及水以用於硬化阻劑層204可能很耗時,因為採用多個TMA及水之淨化週期以充分及/或適當地硬化阻劑層204。在該種實施例中,在曝露-淨化-曝露-淨化之週期期間之吸附、擴散及解吸
附反應機制可能十分複雜。為提高產量,可採用基於爐之製程或其他分批製程。
在其他實施例中,可採用照射以協助阻劑硬化製程。例如,在金屬源(例如,TMA)曝露期間,可引入額外照射以(例如經由吸附及/或光化學反應)促進更多鍵結反應。阻劑聚合物鏈之鍵結能可在照射之後變更及產生新的TMA鍵結/成核位置。此外,照射亦可產生新的C=O鍵或其他鍵,或在一些實施例中減少C=O鍵或其他鍵之數目。
在阻劑硬化製程期間之照射可充當前驅物與阻劑之間反應的催化劑,或以其他方式促進該反應。在一些實施例中,此特性可容許在諸如水之第二反應物用量未減少或已減少之情況下的阻劑硬化,及/或可在前驅物浸漬事件之間進行泵吸之期間減少向外擴散情況。此外,藉由採用照射以催化前驅物與阻劑之間的反應,前驅物穿過阻劑表面及整體之充分擴散可在前驅物與阻劑之反應之前發生;否則,前驅物與阻劑之間的表面反應性可對於阻劑之整體區域形成擴散阻障層。
以此方式,在阻劑硬化期間之照射可在阻劑設計期間提供額外變數,及/或可減少及/或消除對多個週期之前驅物曝露(例如TMA及H2O曝露)之使用。在一些實施例中,氧化物之形成可在阻劑內進行,進行時具有減少之水曝露,及在一些實施例中不進行水曝露,因為阻劑硬化期間之照射可為氧化物之形成提供充足的鍵結反應。該種製程之特性可更類似於化學氣相沉積製程,而非原子層沉積製程。
可經採用以促進阻劑硬化之波長將視多個因素而定,例如所採用之阻劑之羰基結構或其他化學鍵結構(例如,碳氮鍵結構)之鍵結能及反應性。例如,對於眾多阻劑化學結構而言,紫外光可為適合的。強吸收帶可包括約180至190奈米、約210至230奈米、約275至295奈米,及/或約310至380奈米之波長範圍。對應於該等波長之照射源包括準分子雷射(例如,ArF、KrF)、汞燈、氮化鋁發光二極體(light emitting diode;LED)(例如,約210奈米)、氮化鎵LED(例如,約240至340奈米)、AlGaN LED、光纖雷射,及/或諸如藍色二極體或鈦藍寶石雷射之波長較長的雷射之第二諧波、第三諧波或第四諧波。亦可採用其他波長及/或照射源,及/或多個波長之組合。
在一些實施例中,光源可定位在ALD腔室內,例如位於基板支撐件上方,以在阻劑硬化期間向阻劑層提供照射。在其他實施例中,ALD腔室可例如在ALD腔室之蓋中配備有視窗或透明埠,在阻劑硬化期間,光可透過該視窗或透明埠傳輸至基板/阻劑層之上。可採用其他光源位置,如可採用諸如適合波長LED陣列、掃描阻劑層之可移動光源陣列及/或類似物之光源陣列。
可在硬化製程之全程或部分期間向基板施加光。例如,可在將金屬前驅物引入至阻劑層時及/或在將水或另一氧化性前驅物引入至阻劑層時向阻劑層施加光。可採用任何適合之照明歷時(例如,在前驅物流之初始部分期間、在前驅物流之預定歷時之後、在整個前驅物流期間,等)。
在一些實施例中,可在阻劑硬化製程期間引入振動能及/或熱能以誘發阻劑內之前驅物(例如,TMA及水)及/或聚合物之間的反應。例如,超音波振動及熱照射皆可產生能量以促進反應。
在一些實施例中,可在阻劑硬化期間將基板支撐在壓電轉換器或其他適合之轉換器上。可採用音波、超音波、超聲波,或其他頻率電源以振動轉換器及基板。示例性振動頻率範圍為自約1千赫至約20+兆赫,但亦可採用其他頻率。替代地或另外,在一些實施例中,可向一或更多個前驅物施加振動能。如若需要,則振動能可為恆定不變或經脈衝開啟及關閉。可採用任何適合之振動歷時(例如,在前驅物流之初始部分期間、在前驅物流之預定歷時之後、在整個前驅物流期間,等)。
在一些實施例中,可採用振動能以促進一或更多個前驅物穿過阻劑聚合物基質之擴散,以便擴散之前驅物可進出更多聚合物反應位置。例如,超音波振動可產生原子振動狀態,該等振動狀態為諸如TMA之前驅物開啟擴散路徑,以便前驅物遷移至羰基及與羰基反應,在其他情況下該等羰基不可達。此舉可增大硬化反應之體積分數,及在單個前驅物週期產生更密集之轉化。
由於對阻劑層204之曝露區域208a-208d所造成之化學及/或物理變更,因此,在一些實施例中,曝露區域208a-208d比非曝露區域210a-210e併入更少之金屬氧化物。由此,在ALD處理之後,在阻劑層204之非曝露區域210a-210e
中形成更多金屬氧化物,從而使該等區域之抗蝕刻性更高。(在其他實施例中,在ALD處理之後,在阻劑層204之曝露區域208a-208d中形成更多金屬氧化物,從而使該等區域之抗蝕刻性更高。)
在一些實施例中,在阻劑硬化之後,可執行阻劑硬化後烘焙或退火。例如,可在周圍空氣中將基板200加熱至約50℃至110℃之間的溫度達約20秒至約2分鐘。可採用其他烘焙時間、溫度及/或環境。
在方塊104中,執行乾式顯影製程以圖案化阻劑層204。例如,阻劑層204可在反應性離子蝕刻腔室或其他蝕刻腔室中經受乾式蝕刻製程,以選擇性地移除阻劑層204之曝露區域208a-208d。如本文所述,在一些實施例中,阻劑層204之曝露區域208a-208d在ALD硬化製程(方塊103)期間可併入更少金屬氧化物,及更少地經蝕刻「硬化」。阻劑層204之曝露區域208a-208d之蝕刻以高於非曝露區域210a-210e之速率發生,從而容許曝露區域208a-208d被移除,如第2E圖中所圖示。(在其他實施例中,非曝露區域可更快地經蝕刻及被移除(未圖示))。
在一些實施例中,阻劑層204可在Centura Advantage臺面蝕刻腔室中經蝕刻,該腔室可自美國加利福尼亞州聖克拉拉市的應用材料公司處購得。示例性蝕刻物質包括HBr、Cl2、O2、SF6、CH4、CF4、N2,或類似物。在一示例性實施例中,阻劑層204可在50sccm之O2中經蝕刻達約30秒之久。在一些實施例中,Al2O3或類似氧化物層可在阻劑
層204頂部形成,及可在阻劑蝕刻之前藉由使用氧化物穿透蝕刻步驟(例如使用Cl2/BCl3蝕刻步驟)而經蝕刻。阻劑蝕刻之示例性蝕刻參數為約50至1000瓦特之射頻功率,及約室溫至約100℃之基板溫度。可採用其他蝕刻物質及/或製程參數。如若需要,則可採用蝕刻後清洗步驟。
在一些實施例中,在阻劑層204之乾式顯影之後,在方塊105中,基板200(例如,在基板200上形成之材料層202)可藉由將經圖案化之阻劑層204用作蝕刻遮罩而經蝕刻。由於阻劑層204已經硬化,因此在一些實施例中,可在不使用額外硬遮罩之情況下蝕刻材料層202。可經由任何適合之製程來蝕刻材料層202,例如濕式或乾式蝕刻製程。在一些實施例中,阻劑層204及材料層202可在同一蝕刻腔室中經蝕刻,及在一些情況下使用同一蝕刻製程經蝕刻。在其他實施例中,可採用單獨蝕刻製程及/或蝕刻腔室以蝕刻材料層202。在其他實施例中,可採用阻劑層204以圖案化一或更多個硬遮罩層,該等硬遮罩層隨後用以蝕刻/圖案化基板200。
藉由將經圖案化之阻劑層204用作蝕刻遮罩來蝕刻材料層202,材料層202可如第2F圖(該圖圖示阻劑層204已經移除)中所示經圖案化。
在材料層202之蝕刻之後,可自基板200移除阻劑層204。在一些實施例中,可藉由使用濕式剝除來移除阻劑層204。例如,如若阻劑層204為PMMA阻劑,則可藉由將PMMA阻劑濕式顯影劑用作蝕刻劑(例如,處於大氣條件下之氫氧化四甲基銨(tetra-methylammonium-hydroxide;TMAH))來移
除阻劑層204。亦可藉由使用濕式鋁蝕刻劑移除阻劑層204,例如H3PO4、CH3COOH及HNO3之水性混合物。在其他實施例中,可藉由使用乾式蝕刻製程來移除阻劑層204,例如採用BCl3及Cl2之Al2O3蝕刻。可採用其他阻劑移除製程。
對阻劑層204使用諸如乾式蝕刻製程之乾式顯影製程可克服濕式顯影製程之眾多缺點。例如,採用乾式顯影製程以取代濕式顯影製程可改良LER及減少在濕式顯影期間可能發生之圖案皺縮。此外,使用硬化阻劑層可藉由減少對一些硬遮罩層之需求,及在一些實施例中,藉由容許在單個蝕刻腔室中同時執行乾式顯影及基板蝕刻來減少所採用之處理步驟的數目。該種乾式顯影硬化阻劑層可具有充足之抗蝕刻性以在無需額外之硬遮罩層之情況下賦能較深溝槽之蝕刻。
第3圖圖示依據本發明之實施例提供的將圖案移送至基板內的第二方法300之流程圖。第3圖之方法300類似於第1圖之方法100,但方法300採用濕式顯影製程以用於阻劑層。
請參看第3圖,在方塊301中,阻劑層形成於基板上,及在方塊302中,阻劑層如前文中參考方法100中方塊101及102所描述而經曝露。在方塊303中,將基板置於濕式顯影劑中以在採用正型阻劑之情況下移除阻劑層之曝露區域,或在採用負型阻劑之情況下移除阻劑層之非曝露區域。所採用之濕式顯影劑及濕式顯影製程可基於所採用之阻劑而經選擇(例如,使用阻劑製造商推薦之顯影劑。)
在阻劑顯影之後,阻劑層將經圖案化。在方塊304
中,經圖案化之阻劑層經受阻劑硬化製程,該製程可類似於前文中參考第1圖之方塊103所述之阻劑硬化製程中之任一者。例如,可將基板載入ALD腔室,及在使用或不使用如前所述之紫外線輻射及/或機械振動之情況下將基板曝露於金屬前驅物及/或氧化劑達一或更多個週期。在阻劑硬化之後,在方塊305中,可藉由將經圖案化之硬化阻劑層用作蝕刻遮罩來蝕刻基板。
第4A圖係根據第1圖之方法100經配置以處理基板之第一處理系統400a之示意圖。請參看第4A圖,處理系統400a包括微影術軌跡(litho-track)子系統402及群集工具子系統404。微影術軌跡子系統402包括經由移送機構(例如,軌跡412)耦接至曝露工具408及ALD腔室410之阻劑塗覆工具406。群集工具子系統404包括一或更多個蝕刻腔室414a、414b(例如,諸如反應性離子蝕刻腔室之電漿蝕刻腔室)。
在操作中,可在阻劑塗覆工具406中為基板塗覆阻劑層(例如藉由旋塗),可在曝露工具408中曝露阻劑層(例如藉由使用紫外線、超紫外線,或電子束曝露),及可在ALD腔室410中使所曝露之阻劑層硬化(例如,在使用或不使用紫外線輻射及/或機械振動之情況下曝露於諸如金屬前驅物及/或氧化劑之反應性物質達一或更多個週期),如先前參考方法100中之方塊103所述。
然後,將基板移送至群集工具子系統404及載入蝕刻腔室414a。然後,可執行蝕刻製程以乾式蝕刻/顯影阻劑
層。特定而言,移除阻劑層之非硬化部分以圖案化阻劑層(方法100中之方塊104)。然後,可在同一或不同蝕刻腔室中藉由將經圖案化之阻劑層用作蝕刻遮罩來蝕刻基板(方法100中之方塊105)。
第4B圖係根據第3圖中方法300經配置以處理基板之第二處理系統400b之示意圖。請參看第4B圖,處理系統400b包括微影術軌跡(litho-track)子系統402及群集工具子系統404。微影術軌跡子系統402包括耦接至曝露工具408之阻劑塗覆工具406。然而,在第4B圖之實施例中,將ALD腔室410自微影術軌跡子系統402中移除及替換為濕式顯影工具416(例如,濕式工作臺或其他適合之系統)。群集工具子系統404包括ALD腔室410及一或更多個蝕刻腔室414a、414b。
在操作中,可在阻劑塗覆工具406中為基板塗覆阻劑層(例如藉由旋塗),可在曝露工具408中曝露阻劑層(例如使用紫外線、超紫外線,或電子束曝露),及可在濕式顯影工具416中對所曝露之阻劑層進行濕式顯影以圖案化阻劑層。然後,將基板移送至群集工具子系統404及載入ALD腔室410,及使經圖案化之阻劑層硬化(例如,在使用或不使用紫外線輻射及/或機械振動之情況下曝露於金屬前驅物及/或氧化劑達一或更多個週期),如先前參考方法300中之方塊304所述。
然後,將基板移送至蝕刻腔室414a。然後,可藉由將硬化阻劑層用作蝕刻遮罩來執行蝕刻製程以蝕刻及圖案化基板(方法300之方塊305)。
在一些實施例中,可提供控制器420以用於控制或導向根據本文所述之方法(例如第1圖之方法100、第3圖之方法300等)之至少一部分之第4A圖之處理系統400a及/或第4B圖之處理系統400b。例如,控制器420可為具有電腦程式碼之經恰當程式化之微處理器或微控制器、硬體電路系統、上述各者之組合等,該控制器經程式化及/或經配置以控制及/或啟動本文所述方法之一或更多個部分,例如第1圖之方法100或第3圖之方法300。
第5圖係可用作第4B圖之群集工具子系統404之示例性處理系統500之頂部示意圖。請參看第5圖,處理系統500經配置以依據本發明之實施例處理基板。處理系統500包括移送室502,該移送室具有複數個經配置以耦接至處理腔室、負載鎖定腔室及類似腔室之側面或「小面」。在第5圖之實施例中,移送室502具有六個側面及為六方形。可採用其他形狀及/或側面數目(例如,4個、5個、8個,等)。
在第5圖之實施例中,兩個負載鎖定腔室504a、504b及四個處理腔室506、508、510及512耦接至移送室502。可採用更少之負載鎖定腔室及/或處理腔室。
移送室502包括基板搬運器514,該基板搬運器經配置以在負載鎖定腔室504a、504b與處理腔室506至512之間移送基板。基板搬運器514可為例如單葉片或雙葉片機械臂,或任何其他適合之基板搬運器。
可提供控制器516以用於控制處理系統500之操作。控制器516可為經恰當程式化之微處理器或微控制器、
硬體電路系統、上述各者之組合等。控制器516可經程式化以控制由基板搬運器514進行之操作及/或基板移送、在處理腔室506至512內之處理,等。在一些實施例中,控制器516可包括電腦程式碼,該控制器經程式化及/或經配置以控制及/或啟動本文所述之方法之一或更多個部分,例如第1圖之方法100或第3圖之方法300。
在一示例性實施例中,處理腔室中之一者,例如處理腔室508,可為如本文所述經配置以執行阻劑硬化製程之ALD腔室,及處理腔室510可為如本文所述經配置以蝕刻硬化阻劑層及/或藉由使用經圖案化之硬化阻劑層來蝕刻基板之蝕刻腔室。在一或更多個實施例中,可在處理腔室510內藉由使用同一或不同蝕刻製程及/或蝕刻步驟執行阻劑蝕刻及基板蝕刻。在其他實施例中,諸如處理腔室512之另一處理腔室可為經配置以用於基板蝕刻之蝕刻腔室。
在阻劑硬化期間,ALD腔室508可向定位在ALD腔室508內之基板提供金屬前驅物及氧化性前驅物,例如TMA及水。在一些實施例中,ALD腔室508可包括照射源518,該照射源經配置以在阻劑硬化期間在ALD腔室508內將光能(例如,紫外(ultra-violet;UV)光)傳送至基板;及/或ALD腔室508可包括振動能源/轉換器520,該振動能源/轉換器經配置以在阻劑硬化期間將振動能傳送至基板,如前文所述。例如,紫外光源可耦接至ALD腔室508之蓋或側壁及穿過視窗或視埠提供紫外光,及/或轉換器可耦接至基板支撐件及在ALD腔室508內進行處理期間向基板支撐件及其上
所支撐之任何基板提供振動能。在一些實施例中,第4A圖及/或第4B圖之ALD腔室410可經相似配置。
儘管已描述本發明之示例性實施例,在該等實施例中,TMA及水在阻劑硬化期間被用作前驅物,但將理解,亦可採用其他金屬前驅物及/或氧化性前驅物。
在一些實施例中,阻劑層204可由多個聚合物材料或「晶疇」形成。例如,阻劑層204(潛像)可藉由自集(例如,直接自集(direct self-assembly;DSA))製程而形成,在該製程中,兩個或更多個聚合物材料用以形成阻劑層。在示例性實施例中,PMMA及聚苯乙烯(polystyrene;PS)可用以形成具有兩個晶疇之阻劑層,亦即PMMA晶疇及PS晶疇。上述硬化製程可用以相對於一晶疇硬化另一晶疇。在一些實施例中,可在ALD腔室中使晶疇硬化(例如,在使用或不使用紫外線輻射及/或機械振動之情況下曝露於金屬前驅物及/或氧化劑達一或更多個週期),如先前參考方法100中之方塊103所述。然後,可採用乾式蝕刻製程以選擇性地移除非硬化晶疇(如上文參考方法100所述)。
在一些實施例中,提供在基板上形成蝕刻遮罩之方法,該方法包括:(1)在基板上形成阻劑層;(2)將阻劑層之一或更多個區域曝露於能源,以便改變所曝露區域之物理性質及化學性質中至少一者;(3)在阻劑層上執行硬化製程以增大阻劑層之第一區域相對於阻劑層之第二區域之抗蝕刻性,該硬化製程包括在原子層沉積(atomic layer deposition;ALD)腔室內將阻劑層曝露於一或更多種反應性物質;及(4)對阻劑層
進行乾式蝕刻以移除一或更多個第二區域,及在阻劑層中形成圖案。
在一些實施例中,提供圖案化基板之方法,該方法包括:(1)在基板上形成阻劑層;(2)將阻劑層之一或更多個區域曝露於能源,以便改變所曝露區域之物理性質及化學性質中至少一者;(3)使阻劑層顯影以圖案化阻劑層;(4)在經圖案化之阻劑層上執行硬化製程以增大經圖案化之阻劑層之抗蝕刻性,該硬化製程包括在原子層沉積(atomic layer deposition;ALD)腔室內將經圖案化之阻劑層曝露於一或更多種反應性物質;及(5)在硬化製程之至少一部分期間,進行利用紫外光照射基板及振動基板中之至少一步驟。
在一些實施例中,提供經配置以處理基板之處理系統,該處理系統包括:(1)移送室,該移送室具有:(a)複數個側面,每一側面經配置以耦接至至少一處理腔室;及(b)基板搬運器,該基板搬運器經配置以在耦接至移送室之處理腔室之間運送基板;(2)耦接至移送室之原子層沉積(atomic layer deposition;ALD)腔室;(3)耦接至移送室之蝕刻腔室;及(4)控制器,該控制器耦接至移送室及經配置以控制處理系統之操作以:(a)將基板移送至ALD腔室內,該基板上形成有經圖案化之阻劑層;(b)在ALD腔室中在經圖案化之阻劑層上執行硬化製程,以增大經圖案化之阻劑層之抗蝕刻性,該硬化製程包括在ALD腔室內將經圖案化之阻劑層曝露於一或更多種反應性物質,及當在ALD腔室內將經圖案化之阻劑層曝露於一或更多種反應性物質之至少一部分期間,進行利用紫外光
照射基板及振動基板中至少一步驟;(c)將基板移送至蝕刻腔室;及(d)在蝕刻腔室內蝕刻基板以圖案化基板。
在一些實施例中,提供經配置以處理基板之處理系統,該處理系統包括:(1)原子層沉積(atomic layer deposition;ALD)腔室,該腔室經配置以在基板上執行硬化製程,該基板上形成有阻劑層,該阻劑層之一或更多個曝露區域藉由曝露於紫外光、超紫外光及電子束中至少一者而發生物理改變及化學改變中之至少一者,該硬化製程增大阻劑層之第一區域相對於阻劑層之第二區域的抗蝕刻性;(2)蝕刻腔室,該蝕刻腔室經配置以收納來自ALD腔室之基板,及蝕刻阻劑層以移除一或更多個第二區域及在阻劑層中形成圖案;及(3)控制器,該控制器經配置以控制處理系統之操作以:(a)將基板移送至ALD腔室內;(b)藉由在ALD腔室內將阻劑層曝露於一或更多種反應性物質而在ALD腔室中在阻劑層上執行硬化製程;(c)將基板移送至蝕刻腔室;及(d)在蝕刻腔室內蝕刻阻劑層以移除阻劑層之一或更多個第二區域。
由此,儘管已結合本發明之示例性實施例揭示本發明,但應理解,其他實施例可符合本發明之精神及範疇,如以下申請專利範圍所定義。
100‧‧‧第一方法
101‧‧‧步驟
102‧‧‧步驟
103‧‧‧步驟
104‧‧‧步驟
105‧‧‧步驟
Claims (25)
- 一種在一基板上形成一蝕刻遮罩之方法,該方法包括以下步驟:在一基板上形成一阻劑層;將該阻劑層之一或更多個區域曝露於一能源,以便改變該等所曝露區域之一物理性質及一化學性質中至少一者;在該阻劑層上執行一硬化製程以增大該阻劑層之第一區域相對於該阻劑層之第二區域之一抗蝕刻性,該硬化製程包括在一原子層沉積(atomic layer deposition;ALD)腔室內將該阻劑層曝露於一或更多種反應性物質;及乾式蝕刻該阻劑層以移除該一或更多個第二區域及在該阻劑層中形成一圖案。
- 如請求項1所述之方法,其中,該等第一區域係該阻劑層之曝露區域,及該等第二區域係該阻劑層之非曝露區域。
- 如請求項1所述之方法,其中,該等第一區域係該阻劑層之非曝露區域,及該等第二區域係該阻劑層之曝露區域。
- 如請求項1所述之方法,其中,形成該阻劑層之步驟包括以下步驟:向該基板塗覆具有鍵結之一光阻劑層,該等鍵結在曝露於紫外光、超紫外光及一電子束中至少一者之情況下斷裂。
- 如請求項1所述之方法,其中,曝露該阻劑層之一或更多個區域之步驟包括以下步驟:將一遮罩置於該阻劑層之鄰近處;及經由該遮罩將該阻劑層曝露於一能源。
- 如請求項1所述之方法,其中,執行該硬化製程之步驟包括以下步驟:將該基板載入一ALD腔室;及在該ALD腔室內將該基板曝露於一金屬前驅物,以增大該阻劑層之第一區域相對於該阻劑層之第二區域之該抗蝕刻性。
- 如請求項6所述之方法,其中,該阻劑層之該等第一區域之該抗蝕刻性在該阻劑層之一表面及一整體區域處增大。
- 如請求項6所述之方法,其中,將該基板曝露於該金屬前驅物之步驟包括以下步驟:在該阻劑層之該等第一區域內形成金屬氧化物。
- 如請求項1所述之方法,其中,執行該硬化製程之步驟包括以下步驟:在該硬化製程之至少一部分期間利用紫外光照射該基板。
- 如請求項1所述之方法,其中,執行該硬化製程之步驟包括以下步驟:在該硬化製程之至少一部分期間振動該基板。
- 如請求項1所述之方法,其中,乾式蝕刻該阻劑層之步驟包括以下步驟:在該阻劑層上執行一反應性離子蝕刻。
- 如請求項1所述之方法,該方法進一步包括以下步驟:藉由將經圖案化之該阻劑層用作一蝕刻遮罩來蝕刻該基板。
- 如請求項12所述之方法,其中,乾式蝕刻該阻劑層及蝕刻該基板係在同一蝕刻腔室中執行。
- 一種圖案化一基板之方法,該方法包括以下步驟:在一基板上形成一阻劑層;將該阻劑層之一或更多個區域曝露於一能源,以便改變該等所曝露區域之一物理性質及一化學性質中至少一者;使該阻劑層顯影以圖案化該阻劑層;在經圖案化之該阻劑層上執行一硬化製程以增大經圖案化之該阻劑層之一抗蝕刻性,該硬化製程包括在一原子層沉積(atomic layer deposition;ALD)腔室內將經圖案化之該阻劑層曝露於一或更多種反應性物質;及在該硬化製程之至少一部分期間,進行利用紫外光照射該基板及振動該基板中之至少一步驟。
- 如請求項14所述之方法,其中,該阻劑層之曝露區域在顯影期間被移除。
- 如請求項14所述之方法,其中,該阻劑層之非曝露區域在顯影期間被移除。
- 如請求項14所述之方法,其中,顯影該阻劑層之步驟包括以下步驟:將該阻劑層曝露於一濕式顯影劑。
- 如請求項14所述之方法,其中,形成該阻劑層之步驟包括以下步驟:向該基板塗覆具有鍵結之一光阻劑層,該等鍵結在曝露於紫外光、超紫外光,及一電子束中至少一者之情況下斷裂。
- 如請求項14所述之方法,其中,曝露該阻劑層之一或更多個區域之步驟包括以下步驟:將一遮罩置於該阻劑層之鄰近處;及經由該遮罩將該阻劑層曝露於一能源。
- 如請求項14所述之方法,其中,執行該硬化製程之步驟包括以下步驟:將該基板載入一ALD腔室;及在該ALD腔室內將該基板曝露於一金屬前驅物,以增大該阻劑層之該抗蝕刻性。
- 一種處理系統,該系統經配置以處理一基板,該處理系統包括:一移送室,該移送室具有:複數個側面,每一側面經配置以耦接至至少一處理腔室;及一基板搬運器,該基板搬運器經配置以在耦接至該移送室之處理腔室之間運送基板;一原子層沉積(atomic layer deposition;ALD)腔室,該沉積腔室耦接至該移送室;一蝕刻腔室,該蝕刻腔室耦接至該移送室;及一控制器,該控制器耦接至該移送室及經配置以控制該處理系統之操作以:將一基板移送至該ALD腔室內,該基板上形成有經圖案化之一阻劑層;在該ALD腔室中在經圖案化之該阻劑層上執行一硬化製程,以增大經圖案化之該阻劑層之一抗蝕刻性,該硬化製程包括在該ALD腔室內將經圖案化之該阻劑層曝露於一或更多種反應性物質,及當在該ALD腔室內將經圖案化之該阻劑層曝露於該一或更多種反應性物質之至少一部分期間,進行利用紫外光照射該基板及振動該基板中至少一步驟;將該基板移送至該蝕刻腔室;及在該蝕刻腔室內蝕刻該基板以圖案化該基板。
- 一種處理系統,該系統經配置以處理一基板,該處理系統包括:一原子層沉積(atomic layer deposition;ALD)腔室,該腔室經配置以在一基板上執行一硬化製程,該基板上形成有一阻劑層,該阻劑層之一或更多個曝露區域藉由曝露於紫外光、超紫外光及一電子束中至少一者而發生物理改變及化學改變中之至少一者,該硬化製程增大該阻劑層之第一區域相對於該阻劑層之第二區域之一抗蝕刻性;一蝕刻腔室,該蝕刻腔室經配置以收納來自該ALD腔室之該基板,及蝕刻該阻劑層以移除該一或更多個第二區域及在該阻劑層中形成一圖案;及一控制器,該控制器經配置以控制該處理系統之操作以:將該基板移送至該ALD腔室內;藉由在該ALD腔室內將該阻劑層曝露於一或更多種反應性物質而在該ALD腔室中在該阻劑層上執行該硬化製程;將該基板移送至該蝕刻腔室;及在該蝕刻腔室內蝕刻該阻劑層以移除該阻劑層之該一或更多個第二區域。
- 如請求項22所述之處理系統,其中,該處理系統經配置以藉由將該阻劑層用作一蝕刻遮罩來蝕刻該基板,從而將該阻劑層之該圖案移送至該基板。
- 如請求項23所述之處理系統,其中,該處理系統經配置以在與該阻劑層相同之蝕刻腔室中蝕刻該基板。
- 一種圖案化一基板之方法,該方法包括以下步驟:在一基板上形成一阻劑層,該阻劑層藉由使用一自集製程而由兩個或更多個聚合物材料形成;在該阻劑層上執行一硬化製程,以增大該阻劑層之第一聚合物區域相對於該阻劑層之第二聚合物區域之一抗蝕刻性,該硬化製程包括在一原子層沉積(atomic layer deposition;ALD)腔室內將該阻劑層曝露於一或更多種反應性物質;在該硬化製程之至少一部分期間進行利用紫外光照射該基板及振動該基板中之至少一步驟;及乾式蝕刻該阻劑層以移除該一或更多個第二聚合物區域及在該阻劑層中形成一圖案。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201361786056P | 2013-03-14 | 2013-03-14 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201445614A true TW201445614A (zh) | 2014-12-01 |
Family
ID=51522857
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW103109689A TW201445614A (zh) | 2013-03-14 | 2014-03-14 | 用於半導體元件製造上的阻劑硬化及顯影製程 |
Country Status (3)
Country | Link |
---|---|
US (2) | US9411237B2 (zh) |
TW (1) | TW201445614A (zh) |
WO (1) | WO2014159427A1 (zh) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI729434B (zh) * | 2018-10-25 | 2021-06-01 | 台灣積體電路製造股份有限公司 | 清洗基板的方法、製造光罩的方法和清洗光罩的方法 |
CN113050361A (zh) * | 2020-04-28 | 2021-06-29 | 台湾积体电路制造股份有限公司 | 制造半导体元件的方法 |
Families Citing this family (374)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
KR102119534B1 (ko) * | 2013-03-13 | 2020-06-05 | 삼성전자주식회사 | 수술 로봇 및 그 제어방법 |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US20150371861A1 (en) * | 2014-06-23 | 2015-12-24 | Applied Materials, Inc. | Protective silicon oxide patterning |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
KR102545448B1 (ko) * | 2015-02-21 | 2023-06-19 | 도쿄엘렉트론가부시키가이샤 | 오정렬 에러 보호를 포함하는 패터닝 방법 |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US9748113B2 (en) * | 2015-07-30 | 2017-08-29 | Veeco Intruments Inc. | Method and apparatus for controlled dopant incorporation and activation in a chemical vapor deposition system |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
JP6236481B2 (ja) * | 2016-02-17 | 2017-11-22 | 東京エレクトロン株式会社 | パターン形成方法 |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10020262B2 (en) * | 2016-06-30 | 2018-07-10 | Intel Corporation | High resolution solder resist material for silicon bridge application |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
KR102762543B1 (ko) | 2016-12-14 | 2025-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN108227412A (zh) * | 2016-12-15 | 2018-06-29 | Imec 非营利协会 | 光刻掩模层 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
JP2020502790A (ja) * | 2016-12-15 | 2020-01-23 | アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ | 半導体処理装置 |
US9916980B1 (en) * | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111316417B (zh) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | 与批式炉偕同使用的用于储存晶圆匣的储存装置 |
KR102633318B1 (ko) | 2017-11-27 | 2024-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 청정 소형 구역을 포함한 장치 |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI852426B (zh) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 沈積方法 |
WO2019142055A2 (en) | 2018-01-19 | 2019-07-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
JP7037397B2 (ja) | 2018-03-16 | 2022-03-16 | キオクシア株式会社 | 基板処理装置、基板処理方法、および半導体装置の製造方法 |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
KR102600229B1 (ko) | 2018-04-09 | 2023-11-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법 |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US20190368040A1 (en) * | 2018-06-01 | 2019-12-05 | Asm Ip Holding B.V. | Infiltration apparatus and methods of infiltrating an infiltrateable material |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TWI819010B (zh) | 2018-06-27 | 2023-10-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
JP2020046496A (ja) | 2018-09-18 | 2020-03-26 | キオクシア株式会社 | パターン形成方法および半導体装置の製造方法 |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102748291B1 (ko) | 2018-11-02 | 2024-12-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
WO2020102085A1 (en) | 2018-11-14 | 2020-05-22 | Lam Research Corporation | Methods for making hard masks useful in next-generation lithography |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
CN113227909A (zh) * | 2018-12-20 | 2021-08-06 | 朗姆研究公司 | 抗蚀剂的干式显影 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TWI866480B (zh) | 2019-01-17 | 2024-12-11 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR102727227B1 (ko) | 2019-01-22 | 2024-11-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP7603377B2 (ja) | 2019-02-20 | 2024-12-20 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR102782593B1 (ko) | 2019-03-08 | 2025-03-14 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR102762833B1 (ko) | 2019-03-08 | 2025-02-04 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP7598201B2 (ja) | 2019-05-16 | 2024-12-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP7612342B2 (ja) | 2019-05-16 | 2025-01-14 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR102761236B1 (ko) | 2019-06-10 | 2025-02-05 | 에스케이하이닉스 주식회사 | 패턴 형성 방법 |
KR20200141931A (ko) | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | 석영 에피택셜 챔버를 세정하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
TWI837391B (zh) | 2019-06-26 | 2024-04-01 | 美商蘭姆研究公司 | 利用鹵化物化學品的光阻顯影 |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210015655A (ko) | 2019-07-30 | 2021-02-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 방법 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
KR20210018761A (ko) | 2019-08-09 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 장치를 포함한 히터 어셈블리 및 이를 사용하는 방법 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR102733104B1 (ko) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
KR20210089077A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102431292B1 (ko) | 2020-01-15 | 2022-08-09 | 램 리써치 코포레이션 | 포토레지스트 부착 및 선량 감소를 위한 하부층 |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
CN113284789A (zh) | 2020-02-03 | 2021-08-20 | Asm Ip私人控股有限公司 | 形成包括钒或铟层的结构的方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
KR20210103956A (ko) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법 |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR102775390B1 (ko) | 2020-03-12 | 2025-02-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
US11429026B2 (en) | 2020-03-20 | 2022-08-30 | Applied Materials, Inc. | Lithography process window enhancement for photoresist patterning |
US12085858B2 (en) | 2020-03-20 | 2024-09-10 | Applied Materials, Inc. | Photoresist patterning process |
KR102755229B1 (ko) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202143328A (zh) | 2020-04-21 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於調整膜應力之方法 |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
TW202200505A (zh) | 2020-04-24 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於穩定釩化合物之方法及設備 |
TW202208671A (zh) | 2020-04-24 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括硼化釩及磷化釩層的結構之方法 |
JP2021181612A (ja) | 2020-04-29 | 2021-11-25 | エーエスエム・アイピー・ホールディング・ベー・フェー | 固体ソースプリカーサ容器 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR20210145079A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 플랜지 및 장치 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
US12002675B2 (en) * | 2020-06-18 | 2024-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Photoresist layer outgassing prevention |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
KR20220002123A (ko) | 2020-06-30 | 2022-01-06 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US11621172B2 (en) | 2020-07-01 | 2023-04-04 | Applied Materials, Inc. | Vapor phase thermal etch solutions for metal oxo photoresists |
KR102601038B1 (ko) | 2020-07-07 | 2023-11-09 | 램 리써치 코포레이션 | 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI864307B (zh) | 2020-07-17 | 2024-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構、方法與系統 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220011092A (ko) | 2020-07-20 | 2022-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템 |
KR20220021863A (ko) | 2020-08-14 | 2022-02-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202228863A (zh) | 2020-08-25 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 清潔基板的方法、選擇性沉積的方法、及反應器系統 |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
TW202217045A (zh) | 2020-09-10 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積間隙填充流體之方法及相關系統和裝置 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
KR20220036866A (ko) | 2020-09-16 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물 증착 방법 |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202218049A (zh) | 2020-09-25 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220050048A (ko) | 2020-10-15 | 2022-04-22 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202229620A (zh) | 2020-11-12 | 2022-08-01 | 特文特大學 | 沉積系統、用於控制反應條件之方法、沉積方法 |
CN115598943A (zh) * | 2020-11-13 | 2023-01-13 | 朗姆研究公司(Us) | 用于干法去除光致抗蚀剂的处理工具 |
TW202229795A (zh) | 2020-11-23 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 具注入器之基板處理設備 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US12255053B2 (en) | 2020-12-10 | 2025-03-18 | Asm Ip Holding B.V. | Methods and systems for depositing a layer |
TW202233884A (zh) | 2020-12-14 | 2022-09-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成臨限電壓控制用之結構的方法 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
USD1060598S1 (en) | 2021-12-03 | 2025-02-04 | Asm Ip Holding B.V. | Split showerhead cover |
KR20240121305A (ko) * | 2021-12-13 | 2024-08-08 | 램 리써치 코포레이션 | 하이브리드 유기주석 옥사이드 포토레지스트의 현상 |
US20240053684A1 (en) * | 2022-08-15 | 2024-02-15 | Tokyo Electron Limited | Cyclic Method for Reactive Development of Photoresists |
Family Cites Families (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5924846A (ja) | 1982-07-26 | 1984-02-08 | エスヴィージー・リトグラフィー・システムズ・インコーポレイテッド | ホトレジストの乾式現像法 |
US5108875A (en) | 1988-07-29 | 1992-04-28 | Shipley Company Inc. | Photoresist pattern fabrication employing chemically amplified metalized material |
US4921778A (en) | 1988-07-29 | 1990-05-01 | Shipley Company Inc. | Photoresist pattern fabrication employing chemically amplified metalized material |
US5275920A (en) | 1989-04-24 | 1994-01-04 | Siemens Aktiengesellschaft | Method of dry development utilizing quinone diazide and basic polymer resist with latent image intensification through treatment with silicon-organic compound in water |
JPH07191465A (ja) | 1993-12-27 | 1995-07-28 | Japan Synthetic Rubber Co Ltd | ドライ現像用ポジ型レジスト組成物 |
JP2647011B2 (ja) | 1994-08-16 | 1997-08-27 | 日本電気株式会社 | レジストパターンの形成方法 |
EP1054296A3 (en) * | 1999-04-30 | 2002-03-06 | Fuji Photo Film Co., Ltd. | Fine pattern forming method |
US6436605B1 (en) | 1999-07-12 | 2002-08-20 | International Business Machines Corporation | Plasma resistant composition and use thereof |
KR100480611B1 (ko) * | 2002-08-14 | 2005-03-31 | 삼성전자주식회사 | 기상 실릴레이션을 이용한 반도체 소자의 미세 패턴 형성방법 |
US8048325B2 (en) | 2003-03-31 | 2011-11-01 | Tokyo Electron Limited | Method and apparatus for multilayer photoresist dry development |
KR20070051008A (ko) * | 2005-11-14 | 2007-05-17 | 삼성전자주식회사 | 포토레지스트 패턴 형성 방법 |
KR101485754B1 (ko) * | 2008-09-26 | 2015-01-26 | 주식회사 에스앤에스텍 | 극자외선용 블랭크 마스크 및 이를 이용하여 제조되는 포토마스크 |
US20100081285A1 (en) | 2008-09-30 | 2010-04-01 | Tokyo Electron Limited | Apparatus and Method for Improving Photoresist Properties |
US8912097B2 (en) * | 2009-08-20 | 2014-12-16 | Varian Semiconductor Equipment Associates, Inc. | Method and system for patterning a substrate |
EP2363749B1 (en) * | 2010-03-05 | 2015-08-19 | Rohm and Haas Electronic Materials, L.L.C. | Methods of forming photolithographic patterns |
-
2014
- 2014-03-11 US US14/205,324 patent/US9411237B2/en active Active
- 2014-03-11 WO PCT/US2014/023612 patent/WO2014159427A1/en active Application Filing
- 2014-03-14 TW TW103109689A patent/TW201445614A/zh unknown
-
2016
- 2016-07-21 US US15/216,521 patent/US20160329222A1/en not_active Abandoned
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI729434B (zh) * | 2018-10-25 | 2021-06-01 | 台灣積體電路製造股份有限公司 | 清洗基板的方法、製造光罩的方法和清洗光罩的方法 |
US11209736B2 (en) | 2018-10-25 | 2021-12-28 | Taiwan Semiconductor Manufacturing Company Ltd. | Method for cleaning substrate, method for manufacturing photomask and method for cleaning photomask |
CN113050361A (zh) * | 2020-04-28 | 2021-06-29 | 台湾积体电路制造股份有限公司 | 制造半导体元件的方法 |
Also Published As
Publication number | Publication date |
---|---|
WO2014159427A1 (en) | 2014-10-02 |
US9411237B2 (en) | 2016-08-09 |
US20140263172A1 (en) | 2014-09-18 |
US20160329222A1 (en) | 2016-11-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW201445614A (zh) | 用於半導體元件製造上的阻劑硬化及顯影製程 | |
JP7638428B2 (ja) | ハロゲン化化学物質によるフォトレジスト現像 | |
US12111576B2 (en) | Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance | |
JP5726807B2 (ja) | パターン形成方法、パターン形成装置、及びコンピュータ可読記憶媒体 | |
KR20120093093A (ko) | 패턴 형성 방법 및 패턴 형성 장치 | |
CN106226998A (zh) | 光刻方法 | |
KR20070070036A (ko) | 반도체 소자의 제조 방법 | |
KR0170558B1 (ko) | 반도체장치의 제조방법 | |
KR20080061651A (ko) | 반도체 소자의 형성방법 | |
JP2004335873A (ja) | パターン形成方法 | |
US9857688B2 (en) | Method of forming fine patterns | |
JP2023074494A (ja) | Euvレジストのuv処理 | |
US20080318166A1 (en) | Method of manufacturing semiconductor device | |
TW202236020A (zh) | 微影方法 | |
US8138059B2 (en) | Semiconductor device manufacturing method | |
JP2803335B2 (ja) | レジストのアッシング方法及びその装置 | |
JP2015179272A (ja) | パターン形成方法、パターン形成装置及びコンピュータ可読記憶媒体 | |
JP2624168B2 (ja) | パターン形成方法および電子線描画装置 | |
JP2004134720A (ja) | ドライリソグラフィ法およびこれを用いたゲートパターン形成方法 | |
TWI876020B (zh) | 處理光阻的方法、以及用於沉積薄膜的設備 | |
JPH0757995A (ja) | レジストパターン形成方法 | |
TW202427065A (zh) | 半導體工件圖案化 | |
WO2024258580A1 (en) | Formation of sub-lithographic mandrel patterns using reversible overcoat | |
JPH1041212A (ja) | レジストパターン形成方法 | |
JP2002260989A (ja) | 微細レジストパターン形成方法および半導体装置の製造方法 |