[go: up one dir, main page]

CN113227909A - 抗蚀剂的干式显影 - Google Patents

抗蚀剂的干式显影 Download PDF

Info

Publication number
CN113227909A
CN113227909A CN201980085227.1A CN201980085227A CN113227909A CN 113227909 A CN113227909 A CN 113227909A CN 201980085227 A CN201980085227 A CN 201980085227A CN 113227909 A CN113227909 A CN 113227909A
Authority
CN
China
Prior art keywords
resist
euv
dry development
development process
dry
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980085227.1A
Other languages
English (en)
Inventor
鲍里斯·沃洛斯基
蒂莫西·威廉·威德曼
萨曼莎·西亚姆华·坦
吴呈昊
凯文·顾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN113227909A publication Critical patent/CN113227909A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

抗蚀剂的干式显影可使用于例如在高分辨率图案化中形成图案化掩模。干式显影可有利地通过半导体衬底的处理方法来实现,该方法包括:在处理室中将已光图案化的抗蚀剂提供至半导体衬底上的衬底层上;以及通过以下方式对所述已光图案化的抗蚀剂进行干式显影:通过包括暴露于化学化合物以形成抗蚀剂掩模的干式显影处理来去除所述抗蚀剂的已暴露部分或未暴露部分。所述抗蚀剂可以是EUV敏感的含有机金属氧化物或有机金属的薄膜EUV抗蚀剂。

Description

抗蚀剂的干式显影
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
在半导体制造中,半导体处理中的薄膜图案化通常是重要的步骤。图案化涉及光刻。在常规的光刻技术(例如,193nm光刻)中,图案是通过以下方式印刷的:从光子源发射光子至掩模上并且将图案印刷至光敏感的光致抗蚀剂上,由此在光致抗蚀剂中引起化学反应,其在显影后去除光致抗蚀剂的某些部分以形成图案。
先进技术节点(如国际半导体技术发展蓝图(International TechnologyRoadmap for Semiconductors)所定义的)包括22nm、16nm以及其它的节点。在16nm节点中,例如,在镶嵌结构中的典型的通孔或线的宽度通常不大于约30nm。先进半导体集成电路(IC)及其它装置上的特征的缩放正驱动光刻技术以改善分辨率。
发明内容
抗蚀剂的干式显影可使用于例如在高分辨率图案化中形成图案化掩模。根据本公开内容的一些方面,干式显影可有利地通过半导体衬底的处理方法来实现,所述方法包括:在处理室中将已光图案化的抗蚀剂提供至半导体衬底上的衬底层上;以及通过以下方式对所述已光图案化的抗蚀剂进行干式显影:通过包括暴露于化学化合物以形成抗蚀剂掩模的干式显影处理来去除所述抗蚀剂的已暴露部分或未暴露部分。在一些实施方案中,所述抗蚀剂可以是EUV敏感的含有机金属氧化物或有机金属的薄膜EUV抗蚀剂。
在本公开内容的一些实施方案中,合适的抗蚀剂可以是被气相沉积在所述衬底层上的EUV抗蚀剂。在其他实施方案中,合适的抗蚀剂可以是被旋涂在所述衬底层上的EUV抗蚀剂。在一些实施方案中,所述EUV抗蚀剂可以是有机锡氧化物。在一些实施方案中,所述干式显影处理可以包括等离子体。在其他实施方案中,所述干式显影处理可以是无等离子体的热处理。
在一些实施方案中,所述干式显影处理可以包括在适当的流率、压强和温度下暴露于化合物一段适当的时间,所述化合物包含RxZy,其中R=B、Al、Si、C、S、SO且x>0,并且Z=Cl、H、Br、F、CH4且y>0。
在一些实施方案中,所述已光图案化的EUV抗蚀剂是含有机锡氧化物的10-20nm厚的薄膜,其基于有机锡前体异丙基(三)(二甲基氨基)锡与水蒸气的气相反应而沉积、经受EUV暴露量以及暴露后烘烤,所述干式显影处理包括所述已光图案化的EUV抗蚀剂的非等离子体的热暴露在120℃、10mT、500sccm BCl3下进行30秒钟。
在一些实施方案中,所述方法还包括:在进行干式显影以形成所述抗蚀剂掩模后,使用所述抗蚀剂掩模来蚀刻所述衬底层。
在一些实施方案中,所述抗蚀剂对辐射源是敏感的,所述辐射源选自于由DUV、EUV、X光以及电子束辐射所组成的群组。
在另一方面,提供了一种实施已图案化的抗蚀剂的干式显影的设备。这样的设备可以包括:真空处理室,其具有衬底(例如晶片)支撑件;真空管线;干式显影化学品气体管线;一个或更多个加热器,其用于衬底温度控制;以及控制器,其经编程而具有用于处理半导体衬底的指令。所述指令可以包括代码以用于:向所述真空处理室提供在所述半导体衬底上的衬底层上的已图案化的抗蚀剂;以及通过包括暴露于化学化合物以形成抗蚀剂掩模的干式显影处理来去除所述已图案化的抗蚀剂的已暴露部分或未暴露部分。
在一些实施方案中,所述设备的所述处理室内部可以涂覆有腐蚀抑制物。
在一些实施方案中,所述控制器可以经编程而具有用于所述干式显影处理的指令,其中所述干式显影处理包括等离子体。在其他实施方案中,所述控制器可以经编程而具有用于所述干式显影处理的指令,其中所述干式显影处理是无等离子体的热处理。
在一些实施方案中,所述控制器可以经编程而具有用于所述干式显影处理的指令,其中所述干式显影处理包括在适当的流率、压强和温度下暴露于化合物一段适当的时间,所述化合物包含RxZy,其中R=B、Al、Si、C、S、SO且x>0,并且Z=Cl、H、Br、F、CH4且y>0。
在一些实施方案中,所述控制器可以经编程而具有用于所述干式显影处理的指令,其中所述已光图案化的EUV抗蚀剂是含有机锡氧化物的10-20nm厚的薄膜,其基于有机锡前体异丙基(三)(二甲基氨基)锡与水蒸气的气相反应而沉积、经受EUV暴露量以及暴露后烘烤,所述干式显影处理包括所述已光图案化的EUV抗蚀剂的非等离子体的热暴露在120℃、10mT、500sccm BCl3下进行30秒钟。
在一些实施方案中,可对所述控制器编程,其中所述工艺进一步涉及在干式显影形成所述抗蚀剂掩模后,使用所述抗蚀剂掩模刻蚀所述衬底层。
附图说明
根据本公开内容的特定实施方案,图1A-1B和2显示出负型干式显影的处理阶段和结果。
图3描绘了适用于实施所述的干式显影实施方案的处理站设备的实施方案的示意图。
图4描绘了适用于实施所述的干式显影实施方案的多站式处理工具的实施方案。
图5概要地显示了适用于实施某些实施方案或实施方案方面的感应耦合式等离子体设备的横截面图。
图6描绘了半导体处理集群工具架构,其具有与真空传送模块对接的真空整合式沉积和图案化模块,适用于实施本文所述的处理。
具体实施方式
本公开内容总体上涉及半导体处理的领域。在特定方面中,本公开内容涉及光致抗蚀剂的干式显影的工艺和设备(例如,EUV、DUV、X射线或电子束敏感的含金属和/或金属氧化物的光致抗蚀剂),例如,以在EUV图案化中形成图案化掩模。
将详细参照本公开内容的具体实施方案。具体实施方案的示例描绘于附图中。虽然本公开内容将结合这些具体实施方案进行描述,但应理解,不应将本公开内容限制于这些具体实施方案。相反地,其应包含落在本公开内容的精神和范围内的置换、变更和等同方案。在以下说明中,提出了许多特定细节以提供对本公开内容的彻底了解。本公开内容可以在缺少这些特定细节中的一些或全部的情况下实施。在其它情况下,公知的处理操作并未详加描述,以免不必要地使本公开内容难以理解。
极紫外光(EUV)光刻可通过移动至比用常规的光刻方法所能达到的更小的成像源波长而扩展光刻技术。大约10-20nm、或11-14nm波长(例如13.5nm波长)的EUV光源可用于尖端光刻工具(也称为扫描机)。EUV辐射在许多固体与流体材料(包括石英与水蒸气)中受到强吸收,因此在真空中进行操作。可利用其它形式的辐射(包括DUV、X-ray或电子束辐射)而获得类似的良好效能。虽然本文中的说明主要提到EUV作为光致抗蚀剂照射源,但应理解,在替代实施方案中也可考虑这些其它的辐射形式。
EUV光刻使用EUV抗蚀剂,EUV抗蚀剂被图案化而形成掩模以用来蚀刻下伏层。EUV抗蚀剂可以是基于聚合物的化学放大抗蚀剂(CAR),CAR是通过基于液体的旋涂技术所产生。CAR的一替代方案为直接可光图案化的(photopatternable)含金属氧化物膜,该膜例如可从Inpria Corporation(Corvallis,OR)购得的、并且描述于例如美国专利公开US2017/0102612、US 2016/021660和US 2016/0116839,其并入本文中作为参考文件,至少因为其公开了可光图案化的含金属氧化物膜。这样的膜可通过旋涂技术或干式气相沉积来生产。含金属氧化物的膜可在真空环境中通过EUV暴露直接进行图案化(即,不使用单独的光致抗蚀剂),提供低于30nm(sub-30nm)的图案化分辨率,例如,如2018年6月12日授权且发明名称为EUV PHOTOPATTERNING OF VAPOR-DEPOSITED METAL OXIDE-CONTAINING HARDMASKS的美国专利9,996,004、和/或2019年5月9日申请且发明名称为METHODS FOR MAKING EUVPATTERNABLE HARD MASKS,的PCT/US19/31618、和/或2019年11月11日申请且发明名称为METHODS FOR MAKING HARD MASKS USEFUL IN NEXT-GENERATION LITHOGRAPHY的PCT/US2019/060742,这些公开内容至少涉及直接可光图案化的金属氧化物膜的组成、沉积及图案化以形成EUV抗蚀剂掩模,且并入本文中作为参考。通常,图案化涉及利用EUV辐射进行EUV抗蚀剂的暴露以在光致抗蚀剂中形成光图案,然后通过显影以根据光图案而去除光致抗蚀剂的一部分以形成掩模。
直接可光图案化的EUV抗蚀剂可由混合在有机成分内的金属和/或金属氧化物所组成、或包含它们。金属/金属氧化物是非常有前景的,因为它们可增强EUV光子吸附并且产生二次电子和/或对下伏的膜堆叠和装置层显示出增加的蚀刻选择性。迄今为止,已经使用湿式(溶剂)方案进行这些光致抗蚀剂的显影,湿式方案需要将晶片移动至轨道(track),在该处使晶片暴露于显影剂、干燥以及烘烤。湿式显影步骤不仅限制产量,而且还可能由于表面张力效应而导致线崩塌和/或脱层。
在本公开内容的一实现方案中,使EUV敏感的含金属氧化物膜(例如,有机锡氧化物)气相沉积在半导体衬底上。接着,在真空环境中通过EUV暴露直接将含金属氧化物膜图案化,并对图案进行显影以形成含金属氧化物的掩模。如本文所述,含有机金属氧化物的EUV抗蚀剂膜、含有机金属的EUV抗蚀剂膜或其它直接可光图案化的EUV抗蚀剂膜的显影是通过干式方法来进行。
目前所公开的方法和设备提出了可应用于各种特定实现方案中的通用方法。本公开内容的实施方案提出了用于EUV光图案化的含金属和/或金属氧化物的抗蚀剂的干式显影的处理和设备,使用化学化合物作为EUV抗蚀剂掩模形成处理的一部分。其它实施方案包括,将通过气相沉积的膜形成、(EUV)光刻光图案化以及干式显影的所有干式步骤组合在一起。干式显影可改善性能(例如,防止在湿式显影中由于表面张力所导致的线崩塌和脱层)并提高产量(例如,通过避开湿式显影轨道)。其它优点可能包括消除有机溶剂显影剂的使用、降低对粘附问题的敏感度、以及没有基于溶解度的限制。
根据特定的实施方案,提供了干式方法,其用于EUV敏感的含金属和/或含金属氧化物的光致抗蚀剂的显影。一实施方案适用于基于Sn和SnOx(锡氧化物)的抗蚀剂的干式显影,该抗蚀剂包含一些数量的C、H及O(以及可能的额外成分,例如F)。在多种实施方案中,含金属氧化物的膜可以是光敏感的有机金属氧化物膜,例如有机锡氧化物,例如烷基锡氧化物(氧化物/氢氧化物)RSnOxOH(3-x)。有效的组成包括烷基取代基是叔丁基或异丙基取代基的那些组成,其中键合至锡原子的碳原子被(相应地)键合至三个或两个其它碳原子。当暴露于EUV光时,这样的取代基似乎经历更有效的裂解,从而导致改变而允许图案显影。在多种实施方案中,EUV暴露导致烷基取代基的损失,形成新的Sn-O-Sn交联,其可能在EUV暴露步骤之后发生,例如在膜可能暴露于周围的氧和/或水分的暴露后烘烤步骤期间发生。
显影可通过使用温和的等离子体(高压、低功率)或热处理同时流入干式显影化学品(例如,BCl3或其它路易斯酸)而执行。根据特定实施方案,BCl3能够快速去除未暴露的材料,留下已暴露膜的图案,该图案可通过基于等离子体的蚀刻处理(例如,常规的蚀刻处理)而转移至下伏层中。
根据本公开内容,在使用温和的等离子体或热处理的干式显影处理(例如,>5mT(例如>15mT)、<1000W(例如<500W)变压耦合等离子体(TCP)(在其它实施方案中,可使用CCP、ICP或下游等离子体)、0至300℃(例如30至120℃)、100至1000sccm(例如约500sccm)的干式显影化学品、进行1至3000秒(例如10-600秒))中,在EUV扫描机中进行光图案化之后,晶片可直接进入干式显影/蚀刻室,并跳过与在轨道上的湿式显影相关的材料及生产成本。替代地,可在显影室或另一室中进行暴露后烘烤,在暴露后烘烤期间已暴露的区域会经历进一步的交联以形成更致密的类SnO2网状结构。干式处理可提供更多的可调性,并且提供进一步的关键尺寸(CD)控制和残渣清除。
通过采用非等离子体的热方案,因为可在低成本的热真空室/炉中使多个晶片同时进行批量式显影,所以可显著地提高生产率。合适的室可包括真空管线、干式显影化学品(例如,BCl3)管线、以及用于温度控制的加热器。此外,由于该处理是热的,所以室内部可覆盖耐腐蚀膜,例如有机聚合物(例如聚四氟乙烯(PTFE),例如TeflonTM)或无机涂层,而没有通过等离子体暴露而去除的风险。
在多种实施方案中,含有一定量的金属、金属氧化物以及有机成分的光致抗蚀剂的干式显影可通过等离子体或热、等离子体(包括可能光活化的(即,灯加热的,例如UV灯加热的)或方法的混合、同时流入包括分子式为RxZy的化合物的干式显影气体,其中R=B、Al、Si、C、S、SO且x>0,并且Z=Cl、H、Br、F、CH4且y>0。干式显影可产生正型或负型,其中RxZy物质选择性地去除未暴露或已暴露的材料,而留下已暴露或未暴露的互补物作为掩模。
已经针对基于有机锡氧化物的EUV抗蚀剂组成证明了该处理,其能适用于市售的可旋涂制剂(例如,可购自于Inpria Corporation的制剂)、以及使用干式真空沉积技术所施用的制剂(例如,上述的申请人的先前申请中描述的制剂)。负型干式显影已经通过将非EUV的已暴露区域暴露于BCl3流动但不点燃等离子体的选择性干式显影(去除)而实现。干式显影处理的一具体示例涉及含有机锡氧化物的EUV敏感的抗蚀剂薄膜(例如10-20nm厚),该抗蚀剂薄膜基于有机锡前体异丙基(三)(二甲基氨基)锡与水蒸气的气相反应而沉积,经受EUV暴露量以及暴露后烘烤,然后使用非等离子体的热处理在120℃、10mT、500sccm BCl3下进行干式显影30秒钟。
参考图1A-1B以及2,显示出根据本公开内容的特定实施方案的负型干式显影。如图1A所示,在上述的EUV扫描机中进行光图案化以及可选的烘烤之后,可将晶片100提供至蚀刻室,晶片100包括衬底102(例如,Si)层、配置在衬底上的待蚀刻层104(例如,可灰化的硬掩模(例如,硅氧碳化物(SOC))、或其它材料(例如,硅、硅氧化物、硅氮化物等);在其它实施方案中,可为层堆叠件)、以及已光图案化的EUV抗蚀剂薄膜106(例如,配置在待蚀刻层上的10-20nm厚的含有机锡氧化物层)。如图1B所示,通过暴露于干式显影化学品(例如,BCl3)流但不点燃等离子体,可在干式显影处理中去除光致抗蚀剂106的非EUV暴露区域106a(图中的较暗部分)。之后,可使用已显影的光致抗蚀剂106作为掩模来蚀刻待蚀刻层104,以提供图2中所示的结构。
替代实施方案
由于增强了EUV光子的吸附,例如上述的含金属和金属氧化物的EUV敏感的抗蚀剂被使用于EUV图案化。但是挑战包括高的线宽和线边缘粗糙度、以及残渣问题。这两个挑战可能大部分归因于光的随机性以及非最佳高斯分布,导致在抗蚀剂应该保持未暴露的区域中出现了部分或完全暴露的材料,反之亦然。
湿式显影具有非常高的选择性,并且已经呈现明确的开/关行为,导致湿式显影处理无法将部分或完全错误暴露的区域去除。然后,在湿式显影处理之后留下剩余的残留物,导致残渣和高的线边缘及线宽粗糙度。有趣的是,由于干式显影处理可基于多个控制钮(例如,时间、温度、压力、气体流量)来调整蚀刻率和选择性的可调性,因此它可通过去除这些部分暴露的残留物而进一步应用于含金属的抗蚀剂线的残渣去除以及平滑化。
设备
如上所述,用于实施本公开内容的非等离子体的热实施方案的合适处理室可由具有衬底(例如,晶片)支撑件的真空处理室、真空管线、BCl3管线以及用于温度控制的一或更多加热器所构成。非等离子体的热处理室内部可覆盖着耐腐蚀的有机聚合物(例如,聚四氟乙烯(PTFE),例如TeflonTM),而没有被等离子体暴露而去除的风险。
图3描绘了处理站300的实施方案的示意图,处理站300具有处理室主体302,用于维持适合进行所述的干式显影实施方案的低压环境。多个处理站300可包含在共同的低压处理工具环境中。例如,图4描绘了多站式处理工具400的实施方案,例如可购自LamResearch Corporation(Fremont,CA)的
Figure BDA0003124687100000081
处理工具。在一些实施方案中,处理站300的一或更多硬件参数(包含以下所详细讨论者)可通过一或更多计算机控制器350而以编程方式调整。
处理站可配置为集群工具中的模块。图6描绘了具有真空整合式沉积以及图案化模块的半导体处理集群工具架构,适用于进行本文所述的实施方案。这样的集群处理工具架构可包含抗蚀剂沉积、抗蚀剂暴露(EUV扫描机)、抗蚀剂干式显影以及蚀刻模块,如以上所述以及下文参照图5及6进一步描述。
在一些实施方案中,一些处理功能可在同一模块中连续地执行,例如干式显影和蚀刻。本公开内容的实施方案涉及方法和设备,其用于在EUV扫描机中进行光图案化之后,接收晶片(包括配置在待蚀刻层或层堆叠件上的已光图案化的EUV抗蚀剂薄膜层)至干式显影/蚀刻室;干式显影已光图案化的EUV抗蚀剂薄膜层;接着使用已图案化的EUV抗蚀剂作为掩模来蚀刻下伏层,如本文所述。
回到图3,处理站300与反应物输送系统301a流体连通,反应物输送系统301a用于将处理气体输送至分配喷头306。反应物输送系统301a可选地包括混合容器304,其用于混合和/或调节处理气体以输送至喷头306。一或更多混合容器入口阀320可控制处理气体至混合容器304的引入。当使用等离子体暴露时,也可将等离子体输送至喷头306或可在处理站300中产生等离子体。如上所述,在至少某些实施方案中,非等离子体的热暴露是有利的。
图3包括可选的汽化点303,用于将待供应至混合容器304的液体反应物汽化。在一些实施方案中,液体流量控制器(LFC)可设置在汽化点303上游,以控制用于汽化及输送至处理站300的液体的质量流量。例如,LFC可包括位于LFC下游的热质量流量计(MFM)。接着,可调整LFC的柱塞阀,以响应于由比例-积分-微分(PID)控制器(与MFM电气连接)所提供的反馈控制信号。
喷头306将处理气体朝向衬底312分配。在图3所示的实施方案中,衬底312位于喷头306下方,并且显示为置于基座308上。喷头306可具有任何适当的形状,并且可具有任何适当数目和配置的通口,以将处理气体分配至衬底312。
在一些实施方案中,基座308可以升高或降低以暴露衬底312给衬底312和喷头306之间的体积。应理解的是,在一些实施方案中,基座高度可以经由合适的计算机控制器350通过编程方式进行调节。
在某些实施方案中,基座308可通过加热器310来控制温度。在一些实施方案中,如所公开的实施方案所述,在已光图案化的抗蚀剂的非等离子体的热暴露至干式显影化学品(例如,BCl3)期间,可将基座308加热至大于0℃且上达300℃或更高的温度,例如50至120℃,例如约65至80℃。
此外,在一些实施方案中,对于处理站300的压力控制可以由蝶形阀318提供。如在图3的实施方案中所示,蝶形阀318对由下游真空泵(未示出)提供的真空进行调节。然而,在一些实施方案中,对处理站300的压力控制还可以通过改变引入至处理站300的一种或多种气体的流率来调节。
在一些实施方案中,喷头306的位置可以相对于基座308调节以改变衬底312和喷头306之间的体积。此外,应当理解的是,基座308和/或喷头306的竖直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座308可包含用于旋转衬底312的方位的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器350以编程方式执行。
在可使用等离子体时,例如在温和的基于等离子体的干式显影实施方案和/或在相同室中实施的蚀刻操作中,喷头306和基座308电连接射频(RF)功率源314和匹配网络316来对等离子体提供功率。在一些实施方案中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF功率源314和匹配网络316可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。合适功率的示例上达约500W。
在一些实施方案中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器350的指令。在一个示例中,用于设置工艺阶段的条件的指令可被包含在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方案中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,用于设定干式显影化学品反应物气体(例如BCl3)的流率的指令、以及用于配方阶段的时间延迟指令。在一些实施方案中,控制器350可包括下述关于图4的系统控制器450的任何特征。
如上所述,一个或更多个处理站可以包含在多站处理工具中。图4示出了多站式处理工具400的实施方案的概要视图,其具有入站装载锁402和出站装载锁404,其一者或者两者可以包含远程等离子体源。处于大气压的机械手406被配置为将晶片从通过舱408装载的盒经由大气端口410移动至入站装载锁402内。晶片由机械手406放置在入站装载锁402中的基座412上,关闭大气端口410,且抽空装载锁。当入站装载锁402包含远程等离子体源时,晶片在被引入处理室414之前,可以暴露至装载锁中的远程等离子体处理以处理氮化硅表面。此外,晶片另外也可以在入站装载锁402中加热,例如以移除湿气和吸附的气体。接下来,通向处理室414的室传输端口416被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图4中绘出的实施方案包含装载锁,但应该理解的是,在一些实施方案中,可以使衬底直接进入处理站。
绘出的处理室414包含4个处理站,图4所示的实施方案中编号为1至4。每个站具有加热的基座(对于站1示出为418)和气体管线入口。应该理解的是,在一些实施方案中,每个处理站可以具有不同或者多个用途。例如,在一些实施方案中,处理站可以是可在干式显影与蚀刻处理模式之间切换的。附加地或替代地,在一些实施方案中,处理室414可以包含一个或多个干式显影与蚀刻处理站的匹配对。尽管绘出的处理室414包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方案中,处理室可以具有5个或5个以上的站,而在其它实施方案中,处理室可以具有3个或者更少的站。
图4描绘了用于在处理室414内传输晶片的晶片搬运系统490的一些实施方案。在一些实施方案中,晶片搬运系统490可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图4还绘出了采用来控制处理工具400的处理条件和硬件状态的系统控制器450的实施方案。系统控制器450可以包含一个或多个存储器装置456、一个或多个海量存储装置454和一个或多个处理器452。处理器452可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方案中,系统控制器450控制处理工具400的所有活动。系统控制器450执行存储在海量存储装置454、载入存储器装置456、并由处理器452执行的系统控制软件458。可替代地,控制逻辑可以在控制器450中硬编码。特定应用集成电路、可编程逻辑装置(例如现场可编程栅极阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件458可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具400执行的特定处理的其它参数的指令。系统控制软件458可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件458可以以任何适当的计算机可读编程语言来编码。
在一些实施方案中,系统控制软件458可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方案中可以采用与系统控制器450关联的、存储在海量存储装置454和/或存储器装置456的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座418,并控制衬底和处理工具400的其它部分之间的间隔。
处理气体控制程序可包含用于控制气体组成(例如,如本文所述的BCl3气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底上的传送。
等离子体控制程序可包含用于根据本文的实施方案设置施加到一个或多个处理站内的处理电极的RF功率电平的代码。
压强控制程序可以包含用于根据本文的实施方案保持反应室内的压强的代码。
在一些实施方案中,可以存在与系统控制器450相关联的用户界面。用户界面可以包含显示屏、设备和/或工艺条件的图形软件显示器、以及诸如定点装置、键盘、触摸屏、麦克风等用户输入装置。
在一些实施方案中,由系统控制器450调节的参数会涉及工艺条件。非限制性实例包含处理气体组成和流率、温度、压强、等离子体条件(例如,RF偏置功率电平)等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器450的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具400的模拟和数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持处理条件。
系统控制器450可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如DC功率电平、RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方案操作干式显影和/或蚀刻处理。
系统控制器450将通常包含一个或多个存储器装置和被配置成执行指令的一个或多个处理器以使该设备将执行根据所公开的实施方案所述的方法。包含用于控制根据所公开的实施方案的处理操作的指令的机器可读的介质可以耦合到系统控制器450。
在一些实现方式中,系统控制器450是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包含半导体处理装置,该半导体处理装置包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,系统控制器450可以被编程以控制本文公开的任何工艺,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器450可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器450的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器450可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或者与该计算机耦合。例如,系统控制器450可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,系统控制器450接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,系统控制器450被配置成连接或控制该工具类型。因此,如上所述,系统控制器450可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包含等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、EUV光刻室(扫描机)或模块、干式显影室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,系统控制器450可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
现在描述感应耦合式等离子体(ICP)反应器,在某些实施方案中,其可适用于蚀刻操作,蚀刻处理适用于某些实施方案的实施。虽然本文描述ICP反应器,但应理解,在一些实施方案中,还可使用电容耦合式等离子体反应器。
图5概要地显示感应耦合式等离子体设备500的横截面图,其适合实行某些实施方案或实施方案的方面(例如干式显影和/或蚀刻),该设备的示例为由Lam Research Corp.,Fremont,CA所生产的
Figure BDA0003124687100000151
反应器。感应耦合式等离子体设备500包括整体处理室524,其在结构上由室壁501和窗511限定。室壁501可以由不锈钢或铝制成。窗511可以由石英或其他介电材料制成。任选的内部等离子体栅格550将总处理室分为上副室502和下副室503。在大多数的实施方案中,等离子体栅格550可以被移除,从而利用由副室502和503两者构成的室空间。卡盘517定位在下副室503中在底部内表面附近。卡盘517被配置成接收和保持在其上执行蚀刻和沉积工艺的半导体晶片519。卡盘517可以是当晶片519存在时用于支撑晶片519的静电卡盘。在一些实施方案中,边缘环(未示出)围绕卡盘517,并具有大致与晶片519(当晶片存在于卡盘517上方时)的顶面在同一平面的上表面。卡盘517还包括用于夹紧和松开晶片的静电电极。可设置过滤器和DC钳位功率源(未示出)用于此目的。也可以提供其他的控制系统用于提升晶片519使其离开卡盘517。卡盘517可以用RF电源523充电。RF电源523通过连接件527被连接到匹配电路521。匹配电路521通过连接件525连接到卡盘517。以这种方式,RF电源523被连接到卡盘517上。在多种实施方案中,可将静电卡盘的偏压电源设定为约50V,或取决于依据所公开的实施方案所执行的处理而设定为不同的偏压电源。例如,偏压电源可在约20Vb与约100V之间、或在约30V与约150V之间。
用于等离子体产生的元件包括位于窗511上方的线圈533。在一些实施方案中,所公开的实施方案中未使用线圈。线圈533由导电材料制成,并包括至少一整匝。在图5中所示的线圈533的示例包括三匝。线圈533的横截面用符号示出,具有“X”符号的线圈表示线圈旋转地延伸到页面内,相反,具有“●”符号的线圈表示线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为提供RF功率至线圈533的RF电源541。一般地,RF电源541通过连接件545被连接到匹配电路539。匹配电路539通过连接件543连接到线圈533。以这种方式,RF电源541被连接到线圈533。任选的法拉第屏蔽件549a被定位在线圈533和窗511之间。法拉第屏蔽件549a可以以相对于线圈533成隔开的关系被保持。在一些实施方案中,法拉第屏蔽件549a被设置在窗511的正上方。在一些实施方案中,法拉第屏蔽件549b是在窗部511与卡盘517之间。在一些实施方案中,法拉第屏蔽件549b与线圈533并非维持相隔开的关系。例如,法拉第屏蔽件549b可直接在窗511下方而没有间隙。线圈533、法拉第屏蔽件549a、以及窗511中的每一者被配置为彼此实质上平行。法拉第屏蔽件549a可防止金属或其它物质沉积于处理室524的窗511上。
处理气体可以通过位于上副室502中的一个或多个主气体流入口560和/或通过一个或多个侧气体流入口570流入处理室。同样,虽然未明确示出,但是类似的气体流入口可用于向电容耦合等离子体处理室供应处理气体。真空泵,例如,一级或两级干式机械泵和/或涡轮分子泵540,可用于将处理气体从处理室524抽出并维持处理室500内的压强。例如,该真空泵可用于在ALD清扫操作过程中排空下副室503。阀控制的导管可用于使真空泵流体连接在处理室524上,以便选择性地控制由真空泵提供的真空环境的应用。在操作等离子体处理过程中,这可以使用封闭环控制的流量限制设备例如节流阀(未示出)或钟摆阀未示出)进行。同样,也可以使用受控地流体连接在电容耦合等离子体处理室上的真空泵和阀。
在设备500的操作过程中,一种或多种处理气体可通过气体流入口560和/或570供给。在某些实施方案中,处理气体可以仅通过主气体流入口560供给,或者仅通过侧气体流入口570供给。在一些情况下,在图中所示的气体流入口可以由较复杂的气体流入口替代,例如由一个或多个喷头替代。法拉第屏蔽件549和/或任选的栅格550可以包括使处理气体能输送至室的内部通道和孔。法拉第屏蔽件549和任选的栅格550中的一者或两者可以作为用于输送处理气体的喷头。在一些实施方案中,液体蒸发和输送系统可位于处理室524的上游,使得一旦液体反应物或前体被蒸发,那么蒸发的反应物或前体通过气体流入口560和/或570引入到室中。
射频功率从RF电源541供给到线圈533以使RF电流流过线圈533。流过线圈533的RF电流产生围绕线圈533的电磁场。该电磁场产生在上副室502内的感应电流。所生成的各离子和自由基与晶片519的物理和化学相互作用蚀刻晶片的特征并且选择性地在晶片519上沉积层。
如果使用等离子体栅格550使得存在上副室502和下副室503二者,则感应电流作用于存在于上副室502中的气体上以在上副室502中产生电子-离子等离子体。任选的内部等离子体栅格550限制下副室503中的热电子的量。在一些实施方案中,设计和操作所述设备500使得存在于下副室503中的等离子体是“离子-离子”等离子体。
上部的电子-离子等离子体和下部的离子-离子等离子体二者可包含阳离子和阴离子,但是离子-离子等离子体将具有更大的阴离子与阳离子的比率。挥发性的蚀刻和/或沉积的副产物可通过端口522从下副室503去除。本文所公开的卡盘517可在约10℃和约250℃之间的升高的温度范围内操作。该温度将取决于工艺操作和具体配方。
设备500当安装在超净室或制造厂中时可耦合到设施(未示出)。这样的设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合到设备500。此外,设备500可耦合在传送室上,从而允许使用例如典型的自动化由机械手传送半导体晶片进出设备500。
在一些实施方案中,系统控制器530(其可以包括一个或多个物理或逻辑控制器)控制处理室524的操作中的一些或全部。系统控制器530可以包括一个或多个存储器装置和一个或多个处理器。在一些实施方案中,该设备500包括在执行所公开的实施方案时用于控制流率和持续时间的切换系统。在一些实施例中,该设备500可具有高达约500ms或高达约750ms的切换时间。切换时间可以取决于流动化学物质组成、配方选择、反应器架构以及其他因素。
在一些实现方式中,系统控制器或控制器530是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包括半导体处理装置,半导体处理装置包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以集成到控制器530中,其可以控制一个或多个系统的各种部件或子部件。根据处理参数和/或系统类型,系统控制器可以被编程以控制本文公开的任何工艺,包括控制处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
广义而言,控制器530可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造或去除期间完成一个或多个处理步骤。
在一些实现方式中,系统控制器530可以是与系统集成、耦合、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能标准,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,系统控制器530接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,系统控制器530可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例性的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、EUV光刻室(扫描机)或模块、干式光刻室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
EUVL图案化的实施可利用任何合适的工具,其通常被称为扫描机,例如由ASML(Veldhoven,NL)所提供的TWINSCAN NXE:
Figure BDA0003124687100000201
平台。EUVL图案化工具可以是独立的装置,衬底被移入其中或自其移出以用于本文所述的沉积与蚀刻。替代地,如下所述,EUVL图案化工具可以是在较大的多构件工具上的模块。图6描绘了半导体处理集群工具架构,其具有与真空传送模块对接的真空整合式沉积、EUV图案化、及干式显影蚀刻模块,适用于进行本文所述的处理。虽然可在缺少这样的真空整合设备的情况下实施这些处理,但这样的设备在某些实现方案中可能是有利的。
图6描绘了半导体处理集群工具架构,其具有与真空传送模块对接的真空整合式沉积和图案化模块,适用于进行本文所述的处理。用于在多个储存设备与处理模块之间“传送”晶片的传送模块的配置可称为“集群工具架构”系统。根据特定处理的需求,沉积以及图案化模块是真空整合式的。在该集群上还可包括其它模块(例如用于蚀刻)。
真空传送模块(VTM)638与四个处理模块620a-620d对接,其可各自进行优化以执行各种制造处理。作为一示例,处理模块620a-620d可用于执行沉积、蒸发、ELD、干式显影、蚀刻、剥除、和/或其它半导体处理。例如,模块620a可以是ALD反应器,其可操作以执行本文所述的非等离子体的热原子层沉积中,例如可购自Lam Research Corporation(Fremont,CA)的Vector工具。模块620b可以是PEALD工具(例如Lam
Figure BDA0003124687100000211
)。应理解,图未必按比例绘制。
气锁642及646(亦称为装载锁或传送模块)与VTM 638以及图案化模块640对接。例如,如上所述,合适的图案化模块可为TWINSCAN NXE:
Figure BDA0003124687100000212
平台(由ASML(Veldhoven,NL)提供)。此工具架构容许工件(例如半导体衬底或晶片)在真空下传送,以便不在暴露之前反应。沉积模块与光刻工具的整合通过以下事实促成:考虑到环境气体(例如H2O、O2等)对于入射光子的强烈光学吸收性,EUVL还需要大幅降低的压力。
如上所述,该整合架构仅为用于实行所述处理的工具的一可能实施方案。这些处理的实行也可使用更为常规的独立EUVL扫描机以及沉积反应器(例如Lam Vector工具)作为模块,其为独立的或与其它工具(例如蚀刻、剥除等(例如Lam Kiyo或Gamma工具))一同整合于集群架构中,例如参考图6所述的(但没有整合的图案化模块)。
气锁642可以是“输出”装载锁,代表将衬底从供沉积模块620a使用的VTM 638传出至图案化模块640,而气锁646可以是“输入”装载锁,表示将衬底从图案化模块640传送回VTM 638。输入装载锁646也可作为至工具外部的接合部,以用于衬底的进出。每一处理模块具有将该模块对接至VTM 638的小面(facet)。例如,沉积处理模块620a具有小面636。在每一小面内,传感器(例如,图中所示的传感器1-18)用于,当晶片626在相应的站与站之间移动时,检测晶片的通过。图案化模块640及气锁642、646可类似地装配有额外的小面以及传感器(未显示)。
主要VTM机械手622在模块(包括气锁642及646)之间传送晶片626。在一实施方案中,机械手622具有一手臂,而在另一实施方案中,机械手622具有两手臂,其中每一手臂具有末端效应器624以拾取晶片(例如晶片626)而进行输送。前端机械手644用于将晶片626从输出气锁642传送至图案化模块640中、从图案化模块640传送至输入气锁646中。前端机械手644也可在输入装载锁与工具外部之间输送晶片626,以用于衬底的进出。由于输入气锁模块646能够匹配在大气与真空之间的环境,所以晶片626能在这两个压力环境之间移动而不会受损。
应当注意,相比于沉积工具,EUVL工具通常在较高的真空下操作。如果情况是如此,则期望在由沉积传送至EUVL工具期间增加衬底的真空环境,以容许衬底在进入图案化工具之前进行除气。输出气锁642可提供此功能,通过将所传送的晶片维持在较低压力(不高于图案化模块640中的压力)一段时间并抽空任何离去气体(off-gassing),使得图案化工具640的光学组件不会被来自衬底的离去气体所污染。输出离去气体气锁的合适压力为不超过1E-8Torr。
在一些实施方案中,系统控制器650(其可包括一或更多实体或逻辑控制器)控制集群工具和/或其分开的模块的一些或所有操作。应当注意,控制器可在集群架构本地、或可位于制造楼层中的集群架构的外部、或位于远程位置并经由网络连接至集群架构。系统控制器650可包括一或更多存储器装置以及一或更多处理器。处理器可包括中央处理单元(CPU)或计算机、模拟和/或数字输入/输出连接、步进马达控制板、及其它类似构件。在处理器上执行用于实施合适的控制操作的多个指令。这些指令可存储于与控制器相连的存储器装置上、或可通过网络而提供。在某些实施方案中,系统控制器执行系统控制软件。
系统控制软件可包括用于控制任何工具或模块操作的方面的应用与规模的时序的指令。系统控制软件可以任何适当的方式配置。例如,可编写各种处理工具构件子程序或控制对象,以控制实施各种处理工具程序所需的处理工具构件的操作。系统控制软件可以任何合适的计算器可读程序语言进行编码。在一些实施方案中,系统控制软件包括输入输出控制(IOC)序列指令,以控制上述的各种参数。例如,半导体制造处理的每一阶段可包括由系统控制器所执行的一或更多指令。例如,用于设定凝结、沉积、蒸发、图案化和/或蚀刻阶段的处理条件的指令可包括在相对应的配方阶段中。
在多种实施方案中,提供用于形成负型图案掩模的设备。该设备可包括用于图案化、沉积以及蚀刻的处理室、以及包括用于形成负型图案掩模的指令的控制器。指令可包括用于,在处理室中,执行下列处理的程序代码:通过EUV暴露使衬底表面暴露,图案化在半导体衬底上的化学放大抗蚀剂(CAR)中的特征;使已光图案化的抗蚀剂进行干式显影;以及使用已图案化的光致抗蚀剂作为掩模以蚀刻下伏层或层堆叠件。
应当注意,控制晶片移动的计算机可在集群架构本地、或可位于制造楼层中的集群架构的外部、或位于远程位置并经由网络连接至集群架构。关于图3、4或5中任一者而在上文描述的控制器可以与图6中的工具一同实现。
结论
公开在EUV图案化的背景下的工艺和设备,其用于金属和/或金属氧化物光致抗蚀剂的干式显影以例如形成图案化掩模。
应当理解,本文所述的示例与实施方案仅为了说明的目的,并据此向本领域技术人员建议各种修改或变化。虽然为了明确的目的,已经省略了各种细节,但可实施各种设计替代方案。因此,本示例应被视为是说明性的而非限制性的,且本公开内容不受限于本文所提出的细节,而是可在公开内容的范围中进行修改。

Claims (19)

1.一种半导体衬底的处理方法,其包括:
在处理室中将已图案化的抗蚀剂提供至半导体衬底上的衬底层上;以及
通过以下方式对所述已图案化的抗蚀剂进行干式显影:通过包括暴露于化学化合物以形成抗蚀剂掩模的干式显影处理来去除所述已图案化的抗蚀剂的已暴露部分或未暴露部分。
2.根据权利要求1所述的方法,其中所述抗蚀剂是已光图案化的EUV敏感的含有机金属氧化物或有机金属的薄膜EUV抗蚀剂,且所述抗蚀剂的所述未暴露部分被去除。
3.根据权利要求2所述的方法,其中所述EUV抗蚀剂被气相沉积在所述衬底层上。
4.根据权利要求2所述的方法,其中所述EUV抗蚀剂被旋涂在所述衬底层上。
5.根据权利要求2所述的方法,其中所述EUV抗蚀剂包含有机锡氧化物。
6.根据权利要求2所述的方法,其中所述干式显影处理包括等离子体。
7.根据权利要求2所述的方法,其中所述干式显影处理包括无等离子体的热处理。
8.根据权利要求2所述的方法,其中所述干式显影处理包括在适当的流率、压强和温度下暴露于化合物一段适当的时间,所述化合物包含RxZy,其中R=B、Al、Si、C、S、SO且x>0,并且Z=Cl、H、Br、F、CH4且y>0。
9.根据权利要求2所述的方法,其中所述已光图案化的EUV抗蚀剂是含有机锡氧化物的10-20nm厚的薄膜,其基于有机锡前体异丙基(三)(二甲基氨基)锡与水蒸气的气相反应而沉积、经受EUV暴露量以及暴露后烘烤,所述干式显影处理包括所述已光图案化的EUV抗蚀剂的非等离子体的热暴露在120℃、10mT、500sccm BCl3下进行30秒钟。
10.根据权利要求2所述的方法,其还包括:在进行干式显影以形成所述抗蚀剂掩模后,使用所述抗蚀剂掩模来蚀刻所述衬底层。
11.根据权利要求1所述的方法,其中所述抗蚀剂对辐射源是敏感的,所述辐射源选自于由DUV、EUV、X光以及电子束辐射所组成的群组。
12.一种实施已图案化的抗蚀剂的干式显影的设备,所述设备包括:
真空处理室,其具有衬底(例如晶片)支撑件;
真空管线;
干式显影化学品气体管线;
一个或更多个加热器,其用于衬底温度控制;以及
控制器,其经编程而具有用于处理半导体衬底的指令,所述指令包括代码以用于:
向所述真空处理室提供在半导体衬底上的衬底层上的已图案化的抗蚀剂;以及
通过包括暴露于化学化合物以形成抗蚀剂掩模的干式显影处理来去除所述已图案化的抗蚀剂的已暴露部分或未暴露部分。
13.根据权利要求12所述的设备,其中所述处理室内部涂覆有腐蚀抑制物。
14.根据权利要求12所述的设备,其中所述控制器经编程而具有用于所述干式显影处理的指令,包含其中所述干式显影处理包括等离子体。
15.根据权利要求12所述的设备,其中所述控制器经编程而具有用于所述干式显影处理的指令,包含其中所述干式显影处理包括无等离子体的热处理。
16.根据权利要求12所述的设备,其中所述控制器经编程而具有用于所述干式显影处理的指令,包含其中所述抗蚀剂是已光图案化的EUV敏感的含有机金属氧化物或有机金属的薄膜EUV抗蚀剂,且所述抗蚀剂的所述未暴露部分被去除。
17.根据权利要求16所述的设备,其中所述控制器经编程而具有用于所述干式显影处理的指令,包含其中所述干式显影处理包括在适当的流率、压强和温度下暴露于化合物一段适当的时间,所述化合物包含RxZy,其中R=B、Al、Si、C、S、SO且x>0,并且Z=Cl、H、Br、F、CH4且y>0。
18.根据权利要求16所述的设备,其中所述控制器经编程而具有用于所述干式显影处理的指令,包含其中所述已光图案化的EUV抗蚀剂是含有机锡氧化物的10-20nm厚的薄膜,其基于有机锡前体异丙基(三)(二甲基氨基)锡与水蒸气的气相反应而沉积、经受EUV暴露量以及暴露后烘烤,所述干式显影处理包括所述已光图案化的EUV抗蚀剂的非等离子体的热暴露在120℃、10mT、500sccm BCl3下进行30秒钟。
19.根据权利要求12所述的设备,其中所述控制器经编程而具有用于下列操作的指令:在进行干式显影以形成所述光致抗蚀剂掩模后,使用所述抗蚀剂掩模来蚀刻所述衬底层。
CN201980085227.1A 2018-12-20 2019-12-19 抗蚀剂的干式显影 Pending CN113227909A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862782578P 2018-12-20 2018-12-20
US62/782,578 2018-12-20
PCT/US2019/067540 WO2020132281A1 (en) 2018-12-20 2019-12-19 Dry development of resists

Publications (1)

Publication Number Publication Date
CN113227909A true CN113227909A (zh) 2021-08-06

Family

ID=71100363

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980085227.1A Pending CN113227909A (zh) 2018-12-20 2019-12-19 抗蚀剂的干式显影

Country Status (5)

Country Link
US (2) US12211691B2 (zh)
KR (2) KR102731166B1 (zh)
CN (1) CN113227909A (zh)
TW (1) TW202043927A (zh)
WO (1) WO2020132281A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114628620A (zh) * 2022-03-15 2022-06-14 安徽熙泰智能科技有限公司 一种用于药液耐受性差的膜层的图形化方法
WO2023225046A1 (en) * 2022-05-18 2023-11-23 Inpria Corporation Radiation sensitive organotin compositions having oxygen heteroatoms in hydrocarbyl ligand

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
KR102731166B1 (ko) 2018-12-20 2024-11-18 램 리써치 코포레이션 레지스트들의 건식 현상 (dry development)
US12125711B2 (en) 2019-03-18 2024-10-22 Lam Research Corporation Reducing roughness of extreme ultraviolet lithography resists
KR20210149893A (ko) 2019-04-30 2021-12-09 램 리써치 코포레이션 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스
TWI869221B (zh) 2019-06-26 2025-01-01 美商蘭姆研究公司 利用鹵化物化學品的光阻顯影
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
CN115244664A (zh) 2020-02-28 2022-10-25 朗姆研究公司 用于减少euv图案化缺陷的多层硬掩模
JP2023515693A (ja) 2020-03-02 2023-04-13 インプリア・コーポレイション 無機レジストパターニング用のプロセス環境
US11621172B2 (en) * 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
US20220004105A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Dry develop process of photoresist
JP7456023B2 (ja) * 2020-07-02 2024-03-26 アプライド マテリアルズ インコーポレイテッド リソグラフィ用途のフォトレジスト層上への炭素の選択的堆積
KR20220122745A (ko) * 2020-07-07 2022-09-02 램 리써치 코포레이션 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스
US11079682B1 (en) 2020-11-13 2021-08-03 Tokyo Electron Limited Methods for extreme ultraviolet (EUV) resist patterning development
CN115598943A (zh) * 2020-11-13 2023-01-13 朗姆研究公司(Us) 用于干法去除光致抗蚀剂的处理工具
US12204246B2 (en) * 2021-06-08 2025-01-21 Applied Materials, Inc. Metal oxide resist patterning with electrical field guided post-exposure bake
JP7461426B2 (ja) * 2021-09-08 2024-04-03 セメス カンパニー,リミテッド 基板処理装置、基板処理設備、及び基板処理方法
CN117980833A (zh) * 2021-09-24 2024-05-03 因普利亚公司 高分辨率潜像处理、对比度增强和热显影以及用于处理的装置
US20230350303A1 (en) * 2022-04-27 2023-11-02 Tokyo Electron Limited Dry Developing Metal-Free Photoresists
US20230408916A1 (en) * 2022-06-06 2023-12-21 Inpria Corpoartion Gas-based development of organometallic resist in an oxidizing halogen-donating environment
US20240027900A1 (en) * 2022-07-22 2024-01-25 Tokyo Electron Limited Acid for Reactive Development of Metal Oxide Resists
US20240045337A1 (en) * 2022-08-03 2024-02-08 Tokyo Electron Limited Metal Oxide Resists for EUV Patterning and Methods for Developing the Same
US20240053684A1 (en) * 2022-08-15 2024-02-15 Tokyo Electron Limited Cyclic Method for Reactive Development of Photoresists
US20240160100A1 (en) * 2022-11-14 2024-05-16 Applied Materials, Inc. Integrated solution with low temperature dry develop for euv photoresist
US20250046614A1 (en) * 2023-07-31 2025-02-06 Tokyo Electron Limited SELECTIVE ATOMIC LAYER ETCH OF Si-BASED MATERIALS
WO2025064348A1 (en) * 2023-09-19 2025-03-27 Lam Research Corporation Reclamation of hydrogen halides in dry development of photoresists

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4241165A (en) * 1978-09-05 1980-12-23 Motorola, Inc. Plasma development process for photoresist
JPH07106224A (ja) * 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
US6319654B1 (en) * 1999-05-06 2001-11-20 Hyundai Electronics Industries Process for forming a photoresist pattern by top surface imaging process
CN102027577A (zh) * 2008-05-15 2011-04-20 朗姆研究公司 选择性的感应双图案化
US20140263172A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
CN105047541A (zh) * 2014-01-31 2015-11-11 朗姆研究公司 结合真空的硬掩模工艺和装置
TW201837066A (zh) * 2017-03-31 2018-10-16 日商富士軟片股份有限公司 圖案形成方法、電子器件的製造方法
CN108780739A (zh) * 2016-03-11 2018-11-09 因普里亚公司 预图案化光刻模板、基于使用该模板的辐射图案化的工艺及形成该模板的工艺

Family Cites Families (456)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3576755A (en) 1964-09-24 1971-04-27 American Cyanamid Co Photochromism in plastic film containing inorganic materials
US3442648A (en) 1965-06-16 1969-05-06 American Cyanamid Co Photographic dodging method
US3513010A (en) 1966-07-11 1970-05-19 Kalvar Corp Conversion foil
US3529963A (en) 1966-08-23 1970-09-22 Du Pont Image-yielding elements and processes
US3720515A (en) 1971-10-20 1973-03-13 Trw Inc Microelectronic circuit production
JPS5119974A (en) 1974-08-12 1976-02-17 Fujitsu Ltd Kibanjoheno pataanno sentakukeiseiho
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4061829A (en) 1976-04-26 1977-12-06 Bell Telephone Laboratories, Incorporated Negative resist for X-ray and electron beam lithography and method of using same
US4292384A (en) * 1977-09-30 1981-09-29 Horizons Research Incorporated Gaseous plasma developing and etching process employing low voltage DC generation
US4328298A (en) 1979-06-27 1982-05-04 The Perkin-Elmer Corporation Process for manufacturing lithography masks
US4396704A (en) 1981-04-22 1983-08-02 Bell Telephone Laboratories, Incorporated Solid state devices produced by organometallic plasma developed resists
JPS58108744A (ja) 1981-12-23 1983-06-28 Mitsubishi Electric Corp 集積回路の製造方法
JPS6074626A (ja) 1983-09-30 1985-04-26 Fujitsu Ltd ウエハー処理方法及び装置
JPS60115222A (ja) 1983-11-28 1985-06-21 Tokyo Ohka Kogyo Co Ltd 微細パタ−ン形成方法
JPS6112653U (ja) 1984-06-25 1986-01-24 日本電気株式会社 バキユ−ムチヤツク
JPS62160981A (ja) 1986-01-08 1987-07-16 Mitsubishi Heavy Ind Ltd 石油タンカ−の改造法
JPS61234035A (ja) 1985-03-29 1986-10-18 Fujitsu Ltd 遠紫外線照射ドライ現像方法
GB2195663B (en) 1986-08-15 1990-08-22 Nippon Telegraph & Telephone Chemical vapour deposition method and apparatus therefor
JPS6347364A (ja) 1986-08-15 1988-02-29 Nippon Telegr & Teleph Corp <Ntt> 化学的気相成長法およびその装置
JPH0778629B2 (ja) 1986-12-19 1995-08-23 ミノルタ株式会社 ポジ型レジスト膜及びそのレジストパターンの形成方法
US5079600A (en) 1987-03-06 1992-01-07 Schnur Joel M High resolution patterning on solid substrates
US5077085A (en) 1987-03-06 1991-12-31 Schnur Joel M High resolution metal patterning of ultra-thin films on solid substrates
US4824763A (en) 1987-07-30 1989-04-25 Ekc Technology, Inc. Triamine positive photoresist stripping composition and prebaking process
US4814243A (en) 1987-09-08 1989-03-21 American Telephone And Telegraph Company Thermal processing of photoresist materials
US4834834A (en) 1987-11-20 1989-05-30 Massachusetts Institute Of Technology Laser photochemical etching using surface halogenation
US4845053A (en) 1988-01-25 1989-07-04 John Zajac Flame ashing process for stripping photoresist
KR920004176B1 (ko) 1988-03-16 1992-05-30 후지쓰 가부시끼가이샤 레지스트 패턴 형성 공정
US4940854A (en) 1988-07-13 1990-07-10 Minnesota Mining And Manufacturing Company Organic thin film controlled molecular epitaxy
US5094936A (en) 1988-09-16 1992-03-10 Texas Instruments Incorporated High pressure photoresist silylation process and apparatus
DE69130594T2 (de) 1990-06-29 1999-05-06 Fujitsu Ltd., Kawasaki, Kanagawa Verfahren zur Erzeugung eines Musters
JPH04226462A (ja) 1990-06-29 1992-08-17 Fujitsu Ltd レジスト材料およびそれを用いるレジストパターンの形成方法
US5240554A (en) 1991-01-22 1993-08-31 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device
US5206706A (en) * 1991-07-01 1993-04-27 Bell Communications Research, Inc. Alignment of an ellipsometer or other optical instrument using a diffraction grating
US5322765A (en) * 1991-11-22 1994-06-21 International Business Machines Corporation Dry developable photoresist compositions and method for use thereof
US6013418A (en) 1992-04-29 2000-01-11 Lucent Technologies Inc. Method for developing images in energy sensitive materials
GEP20002074B (en) 1992-05-19 2000-05-10 Westaim Tech Inc Ca Modified Material and Method for its Production
JPH0637050A (ja) 1992-07-14 1994-02-10 Oki Electric Ind Co Ltd 半導体ウエハのドライエッチング装置
JP2601112B2 (ja) 1992-11-30 1997-04-16 日本電気株式会社 半導体装置の製造方法
JPH06232041A (ja) 1993-02-05 1994-08-19 Hitachi Ltd パターン形成方法
KR960010727B1 (ko) 1993-06-03 1996-08-07 현대전자산업 주식회사 반도체 제조용 포토레지스트 제거방법
EP0635884A1 (de) 1993-07-13 1995-01-25 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
JP3654597B2 (ja) 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
JPH07161607A (ja) 1993-12-03 1995-06-23 Hitachi Ltd パターン形成方法及びパターン形成装置
JP3309095B2 (ja) 1994-08-30 2002-07-29 株式会社日立製作所 ドライ現像方法及び半導体装置の製造方法
US5534312A (en) 1994-11-14 1996-07-09 Simon Fraser University Method for directly depositing metal containing patterned films
JP3258199B2 (ja) 1995-05-24 2002-02-18 沖電気工業株式会社 半導体装置のパターン形成方法
JPH08339950A (ja) 1995-06-09 1996-12-24 Sony Corp フォトレジストパターン形成方法及びフォトレジスト処理装置
US6007963A (en) 1995-09-21 1999-12-28 Sandia Corporation Method for extreme ultraviolet lithography
US20020031920A1 (en) 1996-01-16 2002-03-14 Lyding Joseph W. Deuterium treatment of semiconductor devices
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US5761023A (en) 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
JPH1041206A (ja) 1996-07-19 1998-02-13 Toshiba Corp 半導体処理装置および処理方法
US5914278A (en) 1997-01-23 1999-06-22 Gasonics International Backside etch process chamber and method
JPH10209133A (ja) 1997-01-28 1998-08-07 Toshiba Corp プラズマ灰化装置およびプラズマ灰化方法
US6261938B1 (en) 1997-02-12 2001-07-17 Quantiscript, Inc. Fabrication of sub-micron etch-resistant metal/semiconductor structures using resistless electron beam lithography
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
JP3411559B2 (ja) 1997-07-28 2003-06-03 マサチューセッツ・インスティチュート・オブ・テクノロジー シリコーン膜の熱分解化学蒸着法
US6057587A (en) 1997-08-28 2000-05-02 Vlsi Technology, Inc. Semiconductor device with anti-reflective structure
KR100265766B1 (ko) 1997-09-04 2000-09-15 윤종용 반도체장치 제조용 웨이퍼의 리워크방법 및 반도체장치의 제조방법
US6290779B1 (en) 1998-06-12 2001-09-18 Tokyo Electron Limited Systems and methods for dry cleaning process chambers
US6348239B1 (en) 2000-04-28 2002-02-19 Simon Fraser University Method for depositing metal and metal oxide films and patterned films
WO2000003058A1 (en) 1998-07-10 2000-01-20 Ball Semiconductor, Inc. Cvd photo resist and deposition
WO2000015868A1 (en) 1998-09-16 2000-03-23 Torrex Equipment Corporation High rate silicon deposition method at low pressures
JP2000305273A (ja) 1998-11-19 2000-11-02 Applied Materials Inc 遠紫外線ドライフォトリソグラフィー
KR100477386B1 (ko) 1999-02-26 2005-03-18 어플라이드 머티어리얼스, 인코포레이티드 심층 자외선 노출용 개선된 건식 사진평판 공정 처리방법
JP4519280B2 (ja) 1999-06-11 2010-08-04 東京エレクトロン株式会社 処理室をドライクリーニングするための装置及び方法
JP2000356857A (ja) 1999-06-15 2000-12-26 Toshiba Electronic Engineering Corp パターン形成装置
US6582891B1 (en) 1999-12-02 2003-06-24 Axcelis Technologies, Inc. Process for reducing edge roughness in patterned photoresist
US6432255B1 (en) 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
WO2001059825A1 (en) 2000-02-08 2001-08-16 Matrix Integrated Systems, Inc. Method for removing photoresist and residues from semiconductor device surfaces
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20060001064A1 (en) 2000-04-28 2006-01-05 Hill Ross H Methods for the lithographic deposition of ferroelectric materials
US20040191423A1 (en) 2000-04-28 2004-09-30 Ruan Hai Xiong Methods for the deposition of silver and silver oxide films and patterned films
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
WO2002020864A2 (en) 2000-06-16 2002-03-14 Applied Materials, Inc. System and method for depositing high dielectric constant materials and compatible conductive materials
KR100620651B1 (ko) 2000-06-22 2006-09-13 주식회사 하이닉스반도체 반도체 소자의 미세패턴 제조방법
JP2002015971A (ja) 2000-06-27 2002-01-18 Matsushita Electric Ind Co Ltd パターン形成方法及び半導体装置の製造装置
KR100398312B1 (ko) * 2000-06-30 2003-09-19 한국과학기술원 유기금속을 함유하고 있는 노르보넨 단량체, 이들의고분자 중합체를 함유하는 포토레지스트, 및 그제조방법과, 포토레지스트 패턴 형성방법
JP2002134402A (ja) 2000-08-15 2002-05-10 Tokyo Electron Ltd 基板処理方法及び基板処理装置
US6645677B1 (en) 2000-09-18 2003-11-11 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process
JP2002100558A (ja) 2000-09-26 2002-04-05 Nikon Corp 厚膜レジスト塗布方法
JP2002118096A (ja) 2000-10-06 2002-04-19 Sony Corp アッシング装置
US6368924B1 (en) 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
EP1347468A4 (en) 2000-12-28 2005-04-20 Nissan Chemical Ind Ltd METHOD FOR MODELING THE CONTOURS OF AN ELECTRO-CONDUCTIVE TIN OXIDE LAYER
US6596641B2 (en) 2001-03-01 2003-07-22 Micron Technology, Inc. Chemical vapor deposition methods
US6797439B1 (en) 2001-03-30 2004-09-28 Schott Lithotec Ag Photomask with back-side anti-reflective layer and method of manufacture
US6686132B2 (en) 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US6933673B2 (en) 2001-04-27 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Luminescent device and process of manufacturing the same
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
TW588403B (en) 2001-06-25 2004-05-21 Tokyo Electron Ltd Substrate treating device and substrate treating method
US6926957B2 (en) 2001-06-29 2005-08-09 3M Innovative Properties Company Water-based ink-receptive coating
US6448097B1 (en) 2001-07-23 2002-09-10 Advanced Micro Devices Inc. Measure fluorescence from chemical released during trim etch
DE10138105A1 (de) 2001-08-03 2003-02-27 Infineon Technologies Ag Fotolack und Verfahren zum Strukturieren eines solchen Fotolacks
JP2003213001A (ja) 2001-11-13 2003-07-30 Sekisui Chem Co Ltd 光反応性組成物
US7067235B2 (en) 2002-01-15 2006-06-27 Ming Huan Tsai Bi-layer photoresist dry development and reactive ion etch method
JP2003280155A (ja) 2002-03-22 2003-10-02 Fuji Photo Film Co Ltd 自動現像装置
US6843858B2 (en) 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
EP2317384B1 (en) 2002-04-11 2016-11-09 Hoya Corporation Reflective mask blank, reflective mask and methods of producing the mask blank and the mask
JP3806702B2 (ja) 2002-04-11 2006-08-09 Hoya株式会社 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法
US7169440B2 (en) 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
DE10219173A1 (de) 2002-04-30 2003-11-20 Philips Intellectual Property Verfahren zur Erzeugung von Extrem-Ultraviolett-Strahlung
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20050142885A1 (en) 2002-08-30 2005-06-30 Tokyo Electron Limited Method of etching and etching apparatus
KR101101698B1 (ko) 2002-10-21 2011-12-30 나노잉크, 인크. 나노미터-수준으로 제어된 구조, 이의 제작을 위한 방법 및장치, 및 마스크 복구, 강화, 및 제작에의 적용
US6624127B1 (en) 2002-11-15 2003-09-23 Intel Corporation Highly polar cleans for removal of residues from semiconductor structures
TW200410337A (en) 2002-12-02 2004-06-16 Au Optronics Corp Dry cleaning method for plasma reaction chamber
JP4153783B2 (ja) 2002-12-09 2008-09-24 株式会社東芝 X線平面検出器
JP4325301B2 (ja) 2003-01-31 2009-09-02 東京エレクトロン株式会社 載置台、処理装置及び処理方法
JP2004247678A (ja) 2003-02-17 2004-09-02 Fujitsu Ltd 半導体装置の製造方法、及び半導体製造装置のクリーニング方法
JP2004259786A (ja) 2003-02-24 2004-09-16 Canon Inc 露光装置
US7029832B2 (en) 2003-03-11 2006-04-18 Samsung Electronics Co., Ltd. Immersion lithography methods using carbon dioxide
WO2004095551A1 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US20040203256A1 (en) 2003-04-08 2004-10-14 Seagate Technology Llc Irradiation-assisted immobilization and patterning of nanostructured materials on substrates for device fabrication
KR20060055547A (ko) 2003-09-24 2006-05-23 히다치 가세고교 가부시끼가이샤 감광성 엘리먼트, 레지스트 패턴의 형성방법 및 프린트배선판의 제조방법
JP4313749B2 (ja) 2003-10-10 2009-08-12 エーエスエムエル ネザーランズ ビー.ブイ. 基板を支持部材上に配置する方法、及び基板ハンドラ
GB0323805D0 (en) 2003-10-10 2003-11-12 Univ Southampton Synthesis of germanium sulphide and related compounds
US7126128B2 (en) 2004-02-13 2006-10-24 Kabushiki Kaisha Toshiba Flat panel x-ray detector
JP4459666B2 (ja) 2004-03-12 2010-04-28 株式会社半導体エネルギー研究所 除去装置
CN102610481B (zh) 2004-09-01 2016-04-13 朗姆研究公司 用于增加光阻移除率之装置及等离子体灰化方法
JP2006253282A (ja) 2005-03-09 2006-09-21 Ebara Corp 金属膜のパターン形成方法
US20060068173A1 (en) 2004-09-30 2006-03-30 Ebara Corporation Methods for forming and patterning of metallic films
US7112489B1 (en) 2004-12-03 2006-09-26 Advanced Micro Devices, Inc. Negative resist or dry develop process for forming middle of line implant layer
US20060128127A1 (en) 2004-12-13 2006-06-15 Jung-Hun Seo Method of depositing a metal compound layer and apparatus for depositing a metal compound layer
JP4565194B2 (ja) 2004-12-17 2010-10-20 国立大学法人大阪大学 極端紫外光・x線源用ターゲット及びその製造方法
KR100601979B1 (ko) * 2004-12-30 2006-07-18 삼성전자주식회사 반도체 웨이퍼의 베이킹 장치
KR100607201B1 (ko) 2005-01-04 2006-08-01 삼성전자주식회사 극자외선 리소그래피 공정에서 웨이퍼 상의 임계 치수편차를 보정하는 방법
US7381633B2 (en) 2005-01-27 2008-06-03 Hewlett-Packard Development Company, L.P. Method of making a patterned metal oxide film
US7365026B2 (en) 2005-02-01 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. CxHy sacrificial layer for cu/low-k interconnects
US7868304B2 (en) 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
US7608367B1 (en) 2005-04-22 2009-10-27 Sandia Corporation Vitreous carbon mask substrate for X-ray lithography
KR100575847B1 (ko) 2005-04-29 2006-05-03 이앙구 반도체 및 평판디스플레이 설비의 부산물 포집방법
TWI338171B (en) 2005-05-02 2011-03-01 Au Optronics Corp Display device and wiring structure and method for forming the same
JP2006310681A (ja) 2005-05-02 2006-11-09 Dainippon Screen Mfg Co Ltd 基板処理方法および装置
KR100705416B1 (ko) 2005-06-15 2007-04-10 삼성전자주식회사 포토레지스트 제거용 조성물, 이의 제조방법, 이를 이용한포토레지스트의 제거 방법 및 반도체 장치의 제조 방법
US7691559B2 (en) 2005-06-30 2010-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography edge bead removal
JP4530933B2 (ja) 2005-07-21 2010-08-25 大日本スクリーン製造株式会社 基板熱処理装置
US7482280B2 (en) 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
JP4530980B2 (ja) 2005-08-26 2010-08-25 東京応化工業株式会社 膜形成用材料およびパターン形成方法
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
JP2007114255A (ja) 2005-10-18 2007-05-10 Toray Ind Inc 感光性樹脂印刷版原版およびその製造方法
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
JP5055743B2 (ja) 2005-11-04 2012-10-24 セントラル硝子株式会社 含フッ素高分子コーティング用組成物、該コーティング用組成物を用いた含フッ素高分子膜の形成方法、ならびにフォトレジストまたはリソグラフィーパターンの形成方法。
US20070117040A1 (en) 2005-11-21 2007-05-24 International Business Machines Corporation Water castable-water strippable top coats for 193 nm immersion lithography
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
JP2007207530A (ja) 2006-01-31 2007-08-16 Toshiba Corp 異方性導電膜及びこれを用いたx線平面検出器、赤外線平面検出器及び表示装置
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7682659B1 (en) 2006-04-10 2010-03-23 The Regents Of The University Of California Fabrication of suspended carbon micro and nanoscale structures
WO2007123539A1 (en) 2006-04-20 2007-11-01 Dover Electronics Inc. Coating for harsh environments and sensors using same
KR100721206B1 (ko) 2006-05-04 2007-05-23 주식회사 하이닉스반도체 반도체소자의 스토리지노드 컨택 형성방법
US20070287073A1 (en) 2006-06-07 2007-12-13 Francis Goodwin Lithography systems and methods
JP2008010353A (ja) 2006-06-30 2008-01-17 Seiko Epson Corp マスクの製造方法、配線パターンの製造方法、及びプラズマディスプレイの製造方法
EP2047332A4 (en) 2006-07-10 2009-11-18 Pixelligent Technologies Llc RESISTS FOR PHOTOLITHOGRAPHY
US7534627B2 (en) 2006-08-07 2009-05-19 Sokudo Co., Ltd. Methods and systems for controlling critical dimensions in track lithography tools
US7718542B2 (en) 2006-08-25 2010-05-18 Lam Research Corporation Low-k damage avoidance during bevel etch processing
US7771895B2 (en) 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
JP2008091215A (ja) 2006-10-02 2008-04-17 Nitto Kasei Co Ltd 酸化錫膜形成剤、該酸化錫膜形成剤を用いる酸化錫膜形成方法、及び該形成方法により形成される酸化錫膜
FR2908137A1 (fr) 2006-11-02 2008-05-09 Lapeyre Sa Procede de depot de couche mince et produit obtenu
JP4428717B2 (ja) 2006-11-14 2010-03-10 東京エレクトロン株式会社 基板処理方法及び基板処理システム
JP5132920B2 (ja) 2006-11-22 2013-01-30 東京エレクトロン株式会社 塗布・現像装置および基板搬送方法、ならびにコンピュータプログラム
TW200903192A (en) 2007-01-17 2009-01-16 Sony Corp Developing solution and method for production of finely patterned material
JP5200276B2 (ja) 2007-03-30 2013-06-05 東京エレクトロン株式会社 インラインリソグラフィ及びエッチングシステム
KR101392291B1 (ko) 2007-04-13 2014-05-07 주식회사 동진쎄미켐 포토레지스트 조성물 및 이를 이용한 박막트랜지스터기판의 제조방법
US8105660B2 (en) 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
EP2203943A4 (en) 2007-10-12 2015-10-14 Omnipv Inc SOLAR MODULES WITH INCREASED EFFICIENCIES THROUGH THE USE OF SPECTRAL CONCENTRATORS
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR100921932B1 (ko) 2007-10-25 2009-10-15 포항공과대학교 산학협력단 다원자분자를 이용한 패터닝방법
SG153748A1 (en) 2007-12-17 2009-07-29 Asml Holding Nv Lithographic method and apparatus
US20100260994A1 (en) 2007-12-20 2010-10-14 Roland Groenen substrate coated with amorphous hydrogenated carbon
US8236476B2 (en) 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
US20090197086A1 (en) 2008-02-04 2009-08-06 Sudha Rathi Elimination of photoresist material collapse and poisoning in 45-nm feature size using dry or immersion lithography
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
JP4978501B2 (ja) 2008-02-14 2012-07-18 日本電気株式会社 熱型赤外線検出器及びその製造方法
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5017147B2 (ja) 2008-03-06 2012-09-05 東京エレクトロン株式会社 基板の処理方法、プログラム及びコンピュータ記憶媒体及び基板処理システム
US7985513B2 (en) 2008-03-18 2011-07-26 Advanced Micro Devices, Inc. Fluorine-passivated reticles for use in lithography and methods for fabricating the same
US7967995B2 (en) 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US20090286402A1 (en) 2008-05-13 2009-11-19 Applied Materials, Inc Method for critical dimension shrink using conformal pecvd films
JP2009294439A (ja) 2008-06-05 2009-12-17 Toshiba Corp レジストパターン形成方法
JP5171422B2 (ja) 2008-06-19 2013-03-27 ルネサスエレクトロニクス株式会社 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法
US20090321707A1 (en) 2008-06-25 2009-12-31 Matthew Metz Intersubstrate-dielectric nanolaminate layer for improved temperature stability of gate dielectric films
US20090325387A1 (en) 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
JP5391594B2 (ja) 2008-07-02 2014-01-15 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4966922B2 (ja) 2008-07-07 2012-07-04 東京エレクトロン株式会社 レジスト処理装置、レジスト塗布現像装置、およびレジスト処理方法
WO2010007955A1 (ja) 2008-07-14 2010-01-21 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク
JP2011529126A (ja) 2008-07-24 2011-12-01 コヴィオ インコーポレイテッド アルミニウムインク及びその製造方法、アルミニウムインクを堆積する方法、並びにアルミニウムインクの印刷及び/又は堆積により形成されたフィルム
US8435723B2 (en) 2008-09-11 2013-05-07 Nikon Corporation Pattern forming method and device production method
US9257142B2 (en) 2008-10-14 2016-02-09 Asahi Kasei E-Materials Corporation Heat-reactive resist material, layered product for thermal lithography using the material, and method of manufacturing a mold using the material and layered product
US8105954B2 (en) 2008-10-20 2012-01-31 aiwan Semiconductor Manufacturing Company, Ltd. System and method of vapor deposition
JP5225815B2 (ja) 2008-11-19 2013-07-03 東京エレクトロン株式会社 インターフェイス装置、基板を搬送する方法及びコンピュータ可読記憶媒体
US7977235B2 (en) 2009-02-02 2011-07-12 Tokyo Electron Limited Method for manufacturing a semiconductor device with metal-containing cap layers
JP4880004B2 (ja) 2009-02-06 2012-02-22 東京エレクトロン株式会社 基板処理システム
JP2010239087A (ja) 2009-03-31 2010-10-21 Tokyo Electron Ltd 基板支持装置及び基板支持方法
JP5193121B2 (ja) 2009-04-17 2013-05-08 東京エレクトロン株式会社 レジスト塗布現像方法
US8114306B2 (en) 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
US20100310790A1 (en) 2009-06-09 2010-12-09 Nanya Technology Corporation Method of forming carbon-containing layer
CN102405304A (zh) 2009-09-29 2012-04-04 东京毅力科创株式会社 Ni膜的成膜方法
EP2502268B1 (en) 2009-11-17 2018-10-24 Evatec AG Apparatus and method for processing a substrate
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
KR101810702B1 (ko) 2009-12-28 2017-12-19 아사히 가라스 가부시키가이샤 감광성 조성물, 격벽, 컬러 필터 및 유기 el 소자
US20110177694A1 (en) 2010-01-15 2011-07-21 Tokyo Electron Limited Switchable Neutral Beam Source
JP5544914B2 (ja) 2010-02-15 2014-07-09 大日本印刷株式会社 反射型マスクの製造方法
JP5003773B2 (ja) 2010-02-15 2012-08-15 東京エレクトロン株式会社 現像装置、現像方法及び記憶媒体
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
WO2011137059A2 (en) 2010-04-30 2011-11-03 Applied Materials, Inc. Amorphous carbon deposition method for improved stack defectivity
US8475674B2 (en) 2010-04-30 2013-07-02 Applied Materials, Inc. High-temperature selective dry etch having reduced post-etch solid residue
JP5392190B2 (ja) 2010-06-01 2014-01-22 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US8138097B1 (en) 2010-09-20 2012-03-20 Kabushiki Kaisha Toshiba Method for processing semiconductor structure and device based on the same
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8470711B2 (en) 2010-11-23 2013-06-25 International Business Machines Corporation Tone inversion with partial underlayer etch for semiconductor device formation
US20110147350A1 (en) 2010-12-03 2011-06-23 Uvtech Systems Inc. Modular apparatus for wafer edge processing
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
JP5572560B2 (ja) 2011-01-05 2014-08-13 東京エレクトロン株式会社 成膜装置、基板処理システム、基板処理方法及び半導体装置の製造方法
US8836082B2 (en) 2011-01-31 2014-09-16 Brewer Science Inc. Reversal lithography approach by selective deposition of nanoparticles
US8778816B2 (en) 2011-02-04 2014-07-15 Applied Materials, Inc. In situ vapor phase surface activation of SiO2
JP5708522B2 (ja) 2011-02-15 2015-04-30 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP5842338B2 (ja) 2011-02-17 2016-01-13 セイコーエプソン株式会社 波長可変干渉フィルター、光モジュール、および電子機器
WO2012118847A2 (en) 2011-02-28 2012-09-07 Inpria Corportion Solution processible hardmarks for high resolusion lithography
US8501499B2 (en) 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
US8532796B2 (en) 2011-03-31 2013-09-10 Tokyo Electron Limited Contact processing using multi-input/multi-output (MIMO) models
FR2975823B1 (fr) 2011-05-27 2014-11-21 Commissariat Energie Atomique Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
KR101295791B1 (ko) 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 설비 및 기판 처리 방법
WO2012173699A1 (en) * 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
EP2729844B1 (en) 2011-07-08 2021-07-28 ASML Netherlands B.V. Lithographic patterning process and resists to use therein
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
CN102610516B (zh) 2011-07-22 2015-01-21 上海华力微电子有限公司 一种提高光刻胶与金属/金属化合物表面之间粘附力的方法
EP2587518B1 (en) 2011-10-31 2018-12-19 IHI Hauzer Techno Coating B.V. Apparatus and Method for depositing Hydrogen-free ta C Layers on Workpieces and Workpiece
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
EP2783389B1 (en) 2011-11-21 2021-03-10 Brewer Science, Inc. Structure comprising assist layers for euv lithography and method for forming it
US8809994B2 (en) 2011-12-09 2014-08-19 International Business Machines Corporation Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
US20130177847A1 (en) 2011-12-12 2013-07-11 Applied Materials, Inc. Photoresist for improved lithographic control
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
EP2608247A1 (en) 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
JP5705103B2 (ja) 2011-12-26 2015-04-22 株式会社東芝 パターン形成方法
JP5383787B2 (ja) 2011-12-27 2014-01-08 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法及び基板処理装置
JP5919896B2 (ja) 2011-12-28 2016-05-18 住友ベークライト株式会社 硬化膜の処理方法および半導体装置の製造方法
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
KR101920711B1 (ko) 2012-01-16 2018-11-22 삼성전자주식회사 박막 패터닝 방법 및 이를 이용한 반도체소자의 제조방법
SG193093A1 (en) 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
US9048294B2 (en) 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
CN104284776B (zh) 2012-05-14 2016-01-06 柯尼卡美能达株式会社 气体阻隔性膜、气体阻隔性膜的制造方法及电子设备
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
JP6034598B2 (ja) 2012-05-31 2016-11-30 ギガフォトン株式会社 Euv光生成装置の洗浄方法
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US8969997B2 (en) 2012-11-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structures and methods of forming the same
US8927989B2 (en) 2012-11-28 2015-01-06 International Business Machines Corporation Voltage contrast inspection of deep trench isolation
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
JP5913077B2 (ja) 2012-12-18 2016-04-27 信越化学工業株式会社 ポジ型レジスト材料及びこれを用いたパターン形成方法
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US10240230B2 (en) 2012-12-18 2019-03-26 Seastar Chemicals Inc. Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
JP6134522B2 (ja) 2013-01-30 2017-05-24 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6068171B2 (ja) 2013-02-04 2017-01-25 株式会社日立ハイテクノロジーズ 試料の処理方法および試料処理装置
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
JP5871844B2 (ja) 2013-03-06 2016-03-01 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
KR102177738B1 (ko) 2013-03-08 2020-11-11 어플라이드 머티어리얼스, 인코포레이티드 불소 플라즈마에 대한 보호에 적합한 보호 코팅을 갖는 챔버 컴포넌트
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US10953441B2 (en) 2013-03-15 2021-03-23 Kla Corporation System and method for cleaning optical surfaces of an extreme ultraviolet optical system
US10074544B2 (en) 2013-04-23 2018-09-11 Massachusetts Institute Of Technology Developer free positive tone lithography by thermal direct write
JP6242095B2 (ja) 2013-06-28 2017-12-06 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
US20150020848A1 (en) 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9310684B2 (en) 2013-08-22 2016-04-12 Inpria Corporation Organometallic solution based high resolution patterning compositions
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9405204B2 (en) 2013-09-18 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of overlay in extreme ultra-violet (EUV) lithography
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
JP2016539361A (ja) 2013-11-08 2016-12-15 東京エレクトロン株式会社 Euvリソグラフィを加速するためのポスト処理メソッドを使用する方法
JP5917477B2 (ja) 2013-11-29 2016-05-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9139908B2 (en) 2013-12-12 2015-09-22 The Boeing Company Gradient thin films
US9305839B2 (en) 2013-12-19 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Curing photo resist for improving etching selectivity
US9324606B2 (en) 2014-01-09 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned repairing process for barrier layer
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
CN106030417B (zh) 2014-02-21 2020-02-28 东京毅力科创株式会社 光增感化学放大型抗蚀剂材料及使用了其的图案形成方法、以及半导体器件、光刻用掩模和纳米压印用模板的制造方法
TWI575566B (zh) 2014-02-24 2017-03-21 東京威力科創股份有限公司 與光敏化化學放大光阻化學品及程序一起使用的方法及技術
KR102233577B1 (ko) 2014-02-25 2021-03-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9977331B2 (en) 2014-02-26 2018-05-22 Nissan Chemical Industries, Ltd. Resist overlayer film forming composition and method for producing semiconductor device including the same
JP2015185594A (ja) 2014-03-20 2015-10-22 株式会社日立ハイテクノロジーズ エッチング装置
US10685846B2 (en) 2014-05-16 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit fabrication with pattern-reversing process
US9377692B2 (en) 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
KR101989707B1 (ko) 2014-07-08 2019-06-14 도쿄엘렉트론가부시키가이샤 네거티브톤 현상제 겸용 포토레지스트 조성물 및 이용 방법
GB201412201D0 (en) 2014-07-09 2014-08-20 Isis Innovation Two-step deposition process
US9451614B2 (en) 2014-07-21 2016-09-20 Qualcomm Incorporated System and methods for improving performance of a multi-SIM wireless device operating in single-SIM or multi-SIM standby mode
US20160041471A1 (en) 2014-08-07 2016-02-11 International Business Machines Corporation Acidified conductive water for developer residue removal
WO2016035549A1 (ja) 2014-09-02 2016-03-10 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法、レジスト組成物、及び、レジスト膜
KR20170059991A (ko) 2014-09-17 2017-05-31 제이에스알 가부시끼가이샤 패턴 형성 방법
US20160086864A1 (en) 2014-09-24 2016-03-24 Lam Research Corporation Movable gas nozzle in drying module
JP6314779B2 (ja) 2014-10-01 2018-04-25 東京エレクトロン株式会社 液処理方法、記憶媒体及び液処理装置
KR102319630B1 (ko) 2014-10-23 2021-10-29 인프리아 코포레이션 유기 금속 용액 기반의 고해상도 패터닝 조성물 및 상응하는 방법
JP6317232B2 (ja) 2014-10-29 2018-04-25 東京エレクトロン株式会社 選択成長方法および基板処理装置
US9609730B2 (en) 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9551924B2 (en) 2015-02-12 2017-01-24 International Business Machines Corporation Structure and method for fixing phase effects on EUV mask
WO2016144960A1 (en) 2015-03-09 2016-09-15 Air Products And Chemicals, Inc. Process for depositing porous organosilicate glass films for use as resistive random access memory
JP6404757B2 (ja) 2015-03-27 2018-10-17 信越化学工業株式会社 レジスト下層膜材料用重合体、レジスト下層膜材料、及びパターン形成方法
TWI625784B (zh) 2015-04-02 2018-06-01 東京威力科創股份有限公司 藉由雙頻率電容耦合式電漿利用極紫外線光阻劑之溝槽與孔的圖案化
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
EP3091103A1 (en) 2015-05-04 2016-11-09 Centre National De La Recherche Scientifique Process for obtaining patterned metal-oxide thin films deposited onto a substrate, filmed substrates obtained thereof, and semiconductor nanodevices comprising them
DE102015208492A1 (de) 2015-05-07 2016-11-10 Reiner Diefenbach Endlager für die Lagerung von radioaktivem Material, sowie Verfahren zu seiner Herstellung
JP6494417B2 (ja) 2015-05-20 2019-04-03 株式会社ディスコ プラズマエッチング装置
US9829790B2 (en) 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
WO2016209570A1 (en) 2015-06-26 2016-12-29 Applied Materials, Inc. Selective deposition of silicon oxide films
JP6817692B2 (ja) 2015-08-27 2021-01-20 東京エレクトロン株式会社 プラズマ処理方法
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10468249B2 (en) * 2015-09-28 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process of a semiconductor structure with a middle layer
EP4089482A1 (en) 2015-10-13 2022-11-16 Inpria Corporation Organotin oxide hydroxide patterning compositions, precursors, and patterning
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
KR102170659B1 (ko) 2015-11-25 2020-10-27 오사카 유니버시티 레지스트패턴 형성방법 및 레지스트재료
JP6603115B2 (ja) 2015-11-27 2019-11-06 信越化学工業株式会社 ケイ素含有縮合物、ケイ素含有レジスト下層膜形成用組成物、及びパターン形成方法
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
JP6517678B2 (ja) 2015-12-11 2019-05-22 株式会社Screenホールディングス 電子デバイスの製造方法
WO2017109040A1 (en) 2015-12-23 2017-06-29 Asml Netherlands B.V. Method for removing photosensitive material on a substrate
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
JP6742748B2 (ja) 2016-02-17 2020-08-19 株式会社Screenホールディングス 現像ユニット、基板処理装置、現像方法および基板処理方法
US10018920B2 (en) * 2016-03-04 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with a gas phase resist
GB201603988D0 (en) 2016-03-08 2016-04-20 Semblant Ltd Plasma deposition method
US10825684B2 (en) 2016-03-18 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
WO2017176282A1 (en) 2016-04-08 2017-10-12 Intel Corporation Two-stage bake photoresist with releasable quencher
TW201811876A (zh) 2016-04-28 2018-04-01 三菱瓦斯化學股份有限公司 阻劑下層膜形成用組成物、微影用下層膜、及、圖型形成方法
NL2018760A (en) 2016-05-19 2017-11-23 Asml Netherlands Bv Resist Compositions
US10378105B2 (en) 2016-05-31 2019-08-13 Tokyo Electron Limited Selective deposition with surface treatment
EP3258317B1 (en) 2016-06-16 2022-01-19 IMEC vzw Method for performing extreme ultra violet (euv) lithography
US9824893B1 (en) 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
WO2018004551A1 (en) 2016-06-28 2018-01-04 Intel Corporation Polysilane-, polygermane-, and polystannane-based materials for euv and ebeam lithography
WO2018004646A1 (en) 2016-07-01 2018-01-04 Intel Corporation Metal oxide resist materials
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
JP2018025686A (ja) 2016-08-10 2018-02-15 株式会社リコー 電界効果型トランジスタの製造方法、位置合わせ方法、露光装置
TWI804224B (zh) 2016-08-12 2023-06-01 美商英培雅股份有限公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
JPWO2018061670A1 (ja) 2016-09-29 2019-06-24 富士フイルム株式会社 処理液、および積層体の処理方法
KR101966808B1 (ko) 2016-09-30 2019-04-08 세메스 주식회사 기판 세정 조성물, 기판 처리 방법 및 기판 처리 장치
KR102614850B1 (ko) 2016-10-05 2023-12-18 삼성전자주식회사 반도체 소자 제조방법
US10755942B2 (en) 2016-11-02 2020-08-25 Massachusetts Institute Of Technology Method of forming topcoat for patterning
US10510538B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing EUV-induced material property changes
US10520821B2 (en) 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process with enhanced etch selectivity
JP6781031B2 (ja) 2016-12-08 2020-11-04 東京エレクトロン株式会社 基板処理方法及び熱処理装置
US9929012B1 (en) 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US10866511B2 (en) 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR102047538B1 (ko) 2017-02-03 2019-11-21 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
KR102722138B1 (ko) 2017-02-13 2024-10-24 램 리써치 코포레이션 에어 갭들을 생성하는 방법
US10096477B2 (en) 2017-02-15 2018-10-09 International Business Machines Corporation Method to improve adhesion of photoresist on silicon substrate for extreme ultraviolet and electron beam lithography
WO2018173446A1 (ja) 2017-03-22 2018-09-27 Jsr株式会社 パターン形成方法
KR102224236B1 (ko) 2017-03-27 2021-03-08 주식회사 히타치하이테크 플라스마 처리 방법
US20180308687A1 (en) 2017-04-24 2018-10-25 Lam Research Corporation Euv photopatterning and selective deposition for negative pattern mask
KR102030056B1 (ko) 2017-05-02 2019-11-11 세메스 주식회사 챔버 세정 방법, 기판 처리 방법, 그리고 기판 처리 장치
US10553409B2 (en) 2017-05-12 2020-02-04 Tokyo Electron Limited Method of cleaning plasma processing apparatus
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
CN108962986B (zh) 2017-05-18 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
JP6852566B2 (ja) 2017-05-26 2021-03-31 大日本印刷株式会社 パターン形成方法、凹凸構造体の製造方法、レプリカモールドの製造方法、レジストパターン改質装置及びパターン形成システム
US10954129B2 (en) 2017-06-08 2021-03-23 Applied Materials, Inc. Diamond-like carbon as mandrel
CA2975104A1 (en) 2017-08-02 2019-02-02 Seastar Chemicals Inc. Organometallic compounds and methods for the deposition of high purity tin oxide
JP6579173B2 (ja) 2017-09-19 2019-09-25 セイコーエプソン株式会社 電気光学装置、電気光学装置の駆動方法、及び、電子機器
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US20190131130A1 (en) * 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
KR102067081B1 (ko) 2017-11-01 2020-01-16 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴형성방법
US11098070B2 (en) 2017-11-20 2021-08-24 Inpria Corporation Organotin clusters, solutions of organotin clusters, and application to high resolution patterning
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US10727059B2 (en) 2017-12-01 2020-07-28 Applied Materials, Inc. Highly etch selective amorphous carbon film
WO2019111727A1 (ja) 2017-12-06 2019-06-13 Jsr株式会社 感放射線性組成物及びレジストパターン形成方法
WO2019125952A1 (en) 2017-12-18 2019-06-27 Tokyo Electron Limited Plasma treatment method to enhance surface adhesion for lithography
US10347486B1 (en) 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
KR102540963B1 (ko) 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
KR20190085654A (ko) 2018-01-11 2019-07-19 삼성전자주식회사 반도체 소자의 제조 방법
JP7005369B2 (ja) 2018-02-05 2022-01-21 キオクシア株式会社 薬液塗布装置および半導体デバイスの製造方法
CN111712765A (zh) 2018-02-13 2020-09-25 Asml荷兰有限公司 清洁euv腔室中的结构表面
WO2019163455A1 (ja) 2018-02-22 2019-08-29 株式会社ダイセル 基板親水化処理剤
US11450513B2 (en) 2018-03-30 2022-09-20 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
TWI814552B (zh) 2018-04-05 2023-09-01 美商英培雅股份有限公司 錫十二聚物及具有強euv吸收的輻射可圖案化塗層
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
JP7101036B2 (ja) 2018-04-26 2022-07-14 東京エレクトロン株式会社 処理液供給装置及び処理液供給方法
US20190348292A1 (en) 2018-05-10 2019-11-14 International Business Machines Corporation Transferring euv resist pattern to eliminate pattern transfer defectivity
EP3791231A4 (en) 2018-05-11 2022-01-26 Lam Research Corporation METHODS FOR MAKING EUV PATTERNABLE HARD MASKS
CN112204169A (zh) 2018-05-16 2021-01-08 应用材料公司 原子层自对准的基板处理和整合式成套工具
WO2019230462A1 (ja) 2018-05-29 2019-12-05 東京エレクトロン株式会社 基板処理方法、基板処理装置、及びコンピュータ読み取り可能な記録媒体
KR102211158B1 (ko) 2018-06-08 2021-02-01 삼성에스디아이 주식회사 반도체 레지스트용 조성물 및 이를 이용한 패턴 형성 방법
KR102753245B1 (ko) 2018-06-13 2025-01-10 브레우어 사이언스, 인코포레이션 Euv 리소그래피를 위한 접착층
US11054742B2 (en) 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
US11393703B2 (en) 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
TWI748196B (zh) 2018-06-21 2021-12-01 美商英培雅股份有限公司 單烷基錫烷氧化物的穩定溶液及其水解與縮合產物
US11249384B2 (en) 2018-06-29 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for EUV lithography and method of manufacturing the same
US11437238B2 (en) 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity
US11092889B2 (en) * 2018-07-31 2021-08-17 Samsung Sdi Co., Ltd. Semiconductor resist composition, and method of forming patterns using the composition
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
FI129480B (en) 2018-08-10 2022-03-15 Pibond Oy Silanol-containing organic-inorganic hybrid coatings for high-resolution patterning
US10838304B2 (en) 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
JP7241486B2 (ja) 2018-08-21 2023-03-17 東京エレクトロン株式会社 マスクの形成方法
JP7213642B2 (ja) 2018-09-05 2023-01-27 東京エレクトロン株式会社 レジスト膜の製造方法
TW202016279A (zh) 2018-10-17 2020-05-01 美商英培雅股份有限公司 圖案化有機金屬光阻及圖案化的方法
JP6816083B2 (ja) 2018-10-22 2021-01-20 キオクシア株式会社 半導体装置の製造方法
US11372332B2 (en) * 2018-10-26 2022-06-28 Tokyo Electron Limited Plasma treatment method to improve photo resist roughness and remove photo resist scum
US10845704B2 (en) 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
JP6597872B2 (ja) 2018-11-13 2019-10-30 東京エレクトロン株式会社 基板処理方法
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US12025919B2 (en) 2018-11-30 2024-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of storing photoresist coated substrates and semiconductor substrate container arrangement
CN109521657A (zh) 2018-12-11 2019-03-26 中国科学院光电技术研究所 一种表面等离子体光刻中小分子光刻胶的干法显影方法
KR102731166B1 (ko) 2018-12-20 2024-11-18 램 리써치 코포레이션 레지스트들의 건식 현상 (dry development)
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
US20220139717A1 (en) 2019-02-25 2022-05-05 Board Of Regents, The University Of Texas System Large area metrology and process control for anisotropic chemical etching
US12125711B2 (en) 2019-03-18 2024-10-22 Lam Research Corporation Reducing roughness of extreme ultraviolet lithography resists
KR102699733B1 (ko) 2019-04-12 2024-08-27 인프리아 코포레이션 유기금속 포토레지스트 현상제 조성물 및 처리 방법
WO2020223152A1 (en) 2019-04-29 2020-11-05 Lam Research Corporation Atomic layer etching for subtractive metal etch
KR20210149893A (ko) 2019-04-30 2021-12-09 램 리써치 코포레이션 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스
TWI869221B (zh) 2019-06-26 2025-01-01 美商蘭姆研究公司 利用鹵化物化學品的光阻顯影
US20220308462A1 (en) 2019-06-27 2022-09-29 Lam Research Corporation Apparatus for photoresist dry deposition
TW202449510A (zh) 2019-06-28 2024-12-16 美商蘭姆研究公司 光阻膜的乾式腔室清潔
EP3990983A4 (en) 2019-06-28 2023-07-26 Lam Research Corporation BAKING STRATEGIES TO INCREASE THE LITHOGRAPHIC PERFORMANCE OF A METAL CONTAINING RESIST
EP3990982A4 (en) 2019-06-28 2023-07-26 Lam Research Corporation PHOTORESIST WITH MULTIPLE STRUCTURING RADIATION ABSORBING ELEMENTS AND/OR A VERTICAL COMPOSITION GRADIENT
TWI862621B (zh) 2019-07-09 2024-11-21 荷蘭商Asm Ip私人控股有限公司 包括光阻底層之結構及其形成方法
US11782345B2 (en) 2019-08-05 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom antireflective coating materials
CN114730133A (zh) 2019-10-02 2022-07-08 朗姆研究公司 利用用于高性能euv光致抗蚀剂的高euv吸收剂的衬底表面改性
US20220299877A1 (en) 2019-10-08 2022-09-22 Lam Research Corporation Positive tone development of cvd euv resist films
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
US20230031955A1 (en) 2020-02-04 2023-02-02 Lam Research Corporation Post application/exposure treatments to improve dry development performance of metal-containing euv resist
JP2023515693A (ja) 2020-03-02 2023-04-13 インプリア・コーポレイション 無機レジストパターニング用のプロセス環境
WO2021202146A1 (en) 2020-03-30 2021-10-07 Lam Research Corporation Structure and method to achieve positive tone dry develop by a hermetic overlayer
US11705332B2 (en) 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US11822237B2 (en) 2020-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
CN115362414A (zh) 2020-04-03 2022-11-18 朗姆研究公司 用于增强euv光刻性能的暴露前光致抗蚀剂固化
US20230314954A1 (en) 2020-06-22 2023-10-05 Lam Research Corporation Dry backside and bevel edge clean of photoresist
JP2023530299A (ja) 2020-06-22 2023-07-14 ラム リサーチ コーポレーション 金属含有フォトレジスト堆積のための表面改質
US11621172B2 (en) 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
KR20220122745A (ko) 2020-07-07 2022-09-02 램 리써치 코포레이션 방사선 포토레지스트 패터닝을 패터닝하기 위한 통합된 건식 프로세스
CN116134383A (zh) 2020-07-17 2023-05-16 朗姆研究公司 用于含金属光致抗蚀剂的显影的金属螯合剂
WO2022016128A1 (en) 2020-07-17 2022-01-20 Lam Research Corporation Method of forming photo-sensitive hybrid films
WO2022016123A1 (en) 2020-07-17 2022-01-20 Lam Research Corporation Dry deposited photoresists with organic co-reactants
CN116134381A (zh) 2020-07-17 2023-05-16 朗姆研究公司 含钽光致抗蚀剂
KR20230051770A (ko) 2020-07-17 2023-04-18 램 리써치 코포레이션 Sn(ii) 전구체들로부터의 포토레지스트
CN115598943A (zh) 2020-11-13 2023-01-13 朗姆研究公司(Us) 用于干法去除光致抗蚀剂的处理工具
US11079682B1 (en) 2020-11-13 2021-08-03 Tokyo Electron Limited Methods for extreme ultraviolet (EUV) resist patterning development
US20230416606A1 (en) 2020-12-08 2023-12-28 Lam Research Corporation Photoresist development with organic vapor
JP2024507190A (ja) 2021-02-23 2024-02-16 ラム リサーチ コーポレーション ハロゲンおよび脂肪族含有有機スズフォトレジストおよびその方法
WO2022266140A1 (en) 2021-06-15 2022-12-22 Lam Research Corporation Dry development apparatus and methods for volatilization of dry development byproducts in wafers
WO2022265874A1 (en) 2021-06-17 2022-12-22 Tokyo Electron Limited Dry resist system and method of using
WO2023043599A1 (en) 2021-09-15 2023-03-23 Tokyo Electron Limited Hybrid development of euv resists
CN117980833A (zh) 2021-09-24 2024-05-03 因普利亚公司 高分辨率潜像处理、对比度增强和热显影以及用于处理的装置
TW202417971A (zh) 2022-07-01 2024-05-01 美商蘭姆研究公司 用於蝕刻停止阻遏之基於金屬氧化物的光阻之循環顯影
US20240027900A1 (en) 2022-07-22 2024-01-25 Tokyo Electron Limited Acid for Reactive Development of Metal Oxide Resists
JP2024017893A (ja) 2022-07-28 2024-02-08 東京エレクトロン株式会社 基板処理方法、プログラム及び基板処理装置
US20240045337A1 (en) 2022-08-03 2024-02-08 Tokyo Electron Limited Metal Oxide Resists for EUV Patterning and Methods for Developing the Same
US20240053684A1 (en) 2022-08-15 2024-02-15 Tokyo Electron Limited Cyclic Method for Reactive Development of Photoresists

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4241165A (en) * 1978-09-05 1980-12-23 Motorola, Inc. Plasma development process for photoresist
JPH07106224A (ja) * 1993-10-01 1995-04-21 Hitachi Ltd パターン形成方法
US6319654B1 (en) * 1999-05-06 2001-11-20 Hyundai Electronics Industries Process for forming a photoresist pattern by top surface imaging process
CN102027577A (zh) * 2008-05-15 2011-04-20 朗姆研究公司 选择性的感应双图案化
US20140263172A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Resist hardening and development processes for semiconductor device manufacturing
CN105047541A (zh) * 2014-01-31 2015-11-11 朗姆研究公司 结合真空的硬掩模工艺和装置
CN108780739A (zh) * 2016-03-11 2018-11-09 因普里亚公司 预图案化光刻模板、基于使用该模板的辐射图案化的工艺及形成该模板的工艺
TW201837066A (zh) * 2017-03-31 2018-10-16 日商富士軟片股份有限公司 圖案形成方法、電子器件的製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114628620A (zh) * 2022-03-15 2022-06-14 安徽熙泰智能科技有限公司 一种用于药液耐受性差的膜层的图形化方法
CN114628620B (zh) * 2022-03-15 2024-06-14 安徽熙泰智能科技有限公司 一种用于药液耐受性差的膜层的图形化方法
WO2023225046A1 (en) * 2022-05-18 2023-11-23 Inpria Corporation Radiation sensitive organotin compositions having oxygen heteroatoms in hydrocarbyl ligand

Also Published As

Publication number Publication date
KR20210095218A (ko) 2021-07-30
KR20240165480A (ko) 2024-11-22
TW202043927A (zh) 2020-12-01
US12211691B2 (en) 2025-01-28
WO2020132281A1 (en) 2020-06-25
US20220020584A1 (en) 2022-01-20
US20250112045A1 (en) 2025-04-03
KR102731166B1 (ko) 2024-11-18

Similar Documents

Publication Publication Date Title
KR102731166B1 (ko) 레지스트들의 건식 현상 (dry development)
CN114026501B (zh) 利用卤化物化学品的光致抗蚀剂显影
KR102757498B1 (ko) 포토레지스트 막들의 건식 챔버 세정
JP7618601B2 (ja) 複数のパターニング放射吸収元素および/または垂直組成勾配を備えたフォトレジスト
US12183604B2 (en) Integrated dry processes for patterning radiation photoresist patterning
US20230416606A1 (en) Photoresist development with organic vapor
CN115398347A (zh) 提高含金属euv抗蚀剂干式显影性能的涂敷/暴露后处理
WO2018200288A1 (en) Euv photopatterning and selective deposition for negative pattern mask
KR102680084B1 (ko) 금속-함유 포토레지스트의 재작업 (rework)
KR102725782B1 (ko) 에칭 정지 억제 (etch stop deterrence) 를 위한 금속 옥사이드 기반 포토레지스트의 순환적 현상
KR102792681B1 (ko) 단일 프로세스 챔버에서의 euv 패터닝을 위한 건식 현상 및 에칭 프로세스의 통합
US12278125B2 (en) Integrated dry processes for patterning radiation photoresist patterning
JP2025061090A (ja) 複数のパターニング放射吸収元素および/または垂直組成勾配を備えたフォトレジスト
KR20250006116A (ko) 단일 프로세스 챔버에서의 euv 패터닝을 위한 건식 현상 및 에칭 프로세스의 통합
KR20250034920A (ko) 금속-함유 포토레지스트에 대한 올-인-원 건식 현상
KR20240167939A (ko) 단일 프로세스 챔버에서의 euv 패터닝을 위한 건식 현상 및 에칭 프로세스의 통합

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination