[go: up one dir, main page]

CN113050361A - 制造半导体元件的方法 - Google Patents

制造半导体元件的方法 Download PDF

Info

Publication number
CN113050361A
CN113050361A CN202110234490.1A CN202110234490A CN113050361A CN 113050361 A CN113050361 A CN 113050361A CN 202110234490 A CN202110234490 A CN 202110234490A CN 113050361 A CN113050361 A CN 113050361A
Authority
CN
China
Prior art keywords
layer
mask
main pattern
euv
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202110234490.1A
Other languages
English (en)
Other versions
CN113050361B (zh
Inventor
廖啟宏
施柏铭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/111,421 external-priority patent/US20210335599A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113050361A publication Critical patent/CN113050361A/zh
Application granted granted Critical
Publication of CN113050361B publication Critical patent/CN113050361B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/56Organic absorbers, e.g. of photo-resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Bipolar Transistors (AREA)

Abstract

一种制造半导体元件的方法包括提供一第一基板且在该第一基板上形成一抗蚀剂层。在一些实施例中,该方法进一步包括对该抗蚀剂层执行一曝光制程。该曝光制程包括使该抗蚀剂层经由一介入遮罩曝光于一辐射源。在一些实例中,该介入遮罩包括一第二基板、形成于该第二基板上的一多层结构、形成于该多层结构上的一覆盖层,及安置于该覆盖层上的一吸收体层。在一些实施例中,该吸收体层包括一第一主图案区域及与该第一主图案区域隔开一定距离的一开口区域。在各种实例中,该方法进一步包括在执行该曝光制程之后,对该经曝光抗蚀剂层进行显影以形成一经图案化抗蚀剂层。

Description

制造半导体元件的方法
技术领域
本揭露是有关于一种制造半导体元件的方法。
背景技术
电子行业对较小且较快的电子装置的需求不断增长,这些电子装置同时能够支援数目愈加大的愈加复杂且精密的功能。因此,半导体工业中存在制造低成本、高效能及低功率的集成电路(integrated circuit;IC)的持续趋势。迄今为止,通过缩小半导体IC的尺寸(例如,最小特征大小)且由此提高生产效率且降低相关联成本,在很大程度上已经达成这些目标。然而,此类缩放亦已对半导体制造制程引入增大的复杂性。因此,实现半导体IC及装置的持续发展要求在半导体制造制程及技术上有类似的发展。
仅作为一个实例,半导体光微影制程可使用光微影模板(例如,光遮罩或光罩)来将图案光学转印至基板上。可例如通过将辐射源经由介入光遮罩或光罩投射至具有光敏材料(例如,光阻)涂层的基板上来完成此过程。可通过此种光微影制程经图案化最小特征大小受到投射辐射源的波长的限制。鉴于此,已经引入包括EUV光遮罩(“遮罩”)的极紫外(extreme ultraviolet;EUV)辐射源及光微影制程。然而,EUV遮罩可能会随着使用而劣化,从而导致不良的图案转印,其可能导致装置及/或电路劣化或故障。
因此,现有技术尚未在所有方面被证明皆是完全令人满意的。
发明内容
一种制造半导体元件的方法,包含:提供第一基板;在第一基板上形成抗蚀剂层;对抗蚀剂层执行曝光制程,其中曝光制程包括经由介入遮罩将该抗蚀剂层曝光于辐射源,且其中介入遮罩包括:第二基板、多层结构、覆盖层以及吸收体层。多层结构形成于第二基板上,覆盖层形成于多层结构上,吸收体层安置于覆盖层上,其中吸收体层包括第一主图案区域及与第一主图案区域间隔开的开口区域;以及在执行曝光制程之后,对经曝光抗蚀剂层进行显影以形成经图案化抗蚀剂层。
一种制造半导体元件的方法,包含:在半导体基板上沉积第一材料层,其中第一材料层包括硬式遮罩;在第一材料层上形成第二材料层,其中第二材料层包括光阻层;以及使用包括EUV遮罩的极紫外(EUV)光微影系统对光阻层进行曝光,其中EUV遮罩包括多层结构、形成于多层结构上的覆盖层及形成于覆盖层上的吸收体层,且其中吸收体层包括主图案区域及与主图案区域隔开一定距离的开口区域。
一种制造半导体元件的方法,包含:制造极紫外(EUV)遮罩,其中制造EUV遮罩包括在安置于基板上的多层结构上形成覆盖层、在覆盖层上形成吸收体层及对吸收体层进行图案化以形成主图案区域及与主图案区域隔开一定距离的开口区域,其中主图案区域与开口区域同时图案化;以及使用EUV遮罩执行光微影制程,其中光微影制程将图案自EUV遮罩转印至半导体基板。
附图说明
当与附图一起阅读时,根据以下详细描述可最佳地理解本揭露的各态样。注意,根据行业中的标准实务,各种特征未按比例绘制。实际上,为了论述清楚起见,可能任意增大或减小各种特征的尺寸。
图1为根据一些实施例的光微影系统的示意图;
图2为根据一些实施例的EUV遮罩的横截面;
图3A为包括在主要图案区域之间的未经图案化区的遮罩的俯视图,且图3B为其横截面图;
图4A为根据一些实施例的包括在主图案区域之间的开口区域的遮罩的俯视图,且图4B为其横截面图;
图5、图6、图7、图8、图9、图10及图11提供根据一些实施例的具有在开口区域内形成的各种不同开口的遮罩的俯视图;
图12为根据本揭露的一或多个态样的用于制造及使用EUV遮罩的简化方法的流程图;
图13为根据本揭露的一或多个态样的用于制造半导体元件的方法的流程图;及
图14A、图14B、图14C、图14D及图14E提供根据图13的方法的一些实施例构造的处于各个制造阶段的半导体元件的横截面图。
【符号说明】
100:光微影系统
102:辐射源
104:照明器
106:遮罩台
108,302,402,502,602,702,802,902,1002,1102:遮罩
110:投射光学件
112:光瞳相位调变器
114:投射光瞳平面
116:半导体基板
118:基板台
202,310,410:基板
203:背侧涂层
204,312,412:多层结构
206,314,414:覆盖层
208:吸收体
210:抗反射涂层
304:第一主图案区域
306:第二主图案区域
308:未经图案化区
316,416:吸收体层
320,420:氢气
322,422:EUV光
324,424:氢离子
326,426:界面
328:气泡
404:第一主图案区域
406:第二主图案区域
408:开口区域
411,604:开口
504:圆形开口
704,804,1004:矩形开口
904:第一矩形开口
906:第二矩形开口
1104:第一类型的开口
1106:第二类型的开口
1108:第三类型的开口
1200,1300:方法
1202,1204,1302,1304,1306,1308,1310:区块
1400:装置
1402:基板
1404:底层
1404’:经图案化硬式遮罩层
1406:抗蚀剂层
1406’:经图案化抗蚀剂层
1406a:未曝光部分
1406b:曝光部分
D1,D2,D3:距离
L1,L2,L3,L4,L5,L6,Lx:长度
S,S1:间隔
W:宽度
X,Y:方向
具体实施方式
以下揭示内容提供用于实施所提供的主题的不同特征的许多不同实施例或实例。下文描述组件及配置的特定实例以简化本揭露。当然,这些仅为实例,且并不旨在进行限制。举例而言,在下文的描述中,在第二特征之上或上的第一特征的形成可包括其中第一特征与第二特征直接接触地形成的实施例,且亦可包括其中在第一特征与第二特征之间形成额外特征,使得第一特征与第二特征可能不直接接触的实施例。另外,本揭露可能在各个实例中重复参考数字及/或字母。此重复是出于简单及清楚的目的,且其本身并不指示所论述的各种实施例及/或组态之间的关系。
此外,本文中可使用空间相对术语,诸如“在...下方”、“在...之下”、“下部”、“在...上”、“上部”等,以便于描述一个元件或特征与另一(些)元件或特征的关系(如图中所说明)。除了在图中描述的定向之外,空间相对术语亦意欲涵盖装置在使用或操作中的不同定向。设备可以其他方式定向(旋转90度或以其他定向),且本文中使用的空间相对描述语可同样相应地解释。另外,贯穿本揭露,术语“遮罩”、“光遮罩”与“光罩”可互换使用以指代光微影模板,诸如EUV遮罩。
图1说明根据一些实施例的光微影系统100的示意图。光微影系统100亦可泛称为扫描仪,其可操作以执行光微影制程,包括利用各别辐射源以特定的曝光模式进行曝光。在至少一些本揭露实施例中,光微影系统100包括经设计以通过EUV光对抗蚀剂层进行曝光的极紫外(extreme ultraviolet;EUV)光微影系统。因此,在各种实施例中,抗蚀剂层包括对EUV光敏感的材料(例如,EUV抗蚀剂)。图1的光微影系统100包括:多个子系统,诸如辐射源102、照明器104、用以接收遮罩108的遮罩台106、投射光学件110及用以接收半导体基板116的基板台118。可如下给出光微影系统100的操作的大体描述:来自辐射源102的EUV光被引导向照明器104(其包括一组反射镜)且投射至反射遮罩108上。反射的遮罩影像被引导向投射光学件110,其聚焦EUV光且将EUV光投射至半导体基板116上以对沉积在其上的EUV抗蚀剂层进行曝光。另外,在各种实例中,光微影系统100的每一子系统可容纳在高真空环境中且因此在高真空环境中操作,例如以减少EUV光的大气吸收。
在本文描述的实施例中,辐射源102可用于产生EUV光。在一些实施例中,辐射源102包括电浆源,诸如放电产生电浆(discharge produced plasma;DPP)或激光产生电浆(laser produced plasma;LPP)。在一些实例中,EUV光可包括波长范围自约1nm至约100nm的光。在一个特定实例中,辐射源102产生具有以约13.5nm为中心的波长的EUV光。因此,辐射源102亦可称为EUV辐射源102。在一些实施例中,辐射源102亦包括收集器,其可用于收集自电浆源产生的EUV光,且将EUV光引导向成像光学件,诸如照明器104。
如上所述,来自辐射源102的光被引导向照明器104。在一些实施例中,照明器104可包括反射光学件(例如,用于EUV光微影系统100),诸如单个反射镜或具有多个反射镜的反射镜系统,以便将来自辐射源102的光引导至遮罩台106上,且特别是引导至固定在遮罩台106上的遮罩108上。在一些实例中,照明器104可包括波带片,例如以改良EUV光的聚焦。在一些实施例中,照明器104可用以根据特定光瞳形状来使穿过其中的EUV光成形,且包括例如偶极形状、四极形状、环形形状、单光束形状、多光束形状及/或其组合。在一些实施例中,照明器104可操作以组态(即,照明器104的)反射镜以向遮罩108提供所要照明。在一个实例中,照明器104的反射镜可用以将EUV光反射至不同的照明位置。在一些实施例中,照明器104之前的平台可另外包括其他可组态的反射镜,其可用于将EUV光引导至照明器104的反射镜内的不同照明位置。在一些实施例中,照明器104用以向遮罩108提供同轴照明(on-axis illumination;ONI)。在一些实施例中,照明器104用以向遮罩108提供离轴照明(off-axis illumination;OAI)。应注意,EUV光微影系统100中使用的光学件,尤其是用于照明器104及投射光学件110的光学件,可包括具有多层薄膜涂层的反射镜,其称为布拉格反射器。作为实例,此种多层薄膜涂层可包括Mo与Si的交替层,其在EUV波长(例如,约13nm)下提供高反射率。
如上所论述,光微影系统100亦包括用以固定遮罩108的遮罩台106。由于光微影系统100可容纳在高真空环境中且因此在高真空环境中操作,因此遮罩台106可包括静电卡盘(electrostatic chuck;e-chuck)以固定遮罩108。如同EUV光微影系统100的光学件,遮罩108亦为反射性的。遮罩108的细节在下文参考图2的实例更详细地论述。如图1所说明,光自遮罩108反射且引导向投射光学件110,其收集自遮罩108反射的EUV光。作为实例,由投射光学件110收集的EUV光(自遮罩108反射)携带由遮罩108界定的图案的影像。在各个实施例中,投射光学件110提供用于将遮罩108的图案成像至固定在光微影系统100的基板台118上的这些半导体基板116上。详言之,在各个实施例中,投射光学件110聚焦所收集的EUV光且将EUV光投射至半导体基板116上,以对沉积在半导体基板116上的EUV抗蚀剂层进行曝光。如上所述,投射光学件110可包括反射光学件,如在诸如光微影系统100的EUV光微影系统中所使用。在一些实施例中,照明器104及投射光学件110统称为光微影系统100的光学模块。
在一些实施例中,光微影系统100进一步包括光瞳相位调变器112,以调变自遮罩108引导的EUV光的光学相位,使得该光具有沿着投射光瞳平面114的相位分布。在一些实施例中,光瞳相位调变器112包括用于调谐投射光学件110的反射镜以进行相位调变的机构。举例而言,在一些实施例中,投射光学件110的反射镜可用以反射穿过光瞳相位调变器112的EUV光,从而调变穿过投射光学件110的光的相位。在一些实施例中,光瞳相位调变器112利用置放在投射光瞳平面114上的光瞳滤波器。作为实例,可使用光瞳滤波器来滤出自遮罩108反射的EUV光的特定空间频率分量。在一些实施例中,光瞳滤波器可用作相位光瞳滤波器,其调变经由投射光学件110引导的光的相位分布。
如上所论述,光微影系统100亦包括基板台118,以固定待图案化的半导体基板116。在各种实施例中,半导体基板116包括半导体晶圆,诸如硅晶圆、锗晶圆、硅锗晶圆、III-V晶圆或此项技术中已知的其他类型的晶圆。半导体基板116可涂布有对EUV光敏感的抗蚀剂层(例如,EUV抗蚀剂层)。EUV抗蚀剂可能具有严格的效能标准。为了说明的目的,可将EUV抗蚀剂设计成提供至少约22nm的解析度、至少约2nm的线宽粗糙度(line-widthroughness;LWR),且具有至少约15mJ/cm2的灵敏度。在本文描述的实施例中,光微影系统100的各种子系统(包括上述彼等子系统)整合且可操作以执行包括EUV光微影制程的光微影曝光制程。确信,光微影系统100可进一步包括可与本文所述的子系统或组件中的一或多者整合(或耦接至其)的其他模块或子系统。
返回至遮罩108且参考图2的实例,其中说明图1的EUV遮罩108的实例横截面。如图2所示,EUV遮罩108可包括具有背侧涂层203、多层结构204、覆盖层206及具有抗反射涂层(anti-reflective coating;ARC)210的一或多个吸收体208的基板202。在一些实施例中,基板202包括低热膨胀材料(low thermal expansion material;LTEM)基板(例如,诸如掺杂有TiO2的SiO2),且背侧涂层203包括氮化铬(CrxNy)层。在一些实例中,基板202具有约6.3mm至6.5mm的厚度。在一些实例中,背侧涂层203具有约70至100nm的厚度。作为实例,多层结构204可包括例如使用离子沉积技术沉积在基板202的顶部上的钼-硅(molybdenum-silicon;Mo-Si)多层。在一些实施例中,多层结构204具有约250至350nm的厚度,且在一些实例中,每一Mo-Si层对具有约3nm(对于Mo层)及约4nm(对于Si层)的厚度。在各种实施例中,覆盖层206包括钌(ruthenium;Ru)覆盖层,其在一些实例中可具有约2.5nm的厚度。在一些实施例中,覆盖层206可包括具有约4nm的厚度的Si覆盖层。覆盖层206可帮助保护多层结构204(例如,在遮罩108的制造期间),且亦可用作后续吸收体层蚀刻制程的蚀刻停止层。在一些实施例中,吸收体208可包括例如TaBO层、TaBN层、TaBO/TaBN层、TaxNy层、TaxByOzNu层或其组合,其可具有约50至75nm的厚度且用以吸收EUV光(例如,具有约13.5nm的波长)。在一些实例中,吸收体208可使用其他材料,诸如Al、Cr、Ta及W,等等。在一些实例中,ARC层210包括TaxByOzNu层、HfxOy层或SixOyNz层中的至少一者。尽管已经给出可用于基板202、背侧涂层203、多层结构204、覆盖层206、吸收体208及ARC层210中的每一者的材料的一些实例,但应理解,在不脱离本揭露的范围的情况下,可同等地使用此项技术中已知的其他合适材料。
为了说明的目的,本文描述遮罩108的例示性制造方法。在一些实施例中,制造制程包括两个处理阶段:(1)遮罩坯料制造制程,及(2)遮罩图案化制程。在遮罩坯料制造制程期间,通过在合适的基板(例如,具有平坦、无缺陷表面的LTEM基板)上沉积合适的层(例如,反射性多层,诸如Mo-Si多层)来形成遮罩坯料。在各种实施例中,遮罩坯料的表面粗糙度小于约50nm。作为实例,在多层涂布的基板上形成覆盖层(例如,钌),接着沉积吸收体层。接着可对遮罩坯料进行图案化(例如,对吸收体层进行图案化),以在遮罩108上形成所需图案。在一些实施例中,可在图案化遮罩坯料之前在吸收体层上沉积ARC层。接着,可使用经图案化遮罩108来将电路及/或装置图案转印至半导体晶圆上。在各种实施例中,由遮罩108界定的图案可通过各种光微影制程反复地转印至多个晶圆上。另外,一组遮罩(诸如遮罩108)可用于构造完整的集成电路(integrated circuit;IC)装置及/或电路。
在各种实施例中,遮罩108(如上所述)可制造成包括不同的结构类型,诸如二元强度遮罩(binary intensity mask;BIM)或相移遮罩(phase-shifting mask;PSM)。说明性BIM包括不透明吸收区及反射区,其中BIM包括待转印至半导体基板116的图案(例如,IC图案)。不透明吸收区包括吸收体,如上所述,其用以吸收入射光(例如,入射EUV光)。在反射区中,吸收体已移除(例如,在上述的遮罩图案化制程期间),且入射光由多层反射。另外,在一些实施例中,遮罩108可包括PSM,其利用由穿过其的光的相位差产生的干涉。PSM的实例包括交替PSM(alternating PSM;AltPSM)、衰减PSM(attenuated PSM;AttPSM)及无铬PSM(chromeless PSM;cPSM)。作为实例,AltPSM可包括安置于每一经图案化遮罩特征的任一侧上的(相反相位的)移相器。在一些实例中,AttPSM可包括具有大于零的透射率(例如,具有约6%的强度透射率的Mo-Si)的吸收体层。在一些情况下,例如,由于cPSM在遮罩上不含移相器材料或铬,因此cPSM可描述为100%透射率的AltPSM。
如上所述,遮罩108包括经图案化影像,该经图案化影像可用于通过光微影系统100将电路及/或装置图案转印至半导体晶圆(例如,半导体基板116)上。为了自经图案化遮罩108至半导体基板116达成高保真度的图案转印,光微影制程应无缺陷。在一些情况下,遮罩缺陷可能以颗粒形式出现,这些颗粒可能会无意沉积在覆盖层的表面,且若不移除,则可能导致光微影转印图案的劣化。可通过多种方法中的任一者来引入颗粒,诸如在化学机械抛光(chemical mechanical polishing;CMP)制程、清洁过程期间及/或在EUV遮罩108的处置期间。用于自反射性EUV遮罩(例如,遮罩108)避免及/或移除颗粒污染物的至少一些现有方法包括湿式化学制程以清洁遮罩。替代或除了遮罩清洁技术之外,可在EUV遮罩上使用防护膜以用作保护罩,该保护罩保护遮罩免受损坏及/或污染颗粒影响。作为实例,防护膜悬垂(例如,通过附接至EUV遮罩的框架)而与遮罩的经图案化表面相距一定距离(例如,几毫米),同时保持在经图案化表面与待图案化晶圆之间的光路内,使得落在防护膜上(例如,而非遮罩的经图案化表面上)的任何颗粒远离投射光学件110的焦平面,且因此不会成像至目标半导体晶圆上。
在其他情况下,在光微影制程期间可能会出现遮罩缺陷。举例而言,在至少一些EUV光微影制程中,在EUV曝光制程期间可能发生氢离子及/或氦植入引起的表面起泡及EUV遮罩的层分裂。在各种实例中,表面起泡导致EUV遮罩吸收体层的膜膨胀及吸收体层自下伏覆盖层的剥离,从而导致有缺陷的EUV遮罩。与目标图案相比,使用有缺陷的EUV遮罩转印至基板上的图案可能具有低保真度,从而导致装置及/或电路劣化或故障。因此,可替代地报废此种有缺陷的EUV遮罩。因此,现有技术尚未在所有方面被证明是完全令人满意的。
为了进一步说明可在EUV光微影制程期间形成遮罩缺陷的制程,参考图3A/图3B,其说明例示性遮罩302。详言之,图3A提供遮罩302的俯视图,且图3B提供遮罩302的沿着基本平行于图3A的截面A-A'的平面的横截面图。在一些方面,遮罩302可类似于上文参考图2所描述的遮罩108。举例而言,遮罩302可包括基板310、多层结构312、覆盖层314及吸收体层316,其可分别与如上所述的基板202、多层结构204、覆盖层206及吸收体208基本相同。在一些实例中,遮罩302包括第一主图案区域304及第二主图案区域306。作为实例,第一主图案区域304、第二主图案区域306包括若干区,其中吸收体层316已经图案化以例如界定各种特征(例如,作为半导体元件及/或电路的一部分),以作为光微影制程的一部分使用遮罩302转印至半导体晶圆。
如图所示,遮罩302亦包括在第一主图案区域304、第二主图案区域306之间的未经图案化区308,其中吸收体层316尚未经图案化。在至少一些技术中,在遮罩302的这些未经图案化区308内,可能发生遮罩的表面起泡及层分裂。举例而言且参考图3B,EUV光微影曝光可在包括环境氢气(H2)320的真空环境中执行。在一些情况下,来自曝光制程的EUV光322可与氢气320相互作用以产生氢离子324(例如,H-或H+)。所产生的氢离子324接着可渗透至吸收体层316中且经由其扩散。当扩散的氢离子324到达吸收体层316与覆盖层314之间的界面326时,氢离子324可与覆盖层314内的金属层(例如,诸如Ru)反应以形成氢气(H2)320。结果,在界面326处形成的氢气320可能积聚在覆盖层314与吸收体层316之间,且导致吸收体层316的表面突出且形成气泡328。气泡328又可能导致覆盖层314与吸收体层316自彼此剥离。因此,遮罩302可能报废。
尽管已经参考氢气(H2)320与EUV光322相互作用来描述以上实例,但此种表面起泡及层分裂亦可能由于氦离子植入而发生。举例而言,在一些情况下,在氦离子束光微影制程期间引入的氦离子可类似地渗透至吸收体层316中且积聚在界面326处,从而形成气泡328。虽然图3A/图3B的实例展示且描述为具有在第一主图案区域304、第二主图案区域306之间的未经图案化区308内形成表面起泡,但应理解,此类实例不意欲为限制性的,且亦可能在第一主图案区域304、第二主图案区域306的其他侧上的其他未经图案化区308中发生表面起泡。
本揭露的实施例提供优于现有技术的优点,但应理解,其他实施例可提供不同的优点,在本文中不必论述所有优点,且所有实施例并不需要特定优点。举例而言,本揭露的实施例提供一种EUV遮罩及相关方法,其经设计以解决如上所述的至少一些现有技术的缺点。举例而言,在各种实施例中,EUV遮罩包括在吸收体层内的一或多个开口,其中该一或多个开口与主图案区域隔开。作为实例,这些开口可曝露下伏覆盖层,且这些开口可安置成与主图案区域相距足够的距离,使得主图案区域不会受到该一或多个开口的影响。如上所述,主图案区域可包括若干区,其中吸收体层已经图案化以界定各种特征(例如,作为装置及/或电路的一部分),以作为光微影制程的一部分转印至半导体晶圆。因此,在一些实施例中,本文揭示的一或多个开口可安置成与主图案区域相距足够的距离,以使得由主图案区域界定的特征可以高保真度转印至半导体晶圆上(例如,通过EUV光微影制程),而基本上不会受到一或多个开口的影响。
在各种实施例中,在吸收体层中添加一或多个开口且远离主图案区域提供减少的热膜膨胀(例如,此导致表面起泡及层分裂)。因此,本文揭示的遮罩结构能够减少及/或消除至少一些制程中面临的起泡及剥离问题。在一些实例中,EUV遮罩包括主图案区域及开口区域,其中开口区域包括一或多个开口。在各种实施例中,开口区域包括至少一个开口,且开口区域内的每一开口穿透EUV遮罩吸收体层且曝露下伏覆盖层,其中覆盖层可包括Ru。在一些实施例中,可通过增大开口区域内的开口的大小及数目来进一步减小EUV遮罩的热膨胀。作为实例,开口区域内的开口提供氢气向大气的释放,而在吸收体层与覆盖层之间无氢气的积聚。此外,且在一些实施例中,开口区域中的一或多个开口与主图案区域中形成的特征可同时形成。熟悉此项技术者将认识到如本文所述的方法及结构的其他益处及优点,且所描述的实施例并不意欲使限制超出所附权利要求书中所具体叙述的内容。
现在参考图4A/图4B,其中说明根据各种实施例的例示性遮罩402,其包括形成于开口区域内的一或多个开口。详言之,图4A提供遮罩402的俯视图,且图4B提供遮罩402的沿着基本平行于图4A的截面B-B'的平面的横截面图。在一些方面,遮罩402可类似于上文参考图2描述的遮罩108,且遮罩402可用于光微影系统中,诸如上文参考图1论述的光微影系统100。在一些实例中,遮罩402可包括基板410、多层结构412、覆盖层414及吸收体层416,其可分别与如上所述的基板202、多层结构204、覆盖层206及吸收体208基本相同。在一些实施例中,遮罩402亦可包括背侧涂层(例如,诸如背侧涂层203)及ARC层(例如,诸如ARC层210)。在一些实例中,遮罩402包括第一主图案区域404及第二主图案区域406,其可类似于上文论述的主图案区域304、306。因此,主图案区域404、406可包括若干区,其中吸收体层416已经图案化,以例如界定各种特征(例如,作为装置及/或电路的一部分),以作为光微影制程的一部分使用遮罩402转印至半导体晶圆。
与包括在主图案区域之间的未经图案区(例如,诸如未经图案区308)的至少一些遮罩相比,且在一些实施例中,遮罩402包括在第一主图案区域404、第二主图案区域406之间且远离第一主图案区域404、第二主图案区域406的开口区域408。与第一主图案区域404、第二主图案区域406相比,且在一些实施例中,开口区域408可不必界定形成半导体元件及/或电路的一部分的特征。将理解,开口区域不必一定安置于两个主图案区域之间。举例而言,在一些情况下,开口区域可具有安置在开口区域的一侧上而不安置在开口区域的另一侧上的主图案区域。此外,在一些实例中,多个开口区域(各自具有至少一个开口)可安置于遮罩的不同部分内,只要每一开口区域与相邻的主图案区域相隔足够的距离即可,如本文进一步描述。开口区域408及遮罩402上的任何其他开口区域包括在遮罩402的吸收体层416内的至少一个开口,该至少一个开口曝露下伏覆盖层414。如图所示,且在一些实例中,开口区域408与第一主图案区域404的距离为D1,且与第二主图案区域406的距离为D2。在一些实施例中,距离D1与距离D2相同。然而,在一些情况下,距离D1可能与距离D2不同。在一些实例中,距离D1及D2中的每一者可小于或等于约5微米。通过在开口区域408与各别第一主图案区域404、第二主图案区域406之间提供距离D1及D2,第一主图案区域404、第二主图案区域406将不受开口区域408内形成的开口的影响。换言之,开口区域408与各别第一主图案区域404、第二主图案区域406之间的距离D1及D2确保由第一主图案区域404、第二主图案区域406界定的特征可使用遮罩402以高保真度转印至半导体晶圆(例如,通过EUV光微影制程),而基本上不会受到在开口区域408内形成的开口的影响。
根据各种实施例,在开口区域408内形成的开口提供向大气的氢气释放,而在吸收体层与覆盖层之间无氢气的积聚。因此,所形成的开口提供减少的热膜膨胀,且减少及/或消除表面起泡及层分裂。为了说明的目的,参考图4B,其展示使用包括开口区域408且具有开口411的遮罩402的EUV光微影曝光制程。应注意,开口411的数目以及开口411的形状及大小仅为例示性的,且形成于开口区域408内的开口可包括具有各种形状及大小的多个开口中的任意数目个开口,如下文更详细地论述。在一些实施例中,EUV曝光制程在包括环境氢气(H2)420的真空环境中执行。在一些情况下,来自曝光制程的EUV光422可与氢气420相互作用以产生氢离子424(例如,H-或H+)。所产生的氢离子424中的至少一些可渗透至开口区域408内的经图案化吸收体层416的部分,且在其中扩散以到达吸收体层416与覆盖层414之间的界面426。或者,所产生的氢离子424中的一些可行进穿过开口411,以直接到达覆盖层414的曝露表面,而不穿过经图案化吸收体层416。无关于氢离子424如何到达覆盖层414(例如,通过扩散穿过经图案化吸收体层416的部分或直接穿过开口411),氢离子424可与覆盖层414内的金属层(例如,Ru)反应以形成氢气(H2)420。在一些实施例中,形成于覆盖层414的曝露表面上的氢气420可经由开口411直接释放至大气中。在一些情况下,形成于吸收体层416与覆盖层414之间的界面426处的氢气420可扩散至相邻开口411,而非在界面426处积聚,且接着经由相邻开口411释放至大气中。因此,通过使用包括开口区域408(其中已由于在开口区域408内形成开口而减小吸收体层416的表面区域覆盖)的遮罩402,减少及/或消除覆盖层与吸收体层之间的氢气(及/或氦气)积聚,从而减少及/或消除表面起泡及层分裂。
如上所述,在包括环境氢气420的真空环境中执行在光微影系统100(在其内将遮罩402固定至遮罩台106)中执行的EUV曝光制程。在各种实施例中,光微影系统100内的氢气420可定期地,且在一些情况下连续地自光微影系统100冲洗或吹扫以移除颗粒且维持系统的纯度。因此,在各种实施例中,氢气420(无关于是经由开口411直接释放至大气中抑或扩散至相邻开口411以待经由相邻开口411释放至大气中)可作为已知光微影系统100冲洗或吹扫的一部分被冲洗。然而,无关于氢气420的存在与否,EUV曝光制程皆可继续执行,此是因为EUV曝光制程通常在此类氢气420环境中执行。最值得注意地,且根据本揭露的实施例,如本文所论述,开口区域408内的开口将防止或显著减少氢气420在覆盖层与吸收体层之间的积聚。
如上所述,在开口区域(例如,诸如开口区域408)内可存在任意数目个多个开口,其中每一开口具有多个各种形状及大小中的任一者。在一些实施例中,开口的面积愈大,氢气释放愈好(例如,通过氢离子与覆盖层金属反应形成的氢气的释放)。另外,开口的几何设计及尺寸不限于任何特定的几何设计及/或尺寸,只要开口保持在开口区域内,且只要主图案区域不受开口区域内的开口影响即可。在一些实施例中,自俯视图(例如,类似于图4A所示的视图)的角度来看,开口区域内的开口可包括多种形状中的任一者,诸如圆形、椭圆形、矩形、正方形、三角形、四边形、平行四边形、菱形、梯形、五边形、六边形或其他所需形状。在一些实例中,主图案区域与开口区域之间的距离,或主图案区域与开口区域内的最近开口之间的距离可小于或等于约5微米。而且,在一些实施例中,开口区域内的个别开口的宽度可小于或等于约20nm。可在开口区域内形成的开口的额外细节及实例在下文参考图5至图11更详细地描述。
详言之,图5至图11说明可在开口区域(例如,诸如开口区域408)内形成的开口的各种形状、遮罩布局及尺寸的例示性实施例。将理解,所展示及论述的实例仅为例示性的且不意欲为限制性的,且在不脱离本揭露的范围的情况下,可等同地使用开口的其他形状、布局及大小。举例而言,在至少一个实例中,开口可基本上等于开口区域408的大小,使得可自整个开口区域408移除吸收体层。可基于在相邻第一主图案区域404内界定的特征来判定由开口区域408形成的开口形状、布局及大小的选择。举例而言,由第一主图案区域404形成的更关键的特征可得益于或更佳地受保护于开口区域408内的较大数目及/或较大大小的开口(例如,通过对应地减少/消除附近的表面起泡及层分裂),而在第一主图案区域404内形成的不太关键的特征可通过开口区域408内较少数目及/或较小大小的开口得到充分保护。
现在参考图5,其中说明根据一些实施例的遮罩502的俯视图。遮罩502可大体上类似于遮罩402,但开口区域408内的开口具有不同布局设计且在主图案区域404与开口区域408之间具有不同的间隔。如上所述,开口区域408安置于第一主图案区域404、第二主图案区域406之间且与第一主图案区域404、第二主图案区域406间隔开。在本实例中,遮罩502包括安置于开口区域408内的单个圆形开口504。在一些实施例中,圆形开口504可具有小于或等于约20nm的宽度W。宽度W可基本上等于圆形开口504的直径。图5进一步展示距离D1及D2,其中距离D1及D2中的每一者可小于或等于约5微米,如前所述,且距离D3小于D1及D2两者。在一些情况下,距离D3可等于约0nm,此意谓主图案区域404与开口区域408彼此邻接。尽管图5的实例展示主图案区域404与开口区域408的距离为D3,但主图案区域404与开口区域408内的最近开口(例如,在此实例中,圆形开口504)之间的距离D1保持小于或等于约5微米。
参考图6,其中说明根据一些实施例的遮罩602的俯视图。遮罩602可类似于遮罩402,其中开口区域408内的开口具有不同布局设计。开口区域408安置于第一主图案区域404、第二主图案区域406之间且分别与第一主图案区域404、第二主图案区域406间隔开距离D1及D2,其中距离D1及D2中的每一者可小于或等于约5微米。在本实例中,遮罩602包括安置于开口区域408内的多个椭圆形/圆形开口604。在一些实施例中,多个椭圆形/圆形开口604可配置成阵列图案。在一些实例中,多个椭圆形/圆形开口604中的每一者可具有小于或等于约20nm的宽度W,且相邻开口之间的间隔S可小于或等于约1微米。在一些情况下,若多个椭圆形/圆形开口604为圆形的,则宽度W可基本上等于开口604的直径。在一些实施例中,若多个椭圆形/圆形开口604为椭圆形的,则宽度W可基本上等于开口604的短轴直径。出于论述的目的,短轴直径为界定椭圆形的两个垂直直径(短轴直径及长轴直径)中的较短者。
图7说明根据一些实施例的遮罩702的俯视图。遮罩702可类似于遮罩402,其中开口区域408内的开口具有不同布局设计。开口区域408安置于第一主图案区域404、第二主图案区域406之间,且分别与第一主图案区域404、第二主图案区域406间隔开距离D1及D2,其中距离D1及D2中的每一者可小于或等于约5微米。在本实例中,遮罩702包括安置于开口区域408内的多个矩形开口704。在一些实施例中,每一矩形开口704可具有小于或等于约20nm的宽度W。在一些情况下,每一矩形开口704亦可具有小于或等于约3微米的长度L1。在一些实施例中,相邻矩形开口704之间的间隔S可小于或等于约1微米。在一些实施例中,多个矩形开口704可配置成阵列图案,且可在方向Y上定向,如图所示。
图8说明根据一些实施例的遮罩802的俯视图。遮罩802可类似于遮罩402,其中开口区域408内的开口具有不同布局设计。开口区域408安置于第一主图案区域404、第二主图案区域406之间,且分别与第一主图案区域404、第二主图案区域406间隔开距离D1及D2,其中距离D1及D2中的每一者可小于或等于约5微米。在本实例中,遮罩802包括安置于开口区域408内的多个矩形开口804。在一些实施例中,每一矩形开口804可具有小于或等于约20nm的宽度W。在一些情况下,矩形开口804可具有不同的长度Lx。举例而言,长度Lx可等于约100nm、150nm、160nm、1微米、3微米或其他适当的值。在一些实施例中,相邻矩形开口804之间的间隔S(在方向X上)可小于或等于约1微米,且相邻矩形开口804之间的间隔S1(在方向Y上)可小于或等于约500nm。在一些情况下,间隔S1可称为端对端间隔。作为实例,矩形开口804的总数目(例如,在方向X或方向Y上)可通过设计规则基于开口区域408的总长度(例如,在方向X或方向Y上)、个别开口的尺寸及其间的间隔来计算。作为一个实例,考虑开口区域408在方向Y上的长度为约16微米,其中存在五个矩形开口跨越开口区域408的方向Y,其中这些开口中的四个的长度等于3微米,一个开口的长度等于2微米,且相邻开口之间的端对端间隔为500nm。因此,开口的总长度及其间的间隔等于开口区域408的长度。在另一实例中,考虑开口区域408在方向Y上的长度为约16微米,其中存在五个矩形开口跨越开口区域408的方向Y,其中所有五个开口的长度等于2.8微米,且相邻开口之间的端对端间隔为500nm。因此,开口的总长度及其间的间隔等于开口区域408的长度。在不脱离本揭露的范围的情况下,可等同地使用开口尺寸及间隔的许多其他实例。在一些实例中,多个矩形开口804可在方向Y上定向,如图所示。
图9说明根据一些实施例的遮罩902的俯视图。遮罩902可类似于遮罩402,其中开口区域408内的开口具有不同布局设计。开口区域408安置于第一主图案区域404、第二主图案区域406之间,且分别与第一主图案区域404、第二主图案区域406间隔开距离D1及D2,其中距离D1及D2中的每一者可小于或等于约5微米。在本实例中,遮罩902包括安置在开口区域408内的具有第一长度L2的第一矩形开口904及具有第二长度L3的第二矩形开口906。在所说明的实施例中,第二长度L3大于第一长度L2。然而,在一些实例中,第一长度L2可能大于第二长度L3。在各种实施例中,长度L2及L3可具有与上述长度Lx类似的各种长度。在一些实施例中,每一矩形开口904、906可具有小于或等于约20nm的宽度W。在一些实例中,相邻第一矩形开口904、第二矩形开口906之间的间隔S(在方向X上)可小于或等于约1微米,且相邻第一矩形开口904之间的间隔S1(在方向Y上)可小于或等于约500nm。在一些实施例中,多个第一矩形开口904、第二矩形开口906可配置成阵列图案,且可在方向Y上定向,如图所示。
图10说明根据一些实施例的遮罩1002的俯视图。遮罩1002可类似于遮罩402,其中开口区域408内的开口具有不同布局设计。开口区域408安置于第一主图案区域404、第二主图案区域406之间,且分别与第一主图案区域404、第二主图案区域406间隔开距离D1及D2,其中距离D1及D2中的每一者可小于或等于约5微米。在本实例中,遮罩1002包括安置于开口区域408内的多个矩形开口1004。在一些实施例中,每一矩形开口1004可具有小于或等于约20nm的宽度W。在一些情况下,每一矩形开口1004亦可具有小于或等于约5微米的长度L4。在一些实例中,相邻矩形开口1004之间的间隔S可小于或等于约1微米。在一些实施例中,多个矩形开口1004可配置成阵列图案,且可在方向X上定向,如图所示。
图11说明根据一些实施例的遮罩1102的俯视图。遮罩1102可类似于遮罩402,其中开口区域408内的开口具有不同布局设计。开口区域408安置于第一主图案区域404、第二主图案区域406之间,且分别与第一主图案区域404、第二主图案区域406间隔开距离D1及D2,其中距离D1及D2中的每一者可小于或等于约5微米。在本实例中,遮罩1102包括安置于开口区域408内的多个第一类型的开口1104、多个第二类型的开口1106及多个第三类型的开口1108。尽管说明三种类型的开口,但将理解,在不脱离本揭露的范围的情况下,亦可在开口区域408内形成更多或更少类型的开口。在一些实例中,第一类型的开口1104可类似于上文论述的圆形开口504或多个椭圆形/圆形开口604,因此第一类型的开口1104亦可具有小于或等于约20nm的宽度W(或直径)。在一些实施例中,第二类型的开口1106及第三类型的开口1108中的每一者可具有小于或等于约20nm的宽度W。在一些情况下,第二类型的开口1106可具有长度L5,且第三类型的开口1108可具有长度L6。在各种实施例中,长度L5及L6可具有类似于上文论述的长度Lx的各种长度。在一些实施例中,第二类型的开口1106可在方向Y上定向,且第三类型的开口1108可在方向X上定向,如图所示。
现在参考图12,其中说明根据本揭露的一或多个态样的用于制造及使用EUV遮罩的简化方法1200的流程图。方法1200开始于制造EUV遮罩的区块1202。在区块1202的实施例中,所制造的EUV遮罩可包括上文论述的遮罩108、402、502、602、702、802、902、1002或1102中的任一者。此外,如前所述,EUV遮罩制造制程可包含两个处理阶段:(1)遮罩坯料制造制程,及(2)遮罩图案化制程。在一些实施例中,遮罩坯料制造制程包括在基板上沉积合适的层(例如,诸如多层结构)。作为实例,在多层涂布的基板上形成覆盖层(例如,钌),接着沉积吸收体层。接着可对遮罩坯料进行图案化(例如,对吸收体层进行图案化),以在EUV遮罩上形成所需图案。在一些实施例中,可在图案化遮罩坯料之前在吸收体层上沉积ARC层。在各种实例中,如上所述,形成于吸收体层中的图案可包括主图案区域及与主图案区域隔开一定距离的开口区域。在一些实施例中,主图案区域界定对应于半导体元件或电路的特征,且开口区域包括至少一个开口,该至少一个开口曝露下伏覆盖层(例如,Ru层)。在至少一些实施例中,且在区块1202的实施例中,主图案区域(包括界定半导体元件或电路的至少一部分的对应特征)与开口区域(包括曝露覆盖层的对应开口))可同时形成。即,在一些实例中,主图案区域与开口区域中的每一者中的吸收体层可同时图案化以提供经图案化EUV遮罩。
接着,方法1200进行至区块1204,其中使用经图案化EUV遮罩执行光微影制程。举例而言,经图案化EUV遮罩可用于使用EUV光微影系统(例如,诸如系统100)将电路及/或装置图案转印至半导体晶圆上。在一些实施例中,将EUV遮罩装载/固定至EUV光微影系统的基板台上。在操作中,来自EUV光微影系统的辐射源的EUV光被引导向EUV光微影系统的照明器,且投射至包括主图案区域及开口区域的EUV遮罩上。接着将反射的遮罩影像引导向EUV光微影系统的投射光学件,该投射光学件聚焦EUV光且将EUV光投射至装载在基板台上的半导体晶圆上,以曝露沉积在其上的EUV抗蚀剂层,从而将图案自EUV遮罩转印至半导体晶圆。在一些实施例中,且在EUV光微影系统的操作期间,可自在开口区域内形成的至少一个开口自EUV遮罩释放氢气,从而减少及/或消除至少一些制程中面临的起泡及剥离问题。在各种实施例中,可经由各种光微影制程将由EUV遮罩界定的图案反复地转印至多个晶圆上。另外,一组EUV遮罩可用来构造完整的IC装置及/或电路,每一EUV遮罩可包括主图案区域及与主图案区域间隔开一定距离的开口区域。根据方法1200的各种实施例,可在方法1200之前、期间及之后实施额外处理方法,且可替换或消除上述一些处理步骤。
参考图13,其中说明根据各种实施例的用于使用如上所述的EUV遮罩来制造半导体元件及/或IC的更详细方法1300的流程图。方法1300可全部或部分地由诸如EUV系统100的光微影系统来实施。然而,在一些实施例中,方法1300的多个部分可由诸如深紫外(deepultraviolet;DUV)光微影系统、电子束(electron beam;e-beam)光微影系统、X射线光微影系统及/或其他光微影系统的其他类型的光微影系统来实施。将理解,可在方法1300之前、期间及之后提供额外操作,且可对于该方法的额外实施例替换、消除或移动所描述的一些操作。亦应注意,方法1300为例示性的,且无意于将本揭示内容限制于所附权利要求书中明确叙述的内容。下文结合图14A、图14B、图14C、图14D及图14E进一步描述方法1300。
详言之,图14A、图14B、图14C、图14D及图14E提供根据方法1300的一些实施例构造的处于各种制造阶段的半导体元件1400的横截面图。半导体元件1400可为在IC的处理期间制造的中间装置或其一部分,其可包括逻辑电路、记忆体结构、被动组件(诸如电阻器、电容器及电感器)及主动组件,诸如二极管、场效应晶体管(field-effect transistor;FET)、金属氧化物半导体场效应晶体管(metal-oxide semiconductor field effecttransistors;MOSFET),互补金属氧化物半导体(complementary metal-oxidesemiconductor;CMOS)晶体管、双极晶体管、高压晶体管、高频晶体管、鳍状FET(fin-likeFET;FinFET)、其他三维(three-dimensional;3D)FET、金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(complementary metal-oxide semiconductor;CMOS)晶体管、双极晶体管、高压晶体管、高频晶体管、其他记忆体单元及其组合。
方法1300在区块1302处开始,其中提供基板。参考图14A的实例,在区块1302的实施例中,其中说明包括基板1402的半导体元件1400的横截面图。在一些实施例中,基板1402可包括诸如硅的半导体基板。然而,在一些实施例中,基板可替代地或另外包括其他材料,诸如锗、碳化硅(silicon carbide;SiC)、硅锗(silicon germanium;SiGe)、金刚石、化合物半导体、合金半导体,且基板1402可视情况包括一或多个磊晶层(epitaxial layer;epi-layer)、可加以应变以增强效能、可包括绝缘体上硅(silicon-on-insulator;SOI)结构,及/或具有其他合适的增强特征。在一些实施例中,基板1402亦可包括形成于基板1402上的导电或绝缘层,且基板1402可根据此项技术已知的设计要求包括各种掺杂组态。
在一些实施例中,基板1402包括待处理的底层(或材料层)1404,诸如将其图案化或植入。举例而言,底层1404可包括待图案化的硬式遮罩层。在一些情况下,底层1404可包括待离子植入的磊晶半导体层。然而,在一些实施例中,基板1402可不包括底层,而是视情况在基板1402上形成底层(例如1404)。在一实施例中,底层1404可包括硬式遮罩层,该硬式遮罩层包括诸如以下的材料:氧化硅、氮化硅(silicon nitride;SiN)、氮氧化硅、氮化钛或其他合适的材料或组合物。在一些实施例中,底层1404可包括抗反射涂层(anti-reflection coating;ARC),诸如无氮抗反射涂层(nitrogen-free anti-reflectioncoating;NFARC),其包括诸如氧化硅、碳化硅或电浆增强化学气相沉积的氧化硅。在各种实施例中,底层1404可包括高k介电层、栅极层、硬式遮罩层、界面层、覆盖层、扩散/障壁层、介电层、导电层、其他合适的层,及/或其组合。
方法1300进行至区块1304,其中在基板1402上或可选底层1404上形成抗蚀剂层(在一些实例中,EUV抗蚀剂层)1406(图14A)。在各种实例中,抗蚀剂层1406对在光微影曝光制程中使用的辐射敏感,且对蚀刻制程(或离子植入制程)具有抵抗力。在一些实施例中,抗蚀剂层1406可通过旋涂制程形成。在一些实例中,在形成抗蚀剂层1406之前,在基板1402上或在可选底层1404上形成粘附层(诸如,HMDS层)。在一些实施例中,在形成抗蚀剂层1406之后,且在执行曝光制程之前,可例如执行预烘烤制程以蒸发溶剂且使抗蚀剂层1406致密化。在各种实施例中,抗蚀剂层1406可能对各种类型的辐射敏感,诸如DUV辐射(例如,来自KrF激光的248nm辐射或来自ArF激光的193nm辐射)、EUV辐射(例如13.5nm辐射)、电子束(electron beam;e-beam)或离子束。在至少一些实例中,且根据各种实施例,抗蚀剂层1406对EUV辐射敏感。在一些实例中,抗蚀剂层1406在被EUV辐射曝光之后可溶于正性显影剂或负性显影剂中。
方法1300进行至区块1306,其中将图案曝光至涂布有抗蚀剂的基板上。参考图14B的实例,在区块1306的实施例中,其中说明装置1400的横截面图,其中抗蚀剂层1406(图14A)已经由介入遮罩被曝光(例如,通过光微影成像系统)。在至少一些实施例中,使用EUV系统(例如,诸如光蚀刻系统100)及EUV遮罩(诸如,上文论述的遮罩108、402、502、602、702、802、902、1002或1102中的任一者)通过EUV辐射(例如,13.5nm)对抗蚀剂层1406进行曝光。或者,在一些实施例中,可通过DUV辐射(例如,自248nm KrF准分子激光或193nm ArF准分子激光)、X射线辐射、电子束、离子束及/或其他合适的辐射源来对抗蚀剂层1406进行曝光。在一些实施例中,且当使用EUV系统时,区块1306的曝光可在真空中及在氢气环境中进行,如上所述。此外,在各种实施例中且在EUV光微影系统的操作期间,氢气可自EUV遮罩自形成于EUV遮罩的开口区域内的一或多个开口释放,而非在EUV遮罩的覆盖层与吸收体层之间积聚。结果,且根据各种实施例,可消除及/或显著减少EUV遮罩的吸收体层的起泡及/或剥离。此外,使用具有一或多个开口的EUV遮罩形成的图案与目标图案相比可具有高保真度,从而提供改良的装置及/或电路效能。
在一些实施例中,在区块1306的曝光之后,可执行烘烤过程。举例而言,在一些实施例中,在抗蚀剂层1406的曝光之后,且在执行抗蚀剂显影制程之前,可执行后烘烤制程以稳定化且硬化显影的抗蚀剂层。在一些实例中,且作为区块1306的曝光制程的结果,在抗蚀剂层1406中形成潜在图案。作为实例,潜在图案是指抗蚀剂层1406上的已曝光图案,其在显影制程之后将随后变为实体抗蚀剂图案。在各种实施例中,抗蚀剂层1406的潜在图案可包括未曝光部分1406a及曝光部分1406b。在各种实施例中,由于区块1306的曝光制程,可物理地或化学地改变抗蚀剂层1406的曝光部分1406b。在一些实施例中,若已使用正性抗蚀剂,则曝光部分1406b将在后续显影制程中溶解。在一些情况下,若已使用负性抗蚀剂,则曝光部分1406b将变得不溶,且后续显影过程可替代地溶解未曝光部分1406a。
方法1300进行至区块1308,其中执行显影制程以形成经图案化抗蚀剂层。参考图14B及图14C的实例,在区块1308的实施例中,在形成包括未曝光及曝光部分1406a/1406b的潜像之后,执行抗蚀剂显影制程,从而形成经图案化抗蚀剂层1406’。在一些实施例中,抗蚀剂显影制程包括此项技术中已知的湿式化学显影制程。如上所述,若已使用负性抗蚀剂,则曝光部分1406b将变得不溶。因此,参考图14C的实例,其展示显影制程之后的装置1400,其说明负性抗蚀剂的使用。
方法1300进行至区块1310,其中经由经图案化抗蚀剂层的开口对基板执行制造制程。举例而言,可使用经图案化抗蚀剂层1406’作为遮罩对半导体元件1400执行制造制程,从而将制造制程应用于半导体元件1400的在经图案化抗蚀剂层1406’的开口内的部分(例如,底层1404的曝露区),而保护被经图案化抗蚀剂层1406’覆盖的其他部分免受制造制程的影响。在一些实施例中,区块1310的制造制程可包括使用经图案化抗蚀剂层1406’作为蚀刻遮罩应用于底层1404的蚀刻制程,从而将图案自经图案化抗蚀剂层1406’转印至底层1404。或者,在一些实施例中,区块1310的制造制程可包括使用经图案化抗蚀剂层1406’作为离子植入遮罩应用于半导体元件1400的离子植入制程,从而在半导体元件1400中(例如,在底层1404内)形成各种掺杂特征。
如上所述,且在本实例中,底层1404可包括硬式遮罩层。在此实例的进一步实施中,可首先将经图案化抗蚀剂层1406’的图案转印至底层1404(例如,硬式遮罩层),从而形成经图案化硬式遮罩层1404’(图14D),接着转印至基板1402的其他层。举例而言,可使用干式(电浆)蚀刻制程、湿式蚀刻制程、其组合及/或其他蚀刻方法经由经图案化抗蚀剂层1406’的开口来蚀刻底层1404。举例而言,干式蚀刻制程可包括含氧气体、含氟气体、含氯气体、含溴气体、含碘气体、其他合适的气体及/或电浆及/或其组合。在一些实施例中,在蚀刻底层1404及形成经图案化硬式遮罩层1404’期间,可部分或完全消耗经图案化抗蚀剂层1406’。在一实施例中,可剥除在蚀刻制程之后剩余的经图案化抗蚀剂层1406’的任何部分,从而在基板1402上留下经图案化硬式遮罩层1404’,如图14E所示。
方法1300可在上述步骤之前、期间或之后包括其他步骤。在一实施例中,基板1402为半导体基板,且方法1300进行至形成鳍式场效应晶体管(fin field effecttransistor;FinFET)装置。在此类实例中,方法1300可进一步包括在半导体基板1402中形成多个主动鳍。另外,且在此实例的进一步实施中,区块1310可进一步包括:经由经图案化硬式遮罩1404’的开口蚀刻基板1402,以在基板1402中形成沟槽;用介电材料填充这些沟槽;执行化学机械抛光(chemical mechanical polishing;CMP)制程以形成浅沟槽隔离(shallow trench isolation;STI)特征;STI特征的磊晶生长及/或凹入以形成鳍状主动区。在一些实施例中,方法1300包括其他步骤以形成多个栅电极、栅极间隔物、掺杂的源极/漏极区、用于栅极/源极/漏极特征的触点等。在一些实施例中,后续处理可在基板上形成各种触点/通孔/线及多层互连特征(例如,金属层及层间介电质),其用以连接各种特征以形成可包括一或多个装置(例如,一或多个FinFET装置)的功能电路。在该实例的进一步实施中,多层互连可包括诸如通孔或触点的垂直互连,及诸如金属线的水平互连。各种互连特征可使用各种导电材料,包括铜、钨及/或硅化物。在一个实例中,金属镶嵌及/或双金属镶嵌制程用于形成铜相关的多层互连结构。此外,可在方法1300之前、期间及之后实施额外处理步骤,且根据方法1300的各种实施例,可替换或消除上述的一些处理步骤。
关于本文提供的描述,本揭露提供EUV遮罩及相关方法的实施例。在各种实施例中,EUV遮罩包括形成于EUV遮罩的开口区域内的一或多个开口(在吸收体层中),其中开口区域与主图案区域间隔开。这些开口可曝露下伏覆盖层(例如,诸如Ru覆盖层),且这些开口可安置为与主图案区域相距足够的距离,使得主图案区域不会受到一或多个开口的影响。在各种实施例中,在吸收体层中添加一或多个开口且远离主图案区域提供减少的热膜膨胀(例如,此导致表面起泡及层分裂)。因此,本文揭示的EUV遮罩结构能够减少及/或消除至少一些制程中面临的起泡及剥离问题。在一些实施例中,可通过增大开口区域内的开口的大小及数目来进一步减小EUV遮罩的热膨胀。作为实例,开口区域内的开口提供氢气向大气的释放,而在吸收体层与覆盖层之间无氢气的积聚。此外,且在一些实施例中,开口区域中的一或多个开口与主图案区域中形成的特征可同时形成。熟悉此项技术者将容易理解,在不脱离本揭露的范围的情况下,本文所述的方法及结构可应用于多种其他遮罩及光微影制程,以有利地自此类其他遮罩及光微影制程达成类似的益处。
因此,本揭露的一些实施例描述一种制造半导体元件的方法,该方法包括提供第一基板且在第一基板上形成抗蚀剂层。在一些实施例中,该方法进一步包括对抗蚀剂层执行曝光制程。曝光制程包括经由介入遮罩将抗蚀剂层曝光于辐射源。在一些实例中,介入遮罩包括第二基板、在第二基板上形成的多层结构、在多层结构上形成的覆盖层,及安置于覆盖层上的吸收体层。在一些实施例中,吸收体层包括第一主图案区域及与第一主图案区域隔开一定距离的开口区域。在各种实例中,该方法进一步包括在执行曝光制程之后,对经曝光抗蚀剂层进行显影以形成经图案化抗蚀剂层。在一些实施例中,介入遮罩包括一极紫外(EUV)遮罩。在一些实施例中,覆盖层包括钌(Ru)。在一些实施例中,开口区域包括曝露覆盖层的至少一个开口。在一些实施例中,在曝光制程期间,自至少一个开口释放氢气。在一些实施例中,第一主图案区域与开口区域之间的距离小于或等于约5微米。在一些实施例中,至少一个开口的宽度小于或等于约20nm。在一些实施例中,吸收体层包括TaBO层、TaBN层、TaBO/TaBN层、TaxNy层或TaxByOzNu层。在一些实施例中,吸收体层包括与开口区域隔开距离的第二主图案区域,其中第一主图案区域安置于开口区域的第一侧上,且其中第二主图案区域安置于主图案区域的与第一侧相对的第二侧上。在一些实施例中,第一主图案区域界定对应于半导体元件或电路的特征。在一些实施例中,吸收体层包括具有至少一个开口的另一开口区域,且其中另一开口区域与第一主图案区域间隔开距离。
在进一步的实施例中,论述一种方法,该方法包括在半导体基板上沉积第一材料层,该第一材料层包括硬式遮罩。在一些实施例中,该方法进一步包括在第一材料层上形成第二材料层,该第二材料层包括抗蚀剂层。在各种实例中,该方法进一步包括使用包括EUV遮罩的EUV光微影系统来曝光光阻层。EUV遮罩包括多层结构、在多层结构上形成的覆盖层及在覆盖层上形成的吸收体层,且该吸收体层包括主图案区域及与主图案区域间隔开一定距离的开口区域。在一些实施例中,覆盖层包括钌(Ru)。在一些实施例中,开口区域包括曝露覆盖层的至少一个开口。在一些实施例中,在曝露光阻层期间,自至少一个开口释放氢气。在一些实施例中,制造半导体元件的方法进一步包含:在曝露该光阻层之后,对所曝露的光阻层进行显影以将图案自EUV遮罩转印至光阻层,其中图案包括对应于由主图案区域界定的半导体元件或电路的特征。
另外,一些实施例论述一种包括制造EUV遮罩的方法。该制造该EUV遮罩包括:在安置于基板上的多层结构上形成覆盖层;在该覆盖层上形成吸收体层;及对该吸收体层进行图案化以形成主图案区域及与该主图案区域间隔开一定距离的开口区域。在一些实施例中,同时图案化主图案区域与开口区域。在一些实例中,该方法进一步包括使用EUV遮罩执行光微影制程,其中该光微影制程将图案自EUV遮罩转印至半导体基板。在一些实施例中,制造半导体元件的方法进一步包含:在执行光微影制程的同时,自形成于开口区域内的至少一个开口释放氢气。在一些实施例中,制造半导体元件的方法进一步包含:使用EUV遮罩执行多个后续光微影制程,而不引起吸收体层起泡,且不使覆盖层与吸收体层自彼此剥离。在一些实施例中,覆盖层包括钌(Ru)。
前文概述若干实施例的特征,使得熟悉此项技术者可更佳地理解本揭露的态样。熟悉此项技术者应理解,可容易地将本揭露用作设计或修改其他制程及结构的基础,以达成与本文介绍的实施例相同的目的及/或达成相同的优点。熟悉此项技术者亦应认识到,此类等效构造不脱离本揭露的精神及范围,且在不脱离本揭露的精神及范围的情况下,其可进行各种改变、替换及变更。

Claims (10)

1.一种制造半导体元件的方法,其特征在于,包含:
提供一第一基板;
在该第一基板上形成一抗蚀剂层;
对该抗蚀剂层执行一曝光制程,其中该曝光制程包括经由一介入遮罩将该抗蚀剂层曝光于一辐射源,且其中该介入遮罩包括:
一第二基板;
一多层结构,其形成于该第二基板上;
一覆盖层,其形成于该多层结构上;以及
一吸收体层,其安置于该覆盖层上;
其中该吸收体层包括一第一主图案区域及与该第一主图案区域间隔开的一开口区域;以及
在执行该曝光制程之后,对该经曝光抗蚀剂层进行显影以形成一经图案化抗蚀剂层。
2.根据权利要求1所述的制造半导体元件的方法,其特征在于,该吸收体层包括一氧化钽硼层、一氮化钽硼层、一氧化钽硼/氮化钽硼层、一氮化钽层或一氮氧化钽硼层。
3.根据权利要求1所述的制造半导体元件的方法,其特征在于,该吸收体层包括与该开口区域隔开该距离的一第二主图案区域,其中该第一主图案区域安置于该开口区域的一第一侧上,且其中该第二主图案区域安置于该主图案区域的与该第一侧相对的一第二侧上。
4.根据权利要求1所述的制造半导体元件的方法,其特征在于,该第一主图案区域界定对应于一半导体元件或一电路的特征。
5.根据权利要求1所述的制造半导体元件的方法,其特征在于,该吸收体层包括具有至少一个开口的另一开口区域,且其中该另一开口区域与该第一主图案区域间隔开该距离。
6.一种制造半导体元件的方法,其特征在于,包含:
在一半导体基板上沉积一第一材料层,其中该第一材料层包括一硬式遮罩;
在该第一材料层上形成一第二材料层,其中该第二材料层包括一光阻层;以及
使用包括一极紫外遮罩的一极紫外光微影系统对该光阻层进行曝光,其中该极紫外遮罩包括一多层结构、形成于该多层结构上的一覆盖层及形成于该覆盖层上的一吸收体层,且其中该吸收体层包括一主图案区域及与该主图案区域隔开一定距离的一开口区域。
7.根据权利要求6所述的制造半导体元件的方法,其特征在于,进一步包含:在该曝露该光阻层之后,对该所曝露的光阻层进行显影以将一图案自该极紫外遮罩转印至该光阻层,其中该图案包括对应于由该主图案区域界定的一半导体元件或一电路的特征。
8.一种制造半导体元件的方法,其特征在于,包含:
制造一极紫外遮罩,其中该制造该极紫外遮罩包括在安置于一基板上的一多层结构上形成一覆盖层、在该覆盖层上形成一吸收体层及对该吸收体层进行图案化以形成一主图案区域及与该主图案区域隔开一定距离的一开口区域,其中该主图案区域与该开口区域同时图案化;以及
使用该极紫外遮罩执行一光微影制程,其中该光微影制程将一图案自该极紫外遮罩转印至一半导体基板。
9.根据权利要求8所述的制造半导体元件的方法,其特征在于,进一步包含:
在执行该光微影制程的同时,自形成于该开口区域内的至少一个开口释放氢气。
10.根据权利要求8所述的制造半导体元件的方法,其特征在于,进一步包含:
使用该极紫外遮罩执行多个后续光微影制程,而不引起该吸收体层起泡,且不使该覆盖层与该吸收体层自彼此剥离。
CN202110234490.1A 2020-04-28 2021-03-03 制造半导体元件的方法 Active CN113050361B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063016653P 2020-04-28 2020-04-28
US63/016,653 2020-04-28
US17/111,421 2020-12-03
US17/111,421 US20210335599A1 (en) 2020-04-28 2020-12-03 Euv photomask and related methods

Publications (2)

Publication Number Publication Date
CN113050361A true CN113050361A (zh) 2021-06-29
CN113050361B CN113050361B (zh) 2025-02-28

Family

ID=76509718

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110234490.1A Active CN113050361B (zh) 2020-04-28 2021-03-03 制造半导体元件的方法

Country Status (3)

Country Link
US (1) US20230386838A1 (zh)
CN (1) CN113050361B (zh)
TW (1) TWI768718B (zh)

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200807503A (en) * 2006-06-20 2008-02-01 Freescale Semiconductor Inc Method of multi-layer lithography
TW200807184A (en) * 2006-06-30 2008-02-01 Asml Netherlands Bv Lithographic apparatus comprising a cleaning arrangement, cleaning arrangement and method for cleaning a surface to be cleaned
US20090246706A1 (en) * 2008-04-01 2009-10-01 Applied Materials, Inc. Patterning resolution enhancement combining interference lithography and self-aligned double patterning techniques
CN101965626A (zh) * 2008-02-20 2011-02-02 应用材料股份有限公司 无需光刻胶或干蚀刻而形成图案化硬掩膜(rfp)的工艺顺序
US20130029253A1 (en) * 2011-07-25 2013-01-31 Globalfoundries Inc. Extreme ultraviolet masks having annealed light-absorptive borders and associated fabrication methods
TW201445614A (zh) * 2013-03-14 2014-12-01 Applied Materials Inc 用於半導體元件製造上的阻劑硬化及顯影製程
US20160349412A1 (en) * 2014-02-07 2016-12-01 Asml Netherlands B.V. Euv optical element having blister-resistant multilayer cap
CN109154771A (zh) * 2016-04-25 2019-01-04 Asml荷兰有限公司 用于euv光刻术的隔膜
TW201905965A (zh) * 2017-06-29 2019-02-01 台灣積體電路製造股份有限公司 半導體裝置的製造方法
TW202006474A (zh) * 2018-07-13 2020-02-01 台灣積體電路製造股份有限公司 微影系統
CN110874009A (zh) * 2018-08-31 2020-03-10 台湾积体电路制造股份有限公司 极紫外遮罩及其制造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2988417B2 (ja) * 1997-02-28 1999-12-13 日本電気株式会社 フォトマスク
TW541605B (en) * 2000-07-07 2003-07-11 Hitachi Ltd Fabrication method of semiconductor integrated circuit device
US7718543B2 (en) * 2006-12-08 2010-05-18 Applied Materials, Inc. Two step etching of a bottom anti-reflective coating layer in dual damascene application
KR20140016664A (ko) * 2012-07-30 2014-02-10 에스케이하이닉스 주식회사 더미 패턴들이 잔류하지 않는 미세 패턴 형성 방법 및 포토 마스크 시스템
KR102101837B1 (ko) * 2013-06-11 2020-04-17 삼성전자 주식회사 포토마스크, 포토마스크의 레지스트레이션 에러 보정 방법, 포토마스크를 이용하여 제조된 집적 회로 및 그 제조 방법
US10061191B2 (en) * 2016-06-01 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. High durability extreme ultraviolet photomask
KR102707462B1 (ko) * 2016-09-06 2024-09-23 삼성전자주식회사 포토마스크
US10866504B2 (en) * 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200807503A (en) * 2006-06-20 2008-02-01 Freescale Semiconductor Inc Method of multi-layer lithography
TW200807184A (en) * 2006-06-30 2008-02-01 Asml Netherlands Bv Lithographic apparatus comprising a cleaning arrangement, cleaning arrangement and method for cleaning a surface to be cleaned
CN101965626A (zh) * 2008-02-20 2011-02-02 应用材料股份有限公司 无需光刻胶或干蚀刻而形成图案化硬掩膜(rfp)的工艺顺序
US20090246706A1 (en) * 2008-04-01 2009-10-01 Applied Materials, Inc. Patterning resolution enhancement combining interference lithography and self-aligned double patterning techniques
US20130029253A1 (en) * 2011-07-25 2013-01-31 Globalfoundries Inc. Extreme ultraviolet masks having annealed light-absorptive borders and associated fabrication methods
TW201445614A (zh) * 2013-03-14 2014-12-01 Applied Materials Inc 用於半導體元件製造上的阻劑硬化及顯影製程
US20160349412A1 (en) * 2014-02-07 2016-12-01 Asml Netherlands B.V. Euv optical element having blister-resistant multilayer cap
CN109154771A (zh) * 2016-04-25 2019-01-04 Asml荷兰有限公司 用于euv光刻术的隔膜
TW201905965A (zh) * 2017-06-29 2019-02-01 台灣積體電路製造股份有限公司 半導體裝置的製造方法
TW202006474A (zh) * 2018-07-13 2020-02-01 台灣積體電路製造股份有限公司 微影系統
CN110874009A (zh) * 2018-08-31 2020-03-10 台湾积体电路制造股份有限公司 极紫外遮罩及其制造方法

Also Published As

Publication number Publication date
CN113050361B (zh) 2025-02-28
TW202141160A (zh) 2021-11-01
US20230386838A1 (en) 2023-11-30
TWI768718B (zh) 2022-06-21

Similar Documents

Publication Publication Date Title
US10859902B2 (en) Lithography mask and method
US9685367B2 (en) Photomask for forming multiple layer patterns with a single exposure
US11086215B2 (en) Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
US12130548B2 (en) Extreme ultraviolet mask with reduced wafer neighboring effect
KR101531761B1 (ko) 단일 노출을 사용하여 복수의 층 패턴을 정의하는 방법
US10685846B2 (en) Semiconductor integrated circuit fabrication with pattern-reversing process
CN110389500B (zh) 半导体装置的制造方法
TW201929087A (zh) 微影光罩、微影光罩之製造方法以及進行微影製程之方法
EP1384115A2 (en) Attenuating extreme ultraviolet (euv) phase-shifting mask fabrication method
KR102433522B1 (ko) Euv 포토 마스크 및 관련 방법
US9857679B2 (en) Lithography mask and fabricating the same
CN108121152B (zh) 微影光掩模
CN113050361B (zh) 制造半导体元件的方法
CN110874008A (zh) 掩模及其制造方法及图案化膜层的方法
KR100945933B1 (ko) 극자외선 리소그래피에 사용되는 마스크 및 제조 방법
KR100846678B1 (ko) 반도체 제조에서 포토리소그래피 시스템 및 방법
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
US20250085622A1 (en) Extreme Ultraviolet (EUV) Mask and Method of Fabrication Thereof
US20210302824A1 (en) Fully Reflective Phase-Edge Mask for EUV Lithography
US8426114B2 (en) L-shaped feature, method of making an L-shaped feature and method of making an L-shaped structure
CN115938918A (zh) 通过使用极紫外掩模在半导体衬底上形成半导体器件的图案的方法
CN117250822A (zh) Euv光掩模及其制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant