KR101776926B1 - 반도체 소자 및 그 제조 방법 - Google Patents
반도체 소자 및 그 제조 방법 Download PDFInfo
- Publication number
- KR101776926B1 KR101776926B1 KR1020100087618A KR20100087618A KR101776926B1 KR 101776926 B1 KR101776926 B1 KR 101776926B1 KR 1020100087618 A KR1020100087618 A KR 1020100087618A KR 20100087618 A KR20100087618 A KR 20100087618A KR 101776926 B1 KR101776926 B1 KR 101776926B1
- Authority
- KR
- South Korea
- Prior art keywords
- epitaxial layer
- film
- semiconductor substrate
- silicon
- region
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02362—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02436—Intermediate layers between substrates and deposited layers
- H01L21/02439—Materials
- H01L21/02441—Group 14 semiconducting materials
- H01L21/0245—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/02636—Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
- H01L21/02639—Preparation of substrate for selective deposition
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/0212—Manufacture or treatment of FETs having insulated gates [IGFET] using self-aligned silicidation
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/0212—Manufacture or treatment of FETs having insulated gates [IGFET] using self-aligned silicidation
- H10D30/0213—Manufacture or treatment of FETs having insulated gates [IGFET] using self-aligned silicidation providing different silicide thicknesses on gate electrodes and on source regions or drain regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/027—Manufacture or treatment of FETs having insulated gates [IGFET] of lateral single-gate IGFETs
- H10D30/0275—Manufacture or treatment of FETs having insulated gates [IGFET] of lateral single-gate IGFETs forming single crystalline semiconductor source or drain regions resulting in recessed gates, e.g. forming raised source or drain regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/791—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions
- H10D30/797—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions being in source or drain regions, e.g. SiGe source or drain
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D62/00—Semiconductor bodies, or regions thereof, of devices having potential barriers
- H10D62/01—Manufacture or treatment
- H10D62/021—Forming source or drain recesses by etching e.g. recessing by etching and then refilling
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D62/00—Semiconductor bodies, or regions thereof, of devices having potential barriers
- H10D62/10—Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
- H10D62/13—Semiconductor regions connected to electrodes carrying current to be rectified, amplified or switched, e.g. source or drain regions
- H10D62/149—Source or drain regions of field-effect devices
- H10D62/151—Source or drain regions of field-effect devices of IGFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/60—Electrodes characterised by their materials
- H10D64/66—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
- H10D64/667—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes the conductor comprising a layer of alloy material, compound material or organic material contacting the insulator, e.g. TiN workfunction layers
- H10D64/668—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes the conductor comprising a layer of alloy material, compound material or organic material contacting the insulator, e.g. TiN workfunction layers the layer being a silicide, e.g. TiSi2
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/60—Electrodes characterised by their materials
- H10D64/66—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
- H10D64/68—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/60—Electrodes characterised by their materials
- H10D64/66—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
- H10D64/68—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator
- H10D64/693—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator the insulator comprising nitrogen, e.g. nitrides, oxynitrides or nitrogen-doped materials
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0165—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
- H10D84/0167—Manufacturing their channels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0165—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
- H10D84/017—Manufacturing their source or drain regions, e.g. silicided source or drain regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/02—Manufacture or treatment characterised by using material-based technologies
- H10D84/03—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology
- H10D84/038—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology using silicon technology, e.g. SiGe
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/01—Manufacture or treatment
- H10D64/017—Manufacture or treatment using dummy gates in processes wherein at least parts of the final gates are self-aligned to the dummy gates, i.e. replacement gate processes
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
Abstract
Description
도 2는 본 발명의 제 1 실시예에 따른 반도체 소자의 평면도이다.
도 3 내지 도 10은 본 발명의 제 1 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들로서, 도 1의 Ⅰ-Ⅰ'선 및 Ⅱ-Ⅱ'선을 따라 자른 단면이다.
도 11 및 도 12는 본 발명의 실시예들에 따른 실리콘 에피택셜층의 형성 방법을 설명하기 위한 그래프들이다.
도 13a 내지 도 13d는 본 발명의 실시예들에 따른 실리콘 에피택셜층의 형성 방법을 개략적으로 나타내는 단면도들이다.
도 14 내지 도 16은 본 발명의 제 2 실시예에 따른 반도체 소자의 제조방법을 나타내는 단면도들로서, 도 1의 Ⅰ-Ⅰ'선 및 Ⅱ-Ⅱ'선을 따라 자른 단면이다.
도 17 내지 도 20은 본 발명의 제 3 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들이다.
도 21은 본 발명의 제 4 실시예에 따른 반도체 소자의 제조 방법을 나타내는 순서도이다.
도 22 내지 도 27은 본 발명의 제 4 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들이다.
도 28은 본 발명의 제 5 실시예에 따른 반도체 소자의 제조 방법을 나타내는 순서도이다.
도 29는 제 5 실시예에 따른 반도체 소자의 제조 방법에 의해 제조된 반도체 소자의 단면도이다.
도 30은 본 발명의 제 6 실시예에 따른 반도체 소자의 제조 방법을 나타내는 순서도이다.
도 31 내지 도 34는 본 발명의 제 6 실시예에 따른 반도체 소자의 제조 방법을 나타내는 단면도들이다.
도 35는 제 6 실시예의 변형례를 나타낸다.
도 36은 본 발명의 실시예들에 따른 CMOS 트랜지스터를 포함하는 인버터의 회로도이다.
도 37은 본 발명의 실시예들에 따른 CMOS 트랜지스터를 포함하는 SRAM 장치의 회로도이다.
Claims (30)
- 소자분리막에 의해 활성 영역이 정의된 반도체 기판을 제공하고,
상기 활성 영역 상에 게이트 절연막을 개재하여 게이트 전극을 형성하고,
상기 게이트 전극 양측의 상기 활성 영역 내에, 제 1 및 제 2 에피택셜 영역들을 형성하되, 상기 제 1 및 제 2 에피택셜 영역들 각각은 상기 활성 영역의 상부면에 대해 평행한 상면과 상기 상면으로부터 아래로 경사진 경사면을 갖고,
상기 반도체 기판이 배치된 공정 챔버로 실리콘 소스 가스 및 식각 가스를 번갈아 공급하는 선택 에피택셜 성장 공정을 반복적으로 수행함으로써, 상기 제 1 및 제 2 에피택셜 영역들의 상기 상면들 및 경사면들을 덮는 실리콘층을 형성하고,
상기 실리콘층과 금속 물질을 반응시켜 실리사이드층을 형성하되, 상기 실리콘층의 일 부분은 잔류하는 것을 포함하는 반도체 소자의 제조 방법. - 제 1 항에 있어서,
상기 실리사이드층은 상기 제 1 및 제 2 에피택셜 영역들의 상기 상면들 상에 형성되고,
상기 실리콘층의 상기 일 부분은 상기 제 1 및 제 2 에피택셜 영역들의 상기 경사면들 상에 잔류하는 반도체 소자의 제조 방법. - 제 2 항에 있어서,
상기 실리콘층을 형성하는 것은,
상기 실리콘 소스 가스 공급 단계, 제 1 퍼지 단계, 상기 식각 가스 공급 단계, 및 제 2 퍼지 단계를 순서대로 그리고 반복적으로 수행하여, 상기 제 1 및 제 2 에피택셜 영역들의 상기 상면 및 상기 경사면 상에 선택적으로 성장시키는 것을 포함하는 반도체 소자의 제조 방법. - 제 1 항에 있어서,
상기 실리콘층은 상기 제 1 및 제 2 에피택셜 영역들의 상기 상면을 덮는 수평 영역과 상기 제 1 및 제 2 에피택셜 영역들의 경사면을 덮는 측벽 영역을 포함하되, 상기 측벽 영역은 상기 수평 영역보다 얇은 반도체 소자의 제조 방법. - 삭제
- 제 1 항에 있어서,
상기 실리콘층은 상기 제 1 및 제 2 에피택셜 영역들의 상기 상면을 덮는 수평 영역과 상기 제 1 및 제 2 에피택셜 영역들의 상기 경사면을 덮는 측벽 영역을 포함하되,
상기 실리콘층의 상기 수평 영역의 상면은 상기 반도체 기판 표면의 결정면과 동일한 제 1 결정 면을 가지며, 상기 실리콘층의 상기 측벽 영역의 경사면은 상기 제 1 결정 면과 다른 제 2 결정 면을 갖는 반도체 소자의 제조 방법. - 제 6 항에 있어서,
상기 제 1 결정면은 (100)이고, 상기 제 2 결정면은 (111), (110) 및 (311) 중에서 적어도 어느 하나인 반도체 소자의 제조 방법. - 제 1 항에 있어서,
상기 실리콘층은 상기제 1 및 제 2 에피택셜 영역들의 상기 상면을 덮는 수평 영역과 상기 제 1 및 제 2 에피택셜 영역들의 상기 경사면을 덮는 측벽 영역을 포함하되,
상기 실리사이드층을 형성하기 전에, 상기 소자 분리막 상에 상기 실리콘층의 상기 측벽 영역을 덮는 절연 스페이서를 형성하는 것을 더 포함하는 반도체 소자의 제조 방법. - 제 1 항에 있어서,
상기 제 1 및 제 2 에피택셜 영역들은 상기 반도체 기판의 표면 위로 융기된 상부 영역을 포함하되, 상기 상부 영역은 상기 상면 및 상기 경사면을 갖는 반도체 소자의 제조 방법. - 제 1 항에 있어서,
상기 실리사이드층은 실리콘 원소 및 금속 원소로 이루어지는 반도체 소자의 제조 방법. - 제 1 항에 있어서,
상기 실리사이드층은 NixSi1-x (0<X<1)의 조성을 갖는 반도체 소자의 제조 방법. - 삭제
- 제 1 항에 있어서,
상기 실리사이드층을 형성하는 것은,
상기 실리콘층을 컨포말하게 덮는 니켈막을 형성하고,
열처리 공정을 수행하여 상기 니켈막과 상기 실리콘층을 반응시키고,
상기 실리콘층과 미반응된 상기 니켈막을 제거하여 니켈 실리사이드막을 형성하는 것을 포함하되,
상기 제 1 및 제 2 에피택셜 영역들과 상기 니켈막 사이에 상기 실리콘층이 개재되어, 상기 니켈막이 상기 제 1 및 제 2 에피택셜 영역들로부터 이격되는 반도체 소자의 제조 방법. - 제 13 항에 있어서,
상기 니켈 실리사이드막을 형성하는 것은 상기 실리사이드층과 상기 제 1 및 제 2 에피택셜 영역들 사이에 실리콘층의 일부분을 잔류시키는 것을 포함하는 반도체 소자의 제조 방법. - 삭제
- 삭제
- 제 1 항에 있어서,
상기 제 1 및 제 2 에피택셜 영역들을 형성하는 것은,
상기 게이트 전극 양측의 상기 반도체 기판을 식각하여 리세스 영역들을 형성하고,
상기 리세스 영역들 내에 실리콘 게르마늄 에피택셜층을 선택적으로 성장시키는 것을 포함하며, 상기 제 1 및 제 2 에피택셜 영역들은 상기 반도체 기판의 상부면으로부터 돌출되는 반도체 소자의 제조 방법. - 제 17 항에 있어서,
상기 리세스 영역은 상기 반도체 기판의 상면에 대해 서로 다른 각도를 갖는 제 1 및 제 2 경사면들에 의해 정의된 가장자리를 갖는 반도체 소자의 제조 방법. - 제 17 항에 있어서,
상기 제 1 및 제 2 에피택셜 영역들을 형성하는 것은,
실리콘 소스 가스, 게르마늄 소스 가스 및 식각 가스를 동시에 공급하여 선택적 에피택셜 성장 공정을 수행하는 것을 포함하는 반도체 소자의 제조 방법. - 제 1 항에 있어서,
상기 실리사이드층을 형성한 후에,
상기 게이트 전극을 노출시키는 층간 절연막을 형성하고,
상기 게이트 전극을 제거하여 게이트 절연막을 노출시키는 개구부를 형성하고,
상기 개구부 내에 금속 게이트 전극을 형성하는 것을 더 포함하는 반도체 소자의 제조 방법. - 삭제
- 소자 분리막에 의해 활성 영역이 정의된 반도체 기판;
게이트 절연막을 개재하여 상기 활성 영역 상에 적층된 게이트 전극;
상기 게이트 전극의 양측에서 상기 활성 영역 내에 각각 배치되는 제 1 및 제 2 에피택셜 영역들로서, 상기 제 1 및 제 2 에피택셜 영역들 각각은 상기 반도체 기판의 상면과 평행한 상면 및 상기 상면에 대해 경사진 경사면들을 갖는 것;
상기 게이트 전극 및 상기 제 1 및 제 2 에피택셜 영역들을 덮으며, 콘택 홀들을 갖는 층간 절연막;
상기 제 1 및 제 2 에피택셜 영역들과 상기 층간 절연막 사이에 개재되고, 상기 제 1 및 제 2 에피택셜 영역들의 상기 경사면들과 접촉하는 실리콘층; 및
상기 콘택 홀들 내에 배치되며, 상기 제 1 및 제 2 에피택셜 영역들의 상기 상면들과 접촉하는 제 1 및 제 2 실리사이드층들을 포함하되,
상기 제 1 및 제 2 에피택셜 영역들은 Si-X로 이루어지되, 여기서 X는 게르마늄 또는 탄소이고,
상기 제 1 및 제 2 실리사이드층들은 Si-Y로 이루어지되, 여기서 Y는 금속인 반도체 소자. - 삭제
- 삭제
- 삭제
- 제 22 항에 있어서,
상기 소자 분리막 상에서 상기 실리콘층의 일측을 덮는 절연 스페이서를 더 포함하는 반도체 소자. - 삭제
- 삭제
- 제 22 항에 있어서,
상기 Y는 니켈이고, 상기 제 1 및 제 2 실리사이드층들은 NixSi1-x (0<X<1)의 조성을 갖는 반도체 소자. - 제 22 항에 있어서,
상기 X는 게르마늄이고, 상기 반도체 소자는 PMOS 트랜지스터인 반도체 소자.
Priority Applications (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020100087618A KR101776926B1 (ko) | 2010-09-07 | 2010-09-07 | 반도체 소자 및 그 제조 방법 |
US13/155,483 US8835995B2 (en) | 2010-09-07 | 2011-06-08 | Semiconductor devices including silicide regions and methods of fabricating the same |
US14/485,035 US20150031183A1 (en) | 2010-09-07 | 2014-09-12 | Semiconductor devices including silicide regions and methods of fabricating the same |
US14/995,215 US10263109B2 (en) | 2010-09-07 | 2016-01-14 | Semiconductor devices including silicide regions and methods of fabricating the same |
US15/619,882 US10170622B2 (en) | 2010-09-07 | 2017-06-12 | Semiconductor device including MOS transistor having silicided source/drain region and method of fabricating the same |
US16/351,328 US11004976B2 (en) | 2010-09-07 | 2019-03-12 | Semiconductor device including MOS transistor having silicided source/drain region and method of fabricating the same |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020100087618A KR101776926B1 (ko) | 2010-09-07 | 2010-09-07 | 반도체 소자 및 그 제조 방법 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20120025314A KR20120025314A (ko) | 2012-03-15 |
KR101776926B1 true KR101776926B1 (ko) | 2017-09-08 |
Family
ID=45770064
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020100087618A Active KR101776926B1 (ko) | 2010-09-07 | 2010-09-07 | 반도체 소자 및 그 제조 방법 |
Country Status (2)
Country | Link |
---|---|
US (5) | US8835995B2 (ko) |
KR (1) | KR101776926B1 (ko) |
Families Citing this family (92)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP5444694B2 (ja) * | 2008-11-12 | 2014-03-19 | ソニー株式会社 | 固体撮像装置、その製造方法および撮像装置 |
US8816409B2 (en) * | 2010-07-15 | 2014-08-26 | United Microelectronics Corp. | Metal-oxide semiconductor transistor |
KR101776926B1 (ko) * | 2010-09-07 | 2017-09-08 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
KR101716113B1 (ko) * | 2010-11-03 | 2017-03-15 | 삼성전자 주식회사 | 반도체 소자 및 이의 제조 방법 |
US9537004B2 (en) | 2011-05-24 | 2017-01-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain formation and structure |
DE102011076695B4 (de) * | 2011-05-30 | 2013-05-08 | Globalfoundries Inc. | Transistoren mit eingebettetem verformungsinduzierenden Material, das in durch einen Oxidationsätzprozess erzeugten Aussparungen ausgebildet ist |
US20120315734A1 (en) * | 2011-06-09 | 2012-12-13 | Chan-Lon Yang | Method for fabricating semiconductor device |
US9847225B2 (en) * | 2011-11-15 | 2017-12-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacturing the same |
US8629038B2 (en) * | 2012-01-05 | 2014-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs with vertical fins and methods for forming the same |
US8716765B2 (en) | 2012-03-23 | 2014-05-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
CN102655081B (zh) * | 2012-04-16 | 2015-08-19 | 上海华力微电子有限公司 | 一种无定形碳牺牲栅极结构的浅结和侧墙的制备方法 |
US20130270614A1 (en) * | 2012-04-17 | 2013-10-17 | Toshiba America Electronic Components, Inc. | Formation of a trench silicide |
US20130292774A1 (en) * | 2012-05-07 | 2013-11-07 | Globalfoundries Inc. | Method for forming a semiconductor device having raised drain and source regions and corresponding semiconductor device |
CN103390558B (zh) * | 2012-05-08 | 2016-09-07 | 中芯国际集成电路制造(上海)有限公司 | 晶体管的形成方法 |
US8936977B2 (en) * | 2012-05-29 | 2015-01-20 | Globalfoundries Singapore Pte. Ltd. | Late in-situ doped SiGe junctions for PMOS devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations |
US8759920B2 (en) * | 2012-06-01 | 2014-06-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of forming the same |
US9012310B2 (en) * | 2012-06-11 | 2015-04-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial formation of source and drain regions |
US9748338B2 (en) * | 2012-06-29 | 2017-08-29 | Intel Corporation | Preventing isolation leakage in III-V devices |
KR20140006370A (ko) * | 2012-07-04 | 2014-01-16 | 삼성전자주식회사 | 반도체 소자 |
US9281246B2 (en) * | 2012-07-17 | 2016-03-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strain adjustment in the formation of MOS devices |
US8969163B2 (en) * | 2012-07-24 | 2015-03-03 | International Business Machines Corporation | Forming facet-less epitaxy with self-aligned isolation |
KR20140038826A (ko) | 2012-09-21 | 2014-03-31 | 삼성전자주식회사 | 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법 |
US9171762B2 (en) * | 2012-11-01 | 2015-10-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and fabrication method thereof |
US9054172B2 (en) * | 2012-12-05 | 2015-06-09 | United Microelectrnics Corp. | Semiconductor structure having contact plug and method of making the same |
US8900958B2 (en) | 2012-12-19 | 2014-12-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial formation mechanisms of source and drain regions |
US9252008B2 (en) | 2013-01-11 | 2016-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial formation mechanisms of source and drain regions |
US8853039B2 (en) | 2013-01-17 | 2014-10-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Defect reduction for formation of epitaxial layer in source and drain regions |
US9029919B2 (en) * | 2013-02-01 | 2015-05-12 | Globalfoundries Inc. | Methods of forming silicon/germanium protection layer above source/drain regions of a transistor and a device having such a protection layer |
KR20140108960A (ko) * | 2013-03-04 | 2014-09-15 | 삼성전자주식회사 | 듀얼 금속 실리사이드층을 갖는 반도체 장치의 제조 방법 |
US9029226B2 (en) | 2013-03-13 | 2015-05-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices |
US9093468B2 (en) | 2013-03-13 | 2015-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions |
US8877592B2 (en) | 2013-03-14 | 2014-11-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxial growth of doped film for source and drain regions |
US9076815B2 (en) * | 2013-05-31 | 2015-07-07 | Globalfoundries Inc. | Spacer stress relaxation |
US9293534B2 (en) | 2014-03-21 | 2016-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Formation of dislocations in source and drain regions of FinFET devices |
US9209175B2 (en) * | 2013-07-17 | 2015-12-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | MOS devices having epitaxy regions with reduced facets |
US9093555B2 (en) * | 2013-07-25 | 2015-07-28 | Texas Instruments Incorporated | Method of CMOS manufacturing utilizing multi-layer epitaxial hardmask films for improved EPI profile |
US9012964B2 (en) | 2013-08-09 | 2015-04-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Modulating germanium percentage in MOS devices |
US9401365B2 (en) | 2013-12-19 | 2016-07-26 | Texas Instruments Incorporated | Epitaxial source/drain differential spacers |
US9202916B2 (en) | 2013-12-27 | 2015-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure |
WO2015110527A1 (en) * | 2014-01-22 | 2015-07-30 | Mapper Lithography Ip B.V. | Electrical charge regulation for a semiconductor substrate during charged particle beam processing |
US10008383B2 (en) * | 2014-03-10 | 2018-06-26 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and manufacturing method thereof |
US9299587B2 (en) | 2014-04-10 | 2016-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Microwave anneal (MWA) for defect recovery |
US9496149B2 (en) * | 2014-04-14 | 2016-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods for manufacturing the same |
US9490365B2 (en) * | 2014-06-12 | 2016-11-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of fin-like field effect transistor |
KR20150144192A (ko) * | 2014-06-16 | 2015-12-24 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
US9941388B2 (en) * | 2014-06-19 | 2018-04-10 | Globalfoundries Inc. | Method and structure for protecting gates during epitaxial growth |
US9837533B2 (en) * | 2014-07-01 | 2017-12-05 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and manufacturing method thereof |
US9831183B2 (en) * | 2014-08-07 | 2017-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure and method of forming |
TWI575748B (zh) * | 2014-09-01 | 2017-03-21 | 聯華電子股份有限公司 | P型場效電晶體及包含該p型場效電晶體的互補式金屬氧化半導體電晶體 |
US9685364B2 (en) * | 2014-09-05 | 2017-06-20 | Globalfoundries Singapore Pte. Ltd. | Silicon-on-insulator integrated circuit devices with body contact structures and methods for fabricating the same |
US9748232B2 (en) * | 2014-12-31 | 2017-08-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure and method for forming the same |
US9496264B2 (en) * | 2015-02-13 | 2016-11-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method of FinFET device |
KR102468781B1 (ko) | 2015-07-01 | 2022-11-22 | 삼성전자주식회사 | 반도체 소자의 제조방법 |
US9812571B2 (en) | 2015-09-30 | 2017-11-07 | International Business Machines Corporation | Tensile strained high percentage silicon germanium alloy FinFETs |
CN105374665B (zh) * | 2015-10-27 | 2019-01-22 | 上海华力微电子有限公司 | 嵌入式外延锗硅层的盖帽层的制作方法 |
CN105261567B (zh) * | 2015-10-27 | 2018-11-09 | 上海华力微电子有限公司 | 嵌入式外延锗硅层的盖帽层的制作方法 |
US20170141228A1 (en) * | 2015-11-16 | 2017-05-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Field effect transistor and manufacturing method thereof |
US10794872B2 (en) | 2015-11-16 | 2020-10-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Acoustic measurement of fabrication equipment clearance |
US10134872B2 (en) * | 2015-12-28 | 2018-11-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and a method for fabricating the same |
US9947788B2 (en) * | 2016-02-09 | 2018-04-17 | Globalfoundries Inc. | Device with diffusion blocking layer in source/drain region |
CN107104051B (zh) * | 2016-02-22 | 2021-06-29 | 联华电子股份有限公司 | 半导体元件以及其制作方法 |
CN107369709B (zh) | 2016-05-12 | 2020-08-07 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
CN107369615A (zh) | 2016-05-12 | 2017-11-21 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US9997631B2 (en) * | 2016-06-03 | 2018-06-12 | Taiwan Semiconductor Manufacturing Company | Methods for reducing contact resistance in semiconductors manufacturing process |
US9847398B1 (en) * | 2016-07-13 | 2017-12-19 | United Microelectronics Corp. | Semiconductor device with gate structure having dielectric layer on one side and contact plug on the other side |
US9685535B1 (en) | 2016-09-09 | 2017-06-20 | International Business Machines Corporation | Conductive contacts in semiconductor on insulator substrate |
US10026840B2 (en) * | 2016-10-13 | 2018-07-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure of semiconductor device with source/drain structures |
CN108074814B (zh) * | 2016-11-11 | 2020-06-09 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
CN108122976B (zh) * | 2016-11-29 | 2020-11-03 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法、以及sram |
US10269906B2 (en) * | 2016-11-30 | 2019-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device having two spacers |
CN106783965A (zh) * | 2016-12-01 | 2017-05-31 | 上海华力微电子有限公司 | 一种锗硅源漏极及制备方法 |
US10269646B2 (en) * | 2016-12-15 | 2019-04-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
JP6716450B2 (ja) * | 2016-12-28 | 2020-07-01 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
EP3568873B1 (en) * | 2017-01-13 | 2023-11-08 | Massachusetts Institute of Technology | A method of forming a multilayer structure for a pixelated display and a multilayer structure for a pixelated display |
JP6867188B2 (ja) * | 2017-02-27 | 2021-04-28 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
CN108573872B (zh) * | 2017-03-07 | 2021-05-04 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
CN109087862B (zh) * | 2017-06-14 | 2021-06-08 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其形成方法 |
FR3069370B1 (fr) * | 2017-07-21 | 2021-10-22 | St Microelectronics Rousset | Circuit integre contenant une structure de leurre |
CN109427880B (zh) * | 2017-08-22 | 2021-12-28 | 中芯国际集成电路制造(上海)有限公司 | 半导体装置及其制造方法 |
CN109671674A (zh) * | 2017-10-13 | 2019-04-23 | 联华电子股份有限公司 | 半导体装置的制作方法 |
US10468530B2 (en) * | 2017-11-15 | 2019-11-05 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure with source/drain multi-layer structure and method for forming the same |
US10714475B2 (en) * | 2017-11-27 | 2020-07-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
WO2019132858A1 (en) * | 2017-12-26 | 2019-07-04 | Intel Corporation | Non-selective epitaxial source/drain deposition to reduce dopant diffusion for germanium nmos transistors |
US11489058B2 (en) | 2018-07-27 | 2022-11-01 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and associated manufacturing method |
US10693004B2 (en) * | 2018-08-14 | 2020-06-23 | Taiwan Semiconductor Manufactruing Co., Ltd. | Via structure with low resistivity and method for forming the same |
TWI825065B (zh) * | 2019-01-30 | 2023-12-11 | 聯華電子股份有限公司 | 半導體元件的製作方法 |
US11289604B2 (en) * | 2019-08-02 | 2022-03-29 | United Microelectronics Corp. | Method for fabricating a semiconductor device |
CN113130516A (zh) * | 2020-01-15 | 2021-07-16 | 联华电子股份有限公司 | 半导体影像感测元件及其制作方法 |
US11257712B2 (en) | 2020-05-13 | 2022-02-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain contact formation methods and devices |
JP7556798B2 (ja) * | 2021-01-22 | 2024-09-26 | ルネサスエレクトロニクス株式会社 | 半導体装置及び半導体パッケージ |
KR20230045715A (ko) * | 2021-09-28 | 2023-04-05 | 삼성전자주식회사 | 반도체 소자 및 그의 제조 방법 |
US20240113235A1 (en) * | 2022-09-30 | 2024-04-04 | Wolfspeed, Inc. | Silicon carbide device with single metallization process for ohmic and schottky contacts |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050253200A1 (en) * | 2003-12-08 | 2005-11-17 | Anand Murthy | Method for improving transistor performance through reducing the salicide interface resistance |
US20060270133A1 (en) * | 2005-05-26 | 2006-11-30 | Kabushiki Kaisha Toshiba | Semiconductor device and its manufacturing method |
Family Cites Families (144)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5112439A (en) * | 1988-11-30 | 1992-05-12 | Mcnc | Method for selectively depositing material on substrates |
US5201995A (en) * | 1992-03-16 | 1993-04-13 | Mcnc | Alternating cyclic pressure modulation process for selective area deposition |
US6537905B1 (en) * | 1996-12-30 | 2003-03-25 | Applied Materials, Inc. | Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug |
US6013319A (en) * | 1998-04-28 | 2000-01-11 | Dietze; Gerald R. | Method and apparatus for increasing deposition quality of a chemical vapor deposition system |
US8994104B2 (en) * | 1999-09-28 | 2015-03-31 | Intel Corporation | Contact resistance reduction employing germanium overlayer pre-contact metalization |
US6214679B1 (en) * | 1999-12-30 | 2001-04-10 | Intel Corporation | Cobalt salicidation method on a silicon germanium film |
US7391087B2 (en) * | 1999-12-30 | 2008-06-24 | Intel Corporation | MOS transistor structure and method of fabrication |
TW497120B (en) * | 2000-03-06 | 2002-08-01 | Toshiba Corp | Transistor, semiconductor device and manufacturing method of semiconductor device |
US6663787B1 (en) * | 2001-02-06 | 2003-12-16 | Advanced Micro Devices, Inc. | Use of ta/tan for preventing copper contamination of low-k dielectric layers |
US6445016B1 (en) | 2001-02-28 | 2002-09-03 | Advanced Micro Devices, Inc. | Silicon-on-insulator (SOI) transistor having partial hetero source/drain junctions fabricated with high energy germanium implantation |
US6489206B2 (en) * | 2001-03-22 | 2002-12-03 | United Microelectronics Corp. | Method for forming self-aligned local-halo metal-oxide-semiconductor device |
US6589887B1 (en) * | 2001-10-11 | 2003-07-08 | Novellus Systems, Inc. | Forming metal-derived layers by simultaneous deposition and evaporation of metal |
US6727176B2 (en) * | 2001-11-08 | 2004-04-27 | Advanced Micro Devices, Inc. | Method of forming reliable Cu interconnects |
US6797652B1 (en) * | 2002-03-15 | 2004-09-28 | Advanced Micro Devices, Inc. | Copper damascene with low-k capping layer and improved electromigration reliability |
KR100448714B1 (ko) * | 2002-04-24 | 2004-09-13 | 삼성전자주식회사 | 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법 |
US7473947B2 (en) * | 2002-07-12 | 2009-01-06 | Intel Corporation | Process for ultra-thin body SOI devices that incorporate EPI silicon tips and article made thereby |
KR20040016696A (ko) * | 2002-08-19 | 2004-02-25 | 삼성전자주식회사 | 반도체장치의 전극형성방법 및 장치 |
US6833322B2 (en) * | 2002-10-17 | 2004-12-21 | Applied Materials, Inc. | Apparatuses and methods for depositing an oxide film |
US6657223B1 (en) | 2002-10-29 | 2003-12-02 | Advanced Micro Devices, Inc. | Strained silicon MOSFET having silicon source/drain regions and method for its fabrication |
KR100499159B1 (ko) * | 2003-02-28 | 2005-07-01 | 삼성전자주식회사 | 리세스 채널을 갖는 반도체장치 및 그 제조방법 |
US20040175893A1 (en) * | 2003-03-07 | 2004-09-09 | Applied Materials, Inc. | Apparatuses and methods for forming a substantially facet-free epitaxial film |
US20040188684A1 (en) * | 2003-03-31 | 2004-09-30 | Glass Glenn A. | Selective deposition of smooth silicon, germanium, and silicon-germanium alloy epitaxial films |
US7176522B2 (en) * | 2003-11-25 | 2007-02-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having high drive current and method of manufacturing thereof |
JP4292969B2 (ja) * | 2003-12-09 | 2009-07-08 | セイコーエプソン株式会社 | 半導体装置及びその製造方法 |
US6875694B1 (en) * | 2004-02-10 | 2005-04-05 | Advanced Micro Devices, Inc. | Method of treating inlaid copper for improved capping layer adhesion without damaging porous low-k materials |
JP4837902B2 (ja) * | 2004-06-24 | 2011-12-14 | 富士通セミコンダクター株式会社 | 半導体装置 |
US7309658B2 (en) * | 2004-11-22 | 2007-12-18 | Intermolecular, Inc. | Molecular self-assembly in substrate processing |
JP4369359B2 (ja) | 2004-12-28 | 2009-11-18 | 富士通マイクロエレクトロニクス株式会社 | 半導体装置 |
US7195985B2 (en) * | 2005-01-04 | 2007-03-27 | Intel Corporation | CMOS transistor junction regions formed by a CVD etching and deposition sequence |
US7335959B2 (en) * | 2005-01-06 | 2008-02-26 | Intel Corporation | Device with stepped source/drain region profile |
US7465972B2 (en) * | 2005-01-21 | 2008-12-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | High performance CMOS device design |
US7696537B2 (en) | 2005-04-18 | 2010-04-13 | Toshiba America Electronic Components, Inc. | Step-embedded SiGe structure for PFET mobility enhancement |
JP2006351581A (ja) * | 2005-06-13 | 2006-12-28 | Fujitsu Ltd | 半導体装置の製造方法 |
JP4984665B2 (ja) | 2005-06-22 | 2012-07-25 | 富士通セミコンダクター株式会社 | 半導体装置およびその製造方法 |
US7579617B2 (en) | 2005-06-22 | 2009-08-25 | Fujitsu Microelectronics Limited | Semiconductor device and production method thereof |
US7405131B2 (en) * | 2005-07-16 | 2008-07-29 | Chartered Semiconductor Manufacturing, Ltd. | Method and structure to prevent silicide strapping of source/drain to body in semiconductor devices with source/drain stressor |
US7452759B2 (en) * | 2005-11-29 | 2008-11-18 | Micron Technology, Inc. | Carbon nanotube field effect transistor and methods for making same |
US7939413B2 (en) * | 2005-12-08 | 2011-05-10 | Samsung Electronics Co., Ltd. | Embedded stressor structure and process |
US7718500B2 (en) * | 2005-12-16 | 2010-05-18 | Chartered Semiconductor Manufacturing, Ltd | Formation of raised source/drain structures in NFET with embedded SiGe in PFET |
JP5145672B2 (ja) | 2006-02-27 | 2013-02-20 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
US7579248B2 (en) * | 2006-02-13 | 2009-08-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Resolving pattern-loading issues of SiGe stressor |
US7355262B2 (en) * | 2006-03-17 | 2008-04-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Diffusion topography engineering for high performance CMOS fabrication |
US7566605B2 (en) * | 2006-03-31 | 2009-07-28 | Intel Corporation | Epitaxial silicon germanium for reduced contact resistance in field-effect transistors |
US7288822B1 (en) * | 2006-04-07 | 2007-10-30 | United Microelectronics Corp. | Semiconductor structure and fabricating method thereof |
JP5081394B2 (ja) * | 2006-05-19 | 2012-11-28 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US7678631B2 (en) * | 2006-06-06 | 2010-03-16 | Intel Corporation | Formation of strain-inducing films |
US7485524B2 (en) * | 2006-06-21 | 2009-02-03 | International Business Machines Corporation | MOSFETs comprising source/drain regions with slanted upper surfaces, and method for fabricating the same |
JP5076388B2 (ja) | 2006-07-28 | 2012-11-21 | 富士通セミコンダクター株式会社 | 半導体装置及びその製造方法 |
JP5175285B2 (ja) * | 2006-07-31 | 2013-04-03 | アプライド マテリアルズ インコーポレイテッド | エピタキシャル層形成中の形態制御方法 |
JP2008071890A (ja) | 2006-09-13 | 2008-03-27 | Toshiba Corp | 半導体装置及びその製造方法 |
JP5114919B2 (ja) * | 2006-10-26 | 2013-01-09 | 富士通セミコンダクター株式会社 | 半導体装置とその製造方法 |
US7585758B2 (en) * | 2006-11-06 | 2009-09-08 | International Business Machines Corporation | Interconnect layers without electromigration |
US7750338B2 (en) * | 2006-12-05 | 2010-07-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dual-SiGe epitaxy for MOS devices |
US7719062B2 (en) * | 2006-12-29 | 2010-05-18 | Intel Corporation | Tuned tensile stress low resistivity slot contact structure for n-type transistor performance enhancement |
US8217423B2 (en) * | 2007-01-04 | 2012-07-10 | International Business Machines Corporation | Structure and method for mobility enhanced MOSFETs with unalloyed silicide |
JP5211503B2 (ja) * | 2007-02-16 | 2013-06-12 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
US8282733B2 (en) * | 2007-04-02 | 2012-10-09 | Hitachi Kokusai Electric Inc. | Manufacturing method of semiconductor apparatus |
US8124473B2 (en) * | 2007-04-12 | 2012-02-28 | Advanced Micro Devices, Inc. | Strain enhanced semiconductor devices and methods for their fabrication |
AT506562B1 (de) * | 2007-04-30 | 2011-05-15 | Miba Sinter Austria Gmbh | Zahnriemenrad |
JP5168274B2 (ja) * | 2007-05-14 | 2013-03-21 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
US20080303060A1 (en) * | 2007-06-06 | 2008-12-11 | Jin-Ping Han | Semiconductor devices and methods of manufacturing thereof |
JP5286701B2 (ja) * | 2007-06-27 | 2013-09-11 | ソニー株式会社 | 半導体装置および半導体装置の製造方法 |
US7759199B2 (en) | 2007-09-19 | 2010-07-20 | Asm America, Inc. | Stressor for engineered strain on channel |
KR20090033788A (ko) * | 2007-10-01 | 2009-04-06 | 가부시키가이샤 히다치 고쿠사이 덴키 | 반도체 장치의 제조 방법과 기판 처리 장치 |
US7776698B2 (en) * | 2007-10-05 | 2010-08-17 | Applied Materials, Inc. | Selective formation of silicon carbon epitaxial layer |
JP2009111200A (ja) * | 2007-10-31 | 2009-05-21 | Panasonic Corp | 半導体装置及びその製造方法 |
US20090152590A1 (en) * | 2007-12-13 | 2009-06-18 | International Business Machines Corporation | Method and structure for semiconductor devices with silicon-germanium deposits |
JP5168287B2 (ja) * | 2008-01-25 | 2013-03-21 | 富士通セミコンダクター株式会社 | 半導体装置及びその製造方法 |
JP2009228078A (ja) * | 2008-03-24 | 2009-10-08 | Fujitsu Ltd | 電解メッキ液、電解メッキ方法、および半導体装置の製造方法 |
JP5120448B2 (ja) * | 2008-03-31 | 2013-01-16 | 富士通セミコンダクター株式会社 | 半導体装置及びその製造方法 |
US7838887B2 (en) * | 2008-04-30 | 2010-11-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source/drain carbon implant and RTA anneal, pre-SiGe deposition |
JP5446126B2 (ja) * | 2008-05-13 | 2014-03-19 | 富士通セミコンダクター株式会社 | 電解メッキ方法および半導体装置の製造方法 |
JP2009302317A (ja) | 2008-06-13 | 2009-12-24 | Renesas Technology Corp | 半導体装置およびその製造方法 |
KR20100006009A (ko) * | 2008-07-08 | 2010-01-18 | 주성엔지니어링(주) | 반도체 제조 장치 |
US7736982B2 (en) * | 2008-10-14 | 2010-06-15 | United Microelectronics Corp. | Method for forming a semiconductor device |
US20100109044A1 (en) * | 2008-10-30 | 2010-05-06 | Tekleab Daniel G | Optimized Compressive SiGe Channel PMOS Transistor with Engineered Ge Profile and Optimized Silicon Cap Layer |
KR101552938B1 (ko) * | 2009-02-02 | 2015-09-14 | 삼성전자주식회사 | 스트레스 생성층을 갖는 반도체 소자의 제조방법 |
US8026539B2 (en) * | 2009-02-18 | 2011-09-27 | Globalfoundries Inc. | Metal oxide semiconductor devices having doped silicon-compromising capping layers and methods for fabricating the same |
JP5381382B2 (ja) * | 2009-06-19 | 2014-01-08 | 富士通セミコンダクター株式会社 | 半導体装置及びその製造方法 |
JP2011009412A (ja) * | 2009-06-25 | 2011-01-13 | Toshiba Corp | 半導体装置およびその製造方法 |
JP2011014762A (ja) * | 2009-07-03 | 2011-01-20 | Toshiba Corp | 半導体装置及び半導体装置の製造方法 |
US20110031503A1 (en) * | 2009-08-10 | 2011-02-10 | International Business Machines Corporation | Device with stressed channel |
US8174074B2 (en) * | 2009-09-01 | 2012-05-08 | International Business Machines Corporation | Asymmetric embedded silicon germanium field effect transistor |
US7994062B2 (en) * | 2009-10-30 | 2011-08-09 | Sachem, Inc. | Selective silicon etch process |
US8445340B2 (en) * | 2009-11-19 | 2013-05-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Sacrificial offset protection film for a FinFET device |
US8227890B2 (en) * | 2009-12-18 | 2012-07-24 | United Microelectronics Corporation | Method of forming an electrical fuse and a metal gate transistor and the related electrical fuse |
US7989298B1 (en) * | 2010-01-25 | 2011-08-02 | International Business Machines Corporation | Transistor having V-shaped embedded stressor |
KR101668097B1 (ko) * | 2010-03-12 | 2016-10-24 | 삼성전자주식회사 | 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성 방법 |
KR101714003B1 (ko) * | 2010-03-19 | 2017-03-09 | 삼성전자 주식회사 | 패시티드 반도체패턴을 갖는 반도체소자 형성방법 및 관련된 소자 |
US8377784B2 (en) * | 2010-04-22 | 2013-02-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for fabricating a semiconductor device |
KR101676818B1 (ko) * | 2010-05-19 | 2016-11-17 | 삼성전자주식회사 | 게이트 구조를 포함하는 반도체 소자들 및 그 제조 방법 |
DE102010029532B4 (de) * | 2010-05-31 | 2012-01-26 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | Transistor mit eingebettetem verformungsinduzierenden Material, das in diamantförmigen Aussparungen auf der Grundlage einer Voramorphisierung hergestellt ist |
US8236659B2 (en) * | 2010-06-16 | 2012-08-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source and drain feature profile for improving device performance and method of manufacturing same |
US8492234B2 (en) * | 2010-06-29 | 2013-07-23 | International Business Machines Corporation | Field effect transistor device |
US8216906B2 (en) * | 2010-06-30 | 2012-07-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing integrated circuit device with well controlled surface proximity |
US8928094B2 (en) * | 2010-09-03 | 2015-01-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained asymmetric source/drain |
KR101776926B1 (ko) * | 2010-09-07 | 2017-09-08 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US8405155B2 (en) * | 2010-09-23 | 2013-03-26 | United Microelectronics Corp. | Semiconductor structure with gate structure, source/drain region and recess filling with epitaxial layer |
KR101811796B1 (ko) * | 2010-10-06 | 2018-01-25 | 삼성전자주식회사 | 급경사 접합 프로파일을 갖는 소스/드레인 영역들을 구비하는 반도체 소자 및 그 제조방법 |
KR20120042301A (ko) * | 2010-10-25 | 2012-05-03 | 삼성전자주식회사 | 반도체 장치의 제조방법 |
US8569139B2 (en) * | 2010-10-27 | 2013-10-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing strained source/drain structures |
CN102468326B (zh) * | 2010-10-29 | 2015-01-07 | 中国科学院微电子研究所 | 接触电极制造方法和半导体器件 |
US8420491B2 (en) * | 2010-11-09 | 2013-04-16 | International Business Machines Corporation | Structure and method for replacement metal gate field effect transistors |
US8835982B2 (en) * | 2011-02-14 | 2014-09-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing strained source/drain structures |
US20120241816A1 (en) * | 2011-03-21 | 2012-09-27 | GLOBALFOUNDRIES Dresden Module One Limited Liability Company & Co., KG | Stabilization of Metal Silicides in PFET Transistors by Incorporation of Stabilizing Species in a Si/Ge Semiconductor Material |
US20120292637A1 (en) * | 2011-05-17 | 2012-11-22 | Globalfoundries Inc. | Dual Cavity Etch for Embedded Stressor Regions |
US8431460B2 (en) * | 2011-05-27 | 2013-04-30 | United Microelectronics Corp. | Method for fabricating semiconductor device |
US8482079B2 (en) * | 2011-06-15 | 2013-07-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacturing the same |
US8999794B2 (en) * | 2011-07-14 | 2015-04-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned source and drain structures and method of manufacturing same |
US8609518B2 (en) * | 2011-07-22 | 2013-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Re-growing source/drain regions from un-relaxed silicon layer |
KR20130020221A (ko) * | 2011-08-19 | 2013-02-27 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
KR101868806B1 (ko) * | 2011-11-04 | 2018-06-22 | 삼성전자주식회사 | 반도체 소자 제조 방법 |
US9847225B2 (en) * | 2011-11-15 | 2017-12-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacturing the same |
US8647953B2 (en) * | 2011-11-17 | 2014-02-11 | United Microelectronics Corp. | Method for fabricating first and second epitaxial cap layers |
KR20130074353A (ko) * | 2011-12-26 | 2013-07-04 | 삼성전자주식회사 | 트랜지스터를 포함하는 반도체 소자 |
US20130316252A1 (en) | 2012-05-22 | 2013-11-28 | Lg Chem, Ltd. | Non-Aqueous Electrolyte Solution For Lithium Secondary Battery And Lithium Secondary Battery Comprising The Same |
KR101929384B1 (ko) * | 2012-05-24 | 2018-12-14 | 삼성전자주식회사 | 선택적으로 질화처리된 게이트 절연막을 갖는 반도체 장치의 제조 방법 |
KR101986534B1 (ko) * | 2012-06-04 | 2019-06-07 | 삼성전자주식회사 | 내장된 스트레인-유도 패턴을 갖는 반도체 소자 및 그 형성 방법 |
KR101908451B1 (ko) * | 2012-06-04 | 2018-10-16 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US8927422B2 (en) * | 2012-06-18 | 2015-01-06 | International Business Machines Corporation | Raised silicide contact |
KR20140016008A (ko) * | 2012-07-30 | 2014-02-07 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US8835305B2 (en) * | 2012-07-31 | 2014-09-16 | International Business Machines Corporation | Method of fabricating a profile control in interconnect structures |
US20140054710A1 (en) * | 2012-08-22 | 2014-02-27 | Texas Instruments Incorporated | Reduction of Proximity Effects in Field-Effect Transistors with Embedded Silicon-Germanium Source and Drain Regions |
CN103632973B (zh) * | 2012-08-23 | 2017-01-25 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
US8741759B2 (en) * | 2012-11-08 | 2014-06-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for fabricating a semiconductor device |
US8653599B1 (en) * | 2012-11-16 | 2014-02-18 | International Business Machines Corporation | Strained SiGe nanowire having (111)-oriented sidewalls |
TWI643346B (zh) * | 2012-11-22 | 2018-12-01 | 三星電子股份有限公司 | 在凹處包括一應力件的半導體裝置及其形成方法(三) |
KR102059526B1 (ko) | 2012-11-22 | 2019-12-26 | 삼성전자주식회사 | 내장 스트레서를 갖는 반도체 소자 형성 방법 및 관련된 소자 |
US20140159052A1 (en) * | 2012-12-11 | 2014-06-12 | Globalfoundries Inc. | Method and structure for transistor with reduced drain-induced barrier lowering and on resistance |
US9059041B2 (en) * | 2013-07-02 | 2015-06-16 | International Business Machines Corporation | Dual channel hybrid semiconductor-on-insulator semiconductor devices |
JP6244699B2 (ja) | 2013-07-08 | 2017-12-13 | 富士通セミコンダクター株式会社 | 半導体装置 |
US9209175B2 (en) * | 2013-07-17 | 2015-12-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | MOS devices having epitaxy regions with reduced facets |
KR102068980B1 (ko) * | 2013-08-01 | 2020-01-22 | 삼성전자 주식회사 | 반도체 장치 및 그 제조 방법 |
CN105493254B (zh) * | 2013-09-26 | 2020-12-29 | 英特尔公司 | Nmos结构中形成位错增强的应变的方法 |
CN104701164A (zh) * | 2013-12-04 | 2015-06-10 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件和半导体器件的制作方法 |
US9214551B2 (en) * | 2014-02-19 | 2015-12-15 | United Microelectronics Corp. | Method for fabricating semiconductor device, and semiconductor device made thereby |
KR102171023B1 (ko) * | 2014-07-21 | 2020-10-29 | 삼성전자주식회사 | 반도체 소자 제조방법 |
KR102259080B1 (ko) * | 2014-09-23 | 2021-06-03 | 삼성전자주식회사 | 반도체 소자 및 그 제조방법 |
CN104821336B (zh) * | 2015-04-20 | 2017-12-12 | 上海华力微电子有限公司 | 用于使用保形填充层改善器件表面均匀性的方法和系统 |
US10026837B2 (en) * | 2015-09-03 | 2018-07-17 | Texas Instruments Incorporated | Embedded SiGe process for multi-threshold PMOS transistors |
KR102530671B1 (ko) | 2015-12-31 | 2023-05-10 | 삼성전자주식회사 | 반도체 소자 및 이를 제조하는 방법 |
US10347748B2 (en) * | 2016-04-06 | 2019-07-09 | Globalfoundries Inc. | Methods of forming source/drain regions on FinFET devices |
KR102570269B1 (ko) * | 2016-07-22 | 2023-08-25 | 삼성전자주식회사 | 전세정 장치 및 기판 처리 시스템 |
KR102579874B1 (ko) | 2016-12-27 | 2023-09-18 | 삼성전자주식회사 | 집적회로 소자 및 그 제조 방법 |
-
2010
- 2010-09-07 KR KR1020100087618A patent/KR101776926B1/ko active Active
-
2011
- 2011-06-08 US US13/155,483 patent/US8835995B2/en active Active
-
2014
- 2014-09-12 US US14/485,035 patent/US20150031183A1/en not_active Abandoned
-
2016
- 2016-01-14 US US14/995,215 patent/US10263109B2/en active Active
-
2017
- 2017-06-12 US US15/619,882 patent/US10170622B2/en active Active
-
2019
- 2019-03-12 US US16/351,328 patent/US11004976B2/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050253200A1 (en) * | 2003-12-08 | 2005-11-17 | Anand Murthy | Method for improving transistor performance through reducing the salicide interface resistance |
US20060270133A1 (en) * | 2005-05-26 | 2006-11-30 | Kabushiki Kaisha Toshiba | Semiconductor device and its manufacturing method |
Also Published As
Publication number | Publication date |
---|---|
US11004976B2 (en) | 2021-05-11 |
US20160133748A1 (en) | 2016-05-12 |
US20150031183A1 (en) | 2015-01-29 |
US20170278967A1 (en) | 2017-09-28 |
US10263109B2 (en) | 2019-04-16 |
US8835995B2 (en) | 2014-09-16 |
US10170622B2 (en) | 2019-01-01 |
US20190214498A1 (en) | 2019-07-11 |
KR20120025314A (ko) | 2012-03-15 |
US20120056245A1 (en) | 2012-03-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101776926B1 (ko) | 반도체 소자 및 그 제조 방법 | |
US7592214B2 (en) | Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate | |
US7985641B2 (en) | Semiconductor device with strained transistors and its manufacture | |
EP1639636B1 (en) | Optimization of mechanical strain in channels of p-mos and n-mos transistors | |
CN100440536C (zh) | 半导体器件及其制造方法 | |
US9178034B2 (en) | Fabrication method of semiconductor device and fabrication method of dynamic threshold transistor | |
US9659823B2 (en) | Highly scaled tunnel FET with tight pitch and method to fabricate same | |
US10714474B2 (en) | High voltage CMOS with triple gate oxide | |
JP5915181B2 (ja) | 半導体装置およびその製造方法 | |
KR20120058962A (ko) | 반도체 장치의 제조 방법 | |
US20100327329A1 (en) | Semiconductor device and method of fabricating the same | |
TWI677095B (zh) | 積體電路及其製造方法 | |
JP2007299951A (ja) | 半導体装置およびその製造方法 | |
JPWO2007034553A1 (ja) | 半導体装置およびその製造方法 | |
US20070228417A1 (en) | Semiconductor device and method of fabricating the same | |
TW202133327A (zh) | 半導體裝置 | |
TW202236529A (zh) | 半導體裝置及其製造方法 | |
KR101675388B1 (ko) | 반도체 장치의 제조 방법 | |
TWI864222B (zh) | 形成半導體元件的方法 | |
TWI485783B (zh) | 具有封裝的壓力源區域的半導體裝置及製作方法 | |
CN113270320B (zh) | 一种半导体元件的制备方法及半导体元件 | |
US20220384436A1 (en) | Semiconductor Device and Method of Forming Same | |
JP2010003990A (ja) | 半導体装置の製造方法 | |
KR20080070252A (ko) | 반도체 집적 회로 장치 및 그 제조 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PA0109 | Patent application |
Patent event code: PA01091R01D Comment text: Patent Application Patent event date: 20100907 |
|
PG1501 | Laying open of application | ||
A201 | Request for examination | ||
PA0201 | Request for examination |
Patent event code: PA02012R01D Patent event date: 20150907 Comment text: Request for Examination of Application Patent event code: PA02011R01I Patent event date: 20100907 Comment text: Patent Application |
|
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20161021 Patent event code: PE09021S01D |
|
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20170424 Patent event code: PE09021S01D |
|
E701 | Decision to grant or registration of patent right | ||
PE0701 | Decision of registration |
Patent event code: PE07011S01D Comment text: Decision to Grant Registration Patent event date: 20170829 |
|
GRNT | Written decision to grant | ||
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20170904 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20170905 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration | ||
PR1001 | Payment of annual fee |
Payment date: 20200831 Start annual number: 4 End annual number: 4 |
|
PR1001 | Payment of annual fee |
Payment date: 20210825 Start annual number: 5 End annual number: 5 |
|
PR1001 | Payment of annual fee |
Payment date: 20220824 Start annual number: 6 End annual number: 6 |
|
PR1001 | Payment of annual fee |
Payment date: 20240823 Start annual number: 8 End annual number: 8 |