KR100276736B1 - 플라즈마 처리장치 - Google Patents
플라즈마 처리장치 Download PDFInfo
- Publication number
- KR100276736B1 KR100276736B1 KR1019940026833A KR19940026833A KR100276736B1 KR 100276736 B1 KR100276736 B1 KR 100276736B1 KR 1019940026833 A KR1019940026833 A KR 1019940026833A KR 19940026833 A KR19940026833 A KR 19940026833A KR 100276736 B1 KR100276736 B1 KR 100276736B1
- Authority
- KR
- South Korea
- Prior art keywords
- high frequency
- plasma
- processing chamber
- coil
- antenna
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000012545 processing Methods 0.000 title claims abstract description 275
- 238000000034 method Methods 0.000 claims abstract description 75
- 230000008569 process Effects 0.000 claims abstract description 54
- 230000008859 change Effects 0.000 claims abstract description 21
- 238000005530 etching Methods 0.000 claims description 47
- 230000006698 induction Effects 0.000 claims description 23
- 238000000295 emission spectrum Methods 0.000 claims description 18
- 230000001939 inductive effect Effects 0.000 claims description 8
- 238000010494 dissociation reaction Methods 0.000 claims description 4
- 238000001228 spectrum Methods 0.000 claims description 4
- 238000001514 detection method Methods 0.000 claims 3
- 230000005593 dissociations Effects 0.000 claims 2
- 230000005686 electrostatic field Effects 0.000 claims 1
- 238000005259 measurement Methods 0.000 abstract description 9
- 239000007789 gas Substances 0.000 description 89
- 235000012431 wafers Nutrition 0.000 description 76
- 239000004065 semiconductor Substances 0.000 description 36
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 26
- 150000002500 ions Chemical class 0.000 description 19
- 238000009826 distribution Methods 0.000 description 16
- 238000004804 winding Methods 0.000 description 15
- 229910052782 aluminium Inorganic materials 0.000 description 12
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 12
- 230000003287 optical effect Effects 0.000 description 11
- 239000000758 substrate Substances 0.000 description 11
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 10
- 239000007795 chemical reaction product Substances 0.000 description 10
- 238000001816 cooling Methods 0.000 description 10
- 238000010586 diagram Methods 0.000 description 10
- 239000000919 ceramic Substances 0.000 description 9
- 230000001276 controlling effect Effects 0.000 description 9
- 230000005291 magnetic effect Effects 0.000 description 9
- 230000007246 mechanism Effects 0.000 description 9
- 230000010355 oscillation Effects 0.000 description 9
- 238000001020 plasma etching Methods 0.000 description 9
- 238000009832 plasma treatment Methods 0.000 description 8
- 238000012546 transfer Methods 0.000 description 8
- 239000003990 capacitor Substances 0.000 description 7
- 229910052751 metal Inorganic materials 0.000 description 7
- 239000002184 metal Substances 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 6
- 229910052799 carbon Inorganic materials 0.000 description 6
- 230000001965 increasing effect Effects 0.000 description 6
- 230000004044 response Effects 0.000 description 6
- 235000012239 silicon dioxide Nutrition 0.000 description 6
- 229910052802 copper Inorganic materials 0.000 description 5
- 239000010949 copper Substances 0.000 description 5
- 230000007423 decrease Effects 0.000 description 5
- 229910052757 nitrogen Inorganic materials 0.000 description 5
- 238000003672 processing method Methods 0.000 description 5
- 239000010453 quartz Substances 0.000 description 5
- 239000002826 coolant Substances 0.000 description 4
- 239000000112 cooling gas Substances 0.000 description 4
- 239000006185 dispersion Substances 0.000 description 4
- 230000005684 electric field Effects 0.000 description 4
- 238000009413 insulation Methods 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 230000005298 paramagnetic effect Effects 0.000 description 4
- 239000003507 refrigerant Substances 0.000 description 4
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 238000005513 bias potential Methods 0.000 description 3
- 230000006866 deterioration Effects 0.000 description 3
- 230000005672 electromagnetic field Effects 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 239000000463 material Substances 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 239000013307 optical fiber Substances 0.000 description 3
- 230000001105 regulatory effect Effects 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 2
- 230000009471 action Effects 0.000 description 2
- 229910002091 carbon monoxide Inorganic materials 0.000 description 2
- 229910052681 coesite Inorganic materials 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 229910052906 cristobalite Inorganic materials 0.000 description 2
- 229910021419 crystalline silicon Inorganic materials 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 208000018459 dissociative disease Diseases 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 230000003028 elevating effect Effects 0.000 description 2
- RDYMFSUJUZBWLH-UHFFFAOYSA-N endosulfan Chemical compound C12COS(=O)OCC2C2(Cl)C(Cl)=C(Cl)C1(Cl)C2(Cl)Cl RDYMFSUJUZBWLH-UHFFFAOYSA-N 0.000 description 2
- 239000010419 fine particle Substances 0.000 description 2
- 238000010304 firing Methods 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- 229920001721 polyimide Polymers 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 230000003595 spectral effect Effects 0.000 description 2
- 229910052682 stishovite Inorganic materials 0.000 description 2
- 229910052905 tridymite Inorganic materials 0.000 description 2
- 244000025254 Cannabis sativa Species 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910000831 Steel Inorganic materials 0.000 description 1
- 239000004809 Teflon Substances 0.000 description 1
- 229920006362 Teflon® Polymers 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 238000005452 bending Methods 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 238000007664 blowing Methods 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000011889 copper foil Substances 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000000151 deposition Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 239000011888 foil Substances 0.000 description 1
- 229910001385 heavy metal Inorganic materials 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 239000012774 insulation material Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- WABPQHHGFIMREM-UHFFFAOYSA-N lead(0) Chemical compound [Pb] WABPQHHGFIMREM-UHFFFAOYSA-N 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000002294 plasma sputter deposition Methods 0.000 description 1
- 239000009719 polyimide resin Substances 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 238000004080 punching Methods 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 230000008929 regeneration Effects 0.000 description 1
- 238000011069 regeneration method Methods 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 239000010959 steel Substances 0.000 description 1
- 239000012780 transparent material Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32174—Circuits specially adapted for controlling the RF discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32935—Monitoring and controlling tubes by information coming from the object and/or discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/32935—Monitoring and controlling tubes by information coming from the object and/or discharge
- H01J37/32963—End-point detection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32917—Plasma diagnostics
- H01J37/3299—Feedback systems
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
- H01J2237/3343—Problems associated with etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma Technology (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
Description
Claims (18)
- 외벽을 가지며, 플라즈마 처리될 면을 가진 피처리물을 그 벽내에 포함하는 플라즈마 처리용 처리실과; 상기 처리실내에서 플라즈마를 발생하기 위하여 상기 처리실내에 고주파 유도계를 제공하는 유도수단과; 상기 유도수단에 고주파 전압을 인가하기 위한 전압인가수단과; 플라즈마의 발생시에 상기 처리실내의 플라즈마로부터의 압력변화 또는 광강도 변화를 측정하고, 그 변화에 상당하는 신호를 출력하는 측정수단; 및 상기 측정수단으로부터의 신호에 근거하여 고주파 전압인가수단을 제어하고, 상기 처리실내의 플라즈마를 확인하기 위한 전압을 제어하는 제어수단을 포함고, 상기 유도수단은 상기 처리실의 외부에 배치된 고주파 안테나와, 상기 고주파 안테나와 상기 처리실의 사이에 위치하는 절연부재를 가지며, 상기 처리실은 피처리물과 대면하고, 상기 절연부재로 구성되는 벽부분을 가지며, 상기 고주파 안테나는 상기 벽 부분에 놓인 스파이어럴 안테나를 가지고, 상기 안테나는 상기 안테나의 내부 끝단에 형성된 내부 단자와, 상기 안테나의 외부 끝단에 형성된 외부 단자, 및 상기 단자들 사이에 형성된 적어도 한개의 중간단자를 가지며, 상기 단자들은 각각 상기 고주파 전압인가수단에 접속되어, 상기 내부단자와 상기 중간단자의 사이와, 상기 중간단자와 상기 외부단자의 사이, 및 상기 중간단자와 상기 고주파 전압인가 수단의 사이에 전류가 흐르도록 하고, 상기 제어수단은 독립적으로 전류를 제어하는 것을 특징으로 하는 플라즈마 처리장치.
- 제1항에 있어서, 상기 고주파 안테나로부터 상기 처리실로 정전계가 인가되는 것을 방지하기 위하여 상기 고주파 안테나와 상기 절연부재의 사이에 배치되는 정전 시일드 수단을 더욱 포함하여 구성되는 것을 특징으로 하는 플라즈마 처리장치.
- 제1항에 있어서, 상기 고주파 전압 인가수단을 제어하기 위한 제어수단은, 인가될 전압이 피처리물에 관한 처리시에 간헐적으로 인가되고, 플라즈마가 간헐적으로 발생하도록 상기 고주파 전압인가수단을 제어하는 것을 특징으로 하는 플라즈마 처리장치.
- 제3항에 있어서, 상기 측정수단은 상기 처리실내에 존재하는 가스의 방출 스펙트럼과 상기 처리실로부터 방출된 광의 단파 성분을 측정하고, 측정된 방출스펙트럼에 대응하는 제 1 신호 및 단파성분에 대응하는 제 2 신호를 출력하는 광검출 수단을 가지며, 상기 제어수단은 제 1 신호에 근거하여 플라즈마의 상태를 검출하고, 상기 고주파 전압 인가수단을 제어하기 위하여 제 2 신호를 사용한 검출결과를 정정하는 것을 특징으로 하는 플라즈마 처리장치.
- 제1항에 있어서, 상기 측정수단은 상기 처리실내에 존재하는 양이 피처리물에 관한 에칭처리시에 상대적으로 또한 대량으로 변화하는 제 1 가스성분의 방출강도와, 상기 처리실내에 존재하는 양이 에칭처리시에 상대적으로 변화하지 않는 제 2 가스 성분의 방출강도를 측정하며, 상기 제어수단은 방출강도율에 있어서의 변화 따라서 상기 고주파 전압인가수단을 제어하는 것을 특징으로 하는 플라즈마 처리장치.
- 제1항에 있어서, 상기 측정수단은 상기 처리실내의 압력을 측정하며, 그 압력에 해당하는 신호를 출력하기 위한 압력측정수단을 포함하여 구성되며, 상기 제어수단은 에칭처리가 소정의 에칭분위기에 놓여진 피처리물에 관하여 수행될 때 얻어진 에칭률과 상기 처리실내의 압력사이의 상관관계로부터 얻어진 에칭률이 소정의 범위내로 떨어지는 압력범위로 그 신호에 의하여 나타낸 압력이 떨어지도록 상기 고주파 전압 인가수단을 제어하는 것을 특징으로 하는 플라즈마 처리장치.
- 제1항에 있어서, 상기 처리실은 피처리물에 관한 처리시에 10 내지 100 mTorr 의 압력에서 유지되는 것을 특징으로 하는 플라즈마 처리장치.
- 제1항에 있어서, 피처리물은 2 내지 5 eV 의 전자온도에서 처리되는 것을 특징으로 하는 플라즈마 처리장치.
- 외벽을 가지며, 플라즈마로 처리될 면을 가진 피처리물을 상기 벽내에 포함하는 플라즈마 처리용 처리실과; 상기 처리실내에서 플라즈마를 발생하기 위하여 상기 처리실내에 고주파 유도계를 마련하며, 피처리면에 평행한 가상적인 면상에서 동축적으로 배치되고 상호간에 절연된 적어도 제 1 내부코일 및 제 2 외부코일을 가지는 유도수단과, 상기 처리실내에서 상기 플라즈마를 제어하기 위하여 상기 제1 내부코일 및 제 2 외부코일에 각각 고주파 전류를 공급하는 공급수단과, 상기 제 1 내부코일 및 제 2 외부코일에 공급된 고주파 전류의 위상을 제어하기 위한 위상제어수단과, 상기 처리실내의 압력을 검출하고 검출된 압력에 해당하는 신호를 출력하기 위한 압력검출수단과, 상기 제 1 고주파 코일에 공급된 전력 및 상기 제 2 고주파 코일에 공급된 전력이 상기 압력검출수단으로부터의 신호에 근거한 소정의 분할율을 가지도록 제어하기 위한 수단을 포함하여 구성되는 것을 특징으로 하는 플라즈마 처리장치.
- 제9항에 있어서, 상기 위상제어수단은 상기 제 1 고주파코일에 공급된 고주파전류와 상기 제 2 고주파코일로 공급된 고주파 전류의 위상이 상호간에 동일한 위상으로 되거나 약 180˚이동된 위상으로 되도록 제어하는 것을 특징으로 하는 플라즈마 처리장치.
- 제10항에 있어서, 상기 각 고주파 코일로부터 반전된 전력을 검출하기 위한 반전전력 검출수단을 더욱 포함하여 구성되며, 상기 위상 제어수단은 상기 각 고주파 코일에 공급된 각 고주파 전류의 위상을 상기 반전 전력검출수단에 의하여 검출된 반전전력을 최소화하기 위하여 소정의 위상차를 가지도록 조절하기 위한 이상기를 더욱 포함하여 구성되는 것을 특징으로 하는 플라즈마 처리장치.
- 제11항에 있어서, 상기 제 1 고주파 코일은 소정의 간격으로 상호간에 떨어져 있는 한쌍의 끝단부와, 상기 끝단부에 마련되는 전극을 가지는 부분적으로 절결된 일권회된 평평한 고리형 코일을 가지며, 상기 제 2 고주파 코일은 상기 제 1 코일로부터 전기적으로 절연되며 소정의 간격을 두고 제 1 코일 둘러싸고 있으며, 소정의 간격으로 상호간에 떨어져 있는 한쌍의 끝단부와, 상기 끝단부에 마련되는 전극을 가지는 부분적으로 절결된 일권회된 평평한 고리형 코일을 가지는 것을 특징으로 하는 플라즈마 처리장치.
- 제12항에 있어서, 상기 제 1 코일과 상기 제 2 코일 사이에 동축적으로 배치되며 2 개의 개방된 끝단을 가지는 전자 시일드 원통형부를 더욱 포함하여 구성되며, 상기 원통형부는 한쪽 끝단으로부터 다른 쪽 끝단으로 연장되는 슬릿을 가지는 것을 특징으로 하는 플라즈마 처리장치.
- 제13항에 있어서, 상기 제 1 코일과 상기 제 2 코일 사이에 동축적으로 배치되며 2 개의 개방된 끝단을 가지는 원통형 전자 시일드 망을 더욱 포함하여 구성되는 것을 특징으로 하는 플라즈마 처리장치.
- 외벽을 가지며, 플라즈마 처리될 면을 가진 피처리물을 그 벽내에 포함하는 플라즈마 처리용 처리실과; 상기 처리실내에서 플라즈마를 발생하기 위하여 상기 처리실내에 고주파 유도계를 제공하는 유도수단과; 상기 유도수단에 고주파 전압을 간헐적으로 인가하기 위한 전압인가수단과; 플라즈마의 발생시에 상기 처리실내의 플라즈마로부터의 압력변화 또는 광강도 변화를 측정하고, 그 변화에 상당하는 신호를 출력하는 출력수단; 및 상기 처리실내의 상기 플라즈마를 제어하도록 인가된 전압을 변화시키기 위하여 상기 측정수단으로부터의 신호에 근거하여 상기 고주파 전압인가수단을 제어하기 위한 제어수단을 포함하고, 상기 유도수단은 상기 처리실의 외부에 배치된 고주파 안테나와, 상기 고주파 안테나와 상기 처리실의 사이에 위치하는 절연부재를 가지며, 상기 처리실은 피처리물과 대면하고, 상기 절연부재로 구성되는 벽부분을 가지며, 상기 고주파 안테나는 상기 벽 부분에 놓인 스파이어럴 안테나를 가지고, 상기 안테나는 상기 안테나의 내부 끝단에 형성된 내부 단자와, 상기 안테나의 외부 끝단에 형성된 외부 단자, 및 상기 단자들 사이에 형성된 적어도 한개의 중간단자를 가지며, 상기 단자들은 각각 상기 고주파 전압인가수단에 접속되어 상기 내부단자와 상기 중간단자의 사이와, 상기 중간단자와 상기 외부단자의 사이, 및 상기 중간단자와 상기 고주파 전압인가 수단의 사이에 전류가 흐르도록 하고, 상기 제어수단은 독립적으로 전류를 제어하는 것을 특징으로 하는 플라즈마 처리장치.
- 제15항에 있어서, 상기 전압인가수단은 적어도 2 개의 전압인가수단을 가지며, 상기 2 개의 전압인가수단은 상기 유도수단에 제 1 고주파 전압을 인가하기 위한 제 1 전압인가수단 및 상기 유도수단에 제 2 고주파 전압을 간헐적으로 인가하기 위 한 제 2 전압 인가수단을 포함하여 구성되는 것을 특징으로 하는 플라즈마 처리장치.
- 외벽을 가지며, 플라즈마로 처리될 면을 가진 피처리물을 그 벽내에 포함하는 플라즈마 처리용 처리실과; 상기 처리실내에서 플라즈마를 발생하기 위하여 상기 처리실내에 고주파 유도계를 제공하는 유도수단과; 상기 유도수단에 고주파 전압을 인가하기 위한 전압인가수단과; 상기 유도수단에 의하여 플라즈마의 해리를 측정하고, 해리에 해당하는 신호를 출력하기 위한 측정수단; 및 상기 측정수단으로부터의 신호에 근거하여 상기 전압인가수단을 제어하고, 상기 처리실내의 상기 플라즈마를 제어하도록 인가된 상기 고주파 전압을 제어하기 위한 제어수단을 포함하여 구성되고, 상기 유도수단은 상기 처리실의 외부에 배치된 고주파 안테나와, 상기 고주파 안테나와 상기 처리실의 사이에 위치하는 절연부재를 가지며, 상기 처리실은 피처리물과 대면하고, 상기 절연부재로 구성되는 벽부분을 가지며, 상기 고주파 안테나는 상기 벽 부분에 놓인 스파이어럴 안테나를 가지고, 상기 안테나는 상기 안테나의 내부 끝단에 형성된 내부 단자와, 상기 안테나의 외부 끝단에 형성된 외부 단자, 및 상기 단자들 사이에 형성된 적어도 한개의 중간단자를 가지며, 상기 단자들은 각각 상기 고주파 전압인가수단에 접속되어, 상기 내부단자와 상기 중간단자의 사이와, 상기 중간단자와 상기 외부단자의 사이, 및 상기 중간단자와 상기 고주파 전압인가 수단의 사이에 전류가 흐르도록 하고, 상기 제어수단은 독립적으로 전류를 제어하는 것을 특징으로 하는 플라즈마 처리장치.
- 제17항에 있어서, 상기 측정수단은 플라즈마로부터 상이한 파장의 강도를 각각 측정하고 2개의 강도에 근거한 신호를 출력하는 적어도 2 개의 스펙트로스코프를 포함하여 구성되는 것을 특징으로 하는 플라즈마 처리장치.
Applications Claiming Priority (18)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP93-284208 | 1993-10-20 | ||
JP93-284210 | 1993-10-20 | ||
JP93-284209 | 1993-10-20 | ||
JP5284209A JP3045443B2 (ja) | 1993-10-20 | 1993-10-20 | プラズマ処理装置 |
JP28420693A JP3294690B2 (ja) | 1993-10-20 | 1993-10-20 | プラズマエッチング装置の制御方法 |
JP5284210A JP3045444B2 (ja) | 1993-10-20 | 1993-10-20 | プラズマ処理装置およびその制御方法 |
JP93-284206 | 1993-10-20 | ||
JP28420893A JP3337288B2 (ja) | 1993-10-20 | 1993-10-20 | プラズマ処理装置 |
JP93-338764 | 1993-12-02 | ||
JP33876493A JP3172759B2 (ja) | 1993-12-02 | 1993-12-02 | プラズマ処理方法及びプラズマ処理装置 |
JP6023992A JP3043215B2 (ja) | 1994-02-22 | 1994-02-22 | プラズマ発生装置 |
JP94-23994 | 1994-02-22 | ||
JP94-23992 | 1994-02-22 | ||
JP94-23993 | 1994-02-22 | ||
JP06023994A JP3112610B2 (ja) | 1994-02-22 | 1994-02-22 | プラズマ発生装置 |
JP2399394 | 1994-02-22 | ||
JP94-56237 | 1994-03-25 | ||
JP6056237A JP3043217B2 (ja) | 1994-02-22 | 1994-03-25 | プラズマ発生装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR950012608A KR950012608A (ko) | 1995-05-16 |
KR100276736B1 true KR100276736B1 (ko) | 2001-03-02 |
Family
ID=27576768
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1019940026833A Expired - Lifetime KR100276736B1 (ko) | 1993-10-20 | 1994-10-20 | 플라즈마 처리장치 |
Country Status (2)
Country | Link |
---|---|
US (1) | US5571366A (ko) |
KR (1) | KR100276736B1 (ko) |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100415226B1 (ko) * | 1996-08-23 | 2004-04-14 | 동경 엘렉트론 주식회사 | 플라즈마처리장치 |
KR101265231B1 (ko) * | 2010-09-15 | 2013-05-23 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 에칭 처리 장치, 플라즈마 에칭 처리 방법 및 반도체 소자 제조 방법 |
KR101391006B1 (ko) * | 2006-02-15 | 2014-04-30 | 램 리써치 코포레이션 | 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기 |
KR20180045105A (ko) * | 2016-10-24 | 2018-05-04 | 삼성전자주식회사 | 안테나, 플라즈마 생성 회로, 플라즈마 처리 장치, 및 그를 이용한 반도체 소자의 제조방법 |
KR20190015657A (ko) * | 2017-08-03 | 2019-02-14 | 삼성전자주식회사 | 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법 |
KR20220067504A (ko) * | 2020-11-17 | 2022-05-24 | 도쿄엘렉트론가부시키가이샤 | 체결 구조와 체결 방법 및 플라즈마 처리 장치 |
US12327709B2 (en) | 2016-10-24 | 2025-06-10 | Samsung Electronics Co., Ltd. | Antennas, circuits for generating plasma, plasma processing apparatus, and methods of manufacturing semiconductor devices using the same |
Families Citing this family (293)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5824158A (en) * | 1993-06-30 | 1998-10-20 | Kabushiki Kaisha Kobe Seiko Sho | Chemical vapor deposition using inductively coupled plasma and system therefor |
US5468296A (en) * | 1993-12-17 | 1995-11-21 | Lsi Logic Corporation | Apparatus for igniting low pressure inductively coupled plasma |
US5685942A (en) * | 1994-12-05 | 1997-11-11 | Tokyo Electron Limited | Plasma processing apparatus and method |
US5643639A (en) * | 1994-12-22 | 1997-07-01 | Research Triangle Institute | Plasma treatment method for treatment of a large-area work surface apparatus and methods |
JP3257328B2 (ja) * | 1995-03-16 | 2002-02-18 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
US5653811A (en) * | 1995-07-19 | 1997-08-05 | Chan; Chung | System for the plasma treatment of large area substrates |
US6089182A (en) * | 1995-08-17 | 2000-07-18 | Tokyo Electron Limited | Plasma processing apparatus |
US5847918A (en) * | 1995-09-29 | 1998-12-08 | Lam Research Corporation | Electrostatic clamping method and apparatus for dielectric workpieces in vacuum processors |
US6181555B1 (en) | 1995-09-29 | 2001-01-30 | Intel Corporation | Cooling system for integrated circuit chips in a portable computer |
US6794301B2 (en) | 1995-10-13 | 2004-09-21 | Mattson Technology, Inc. | Pulsed plasma processing of semiconductor substrates |
US5983828A (en) * | 1995-10-13 | 1999-11-16 | Mattson Technology, Inc. | Apparatus and method for pulsed plasma processing of a semiconductor substrate |
US6253704B1 (en) | 1995-10-13 | 2001-07-03 | Mattson Technology, Inc. | Apparatus and method for pulsed plasma processing of a semiconductor substrate |
US5810932A (en) * | 1995-11-22 | 1998-09-22 | Nec Corporation | Plasma generating apparatus used for fabrication of semiconductor device |
US6231776B1 (en) | 1995-12-04 | 2001-05-15 | Daniel L. Flamm | Multi-temperature processing |
US5965034A (en) * | 1995-12-04 | 1999-10-12 | Mc Electronics Co., Ltd. | High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced |
US6095084A (en) * | 1996-02-02 | 2000-08-01 | Applied Materials, Inc. | High density plasma process chamber |
US6200412B1 (en) | 1996-02-16 | 2001-03-13 | Novellus Systems, Inc. | Chemical vapor deposition system including dedicated cleaning gas injection |
KR970064327A (ko) * | 1996-02-27 | 1997-09-12 | 모리시다 요이치 | 고주파 전력 인가장치, 플라즈마 발생장치, 플라즈마 처리장치, 고주파 전력 인가방법, 플라즈마 발생방법 및 플라즈마 처리방법 |
US5964949A (en) * | 1996-03-06 | 1999-10-12 | Mattson Technology, Inc. | ICP reactor having a conically-shaped plasma-generating section |
JP3437376B2 (ja) | 1996-05-21 | 2003-08-18 | キヤノン株式会社 | プラズマ処理装置及び処理方法 |
US6056848A (en) | 1996-09-11 | 2000-05-02 | Ctp, Inc. | Thin film electrostatic shield for inductive plasma processing |
WO1998014636A1 (en) | 1996-09-30 | 1998-04-09 | Lam Research Corporation | Apparatus for reducing polymer deposition on substrate support |
US6112695A (en) | 1996-10-08 | 2000-09-05 | Nano Scale Surface Systems, Inc. | Apparatus for plasma deposition of a thin film onto the interior surface of a container |
US6184158B1 (en) * | 1996-12-23 | 2001-02-06 | Lam Research Corporation | Inductively coupled plasma CVD |
US5981899A (en) * | 1997-01-17 | 1999-11-09 | Balzers Aktiengesellschaft | Capacitively coupled RF-plasma reactor |
US5800621A (en) * | 1997-02-10 | 1998-09-01 | Applied Materials, Inc. | Plasma source for HDP-CVD chamber |
US6132517A (en) * | 1997-02-21 | 2000-10-17 | Applied Materials, Inc. | Multiple substrate processing apparatus for enhanced throughput |
US5854135A (en) * | 1997-04-09 | 1998-12-29 | Vanguard International Semiconductor Corporation | Optimized dry etching procedure, using an oxygen containing ambient, for small diameter contact holes |
US6174450B1 (en) * | 1997-04-16 | 2001-01-16 | Lam Research Corporation | Methods and apparatus for controlling ion energy and plasma density in a plasma processing system |
JPH10303288A (ja) * | 1997-04-26 | 1998-11-13 | Anelva Corp | プラズマ処理装置用基板ホルダー |
US6652717B1 (en) | 1997-05-16 | 2003-11-25 | Applied Materials, Inc. | Use of variable impedance to control coil sputter distribution |
US6579426B1 (en) | 1997-05-16 | 2003-06-17 | Applied Materials, Inc. | Use of variable impedance to control coil sputter distribution |
US6027601A (en) * | 1997-07-01 | 2000-02-22 | Applied Materials, Inc | Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor |
US6235169B1 (en) | 1997-08-07 | 2001-05-22 | Applied Materials, Inc. | Modulated power for ionized metal plasma deposition |
US6345588B1 (en) | 1997-08-07 | 2002-02-12 | Applied Materials, Inc. | Use of variable RF generator to control coil voltage distribution |
WO1999014699A1 (en) * | 1997-09-17 | 1999-03-25 | Tokyo Electron Limited | System and method for monitoring and controlling gas plasma processes |
US6129807A (en) * | 1997-10-06 | 2000-10-10 | Applied Materials, Inc. | Apparatus for monitoring processing of a substrate |
WO1999026277A1 (en) | 1997-11-17 | 1999-05-27 | Mattson Technology, Inc. | Systems and methods for plasma enhanced processing of semiconductor wafers |
US6028285A (en) * | 1997-11-19 | 2000-02-22 | Board Of Regents, The University Of Texas System | High density plasma source for semiconductor processing |
US6136165A (en) * | 1997-11-26 | 2000-10-24 | Cvc Products, Inc. | Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition |
US6041735A (en) | 1998-03-02 | 2000-03-28 | Ball Semiconductor, Inc. | Inductively coupled plasma powder vaporization for fabricating integrated circuits |
US6274459B1 (en) | 1998-02-17 | 2001-08-14 | Silicon Genesis Corporation | Method for non mass selected ion implant profile control |
JP2972707B1 (ja) | 1998-02-26 | 1999-11-08 | 松下電子工業株式会社 | プラズマエッチング装置及びプラズマエッチング方法 |
US6055928A (en) | 1998-03-02 | 2000-05-02 | Ball Semiconductor, Inc. | Plasma immersion ion processor for fabricating semiconductor integrated circuits |
US6535779B1 (en) * | 1998-03-06 | 2003-03-18 | Applied Materials, Inc. | Apparatus and method for endpoint control and plasma monitoring |
US6273022B1 (en) * | 1998-03-14 | 2001-08-14 | Applied Materials, Inc. | Distributed inductively-coupled plasma source |
US6254738B1 (en) | 1998-03-31 | 2001-07-03 | Applied Materials, Inc. | Use of variable impedance having rotating core to control coil sputter distribution |
US6081414A (en) * | 1998-05-01 | 2000-06-27 | Applied Materials, Inc. | Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system |
US6077388A (en) | 1998-07-10 | 2000-06-20 | Ball Semiconductor, Inc. | System and method for plasma etch on a spherical shaped device |
US6328804B1 (en) | 1998-07-10 | 2001-12-11 | Ball Semiconductor, Inc. | Chemical vapor deposition of metals on a spherical shaped semiconductor substrate |
TW434636B (en) | 1998-07-13 | 2001-05-16 | Applied Komatsu Technology Inc | RF matching network with distributed outputs |
JP3497091B2 (ja) * | 1998-07-23 | 2004-02-16 | 名古屋大学長 | プラズマ生成用高周波パワーの制御方法、およびプラズマ発生装置 |
US6021672A (en) * | 1998-09-18 | 2000-02-08 | Windbond Electronics Corp. | Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber |
JP2002535825A (ja) * | 1999-01-20 | 2002-10-22 | エヌ・ケー・ティー リサーチ センター アクティーゼルスカブ | プラズマ励起方法及びその使用 |
US6344420B1 (en) * | 1999-03-15 | 2002-02-05 | Kabushiki Kaisha Toshiba | Plasma processing method and plasma processing apparatus |
US6265831B1 (en) | 1999-03-31 | 2001-07-24 | Lam Research Corporation | Plasma processing method and apparatus with control of rf bias |
TW492075B (en) * | 1999-04-06 | 2002-06-21 | Tokyo Electron Ltd | Electrode, wafer stage, plasma device, method of manufacturing electrode and wafer stage |
KR100674624B1 (ko) * | 1999-05-07 | 2007-01-25 | 동경 엘렉트론 주식회사 | 센서기판, 기판처리방법 및 기판처리장치 |
US6916399B1 (en) | 1999-06-03 | 2005-07-12 | Applied Materials Inc | Temperature controlled window with a fluid supply system |
JP3276346B2 (ja) * | 1999-06-17 | 2002-04-22 | 三菱重工業株式会社 | 放電電極、高周波プラズマ発生装置、給電方法および半導体製造方法 |
US6458723B1 (en) | 1999-06-24 | 2002-10-01 | Silicon Genesis Corporation | High temperature implant apparatus |
US6447637B1 (en) * | 1999-07-12 | 2002-09-10 | Applied Materials Inc. | Process chamber having a voltage distribution electrode |
US6303517B1 (en) | 1999-07-27 | 2001-10-16 | Ball Semiconductor, Inc. | Fast deposition on spherical-shaped integrated circuits in non-contact CVD process |
US6399507B1 (en) * | 1999-09-22 | 2002-06-04 | Applied Materials, Inc. | Stable plasma process for etching of films |
US6244210B1 (en) * | 1999-10-29 | 2001-06-12 | Advanced Micro Devices, Inc. | Strength coil for ionized copper plasma deposition |
EP1230664B1 (en) * | 1999-11-15 | 2008-05-07 | Lam Research Corporation | Processing systems |
US6486069B1 (en) * | 1999-12-03 | 2002-11-26 | Tegal Corporation | Cobalt silicide etch process and apparatus |
US6277763B1 (en) * | 1999-12-16 | 2001-08-21 | Applied Materials, Inc. | Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen |
US6426280B2 (en) | 2000-01-26 | 2002-07-30 | Ball Semiconductor, Inc. | Method for doping spherical semiconductors |
TW578448B (en) * | 2000-02-15 | 2004-03-01 | Tokyo Electron Ltd | Active control of electron temperature in an electrostatically shielded radio frequency plasma source |
TW580735B (en) * | 2000-02-21 | 2004-03-21 | Hitachi Ltd | Plasma treatment apparatus and treating method of sample material |
US6478924B1 (en) | 2000-03-07 | 2002-11-12 | Applied Materials, Inc. | Plasma chamber support having dual electrodes |
US8617351B2 (en) | 2002-07-09 | 2013-12-31 | Applied Materials, Inc. | Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction |
US6514378B1 (en) | 2000-03-31 | 2003-02-04 | Lam Research Corporation | Method for improving uniformity and reducing etch rate variation of etching polysilicon |
US6558564B1 (en) | 2000-04-05 | 2003-05-06 | Applied Materials Inc. | Plasma energy control by inducing plasma instability |
US6254398B1 (en) * | 2000-04-24 | 2001-07-03 | Taiwan Semiconductor Manufacturing Company | Method for initiating a helium alarm particle detector in a dry etching system prior to initiation of the etching process |
KR100797423B1 (ko) | 2000-05-17 | 2008-01-23 | 가부시키가이샤 아이에이치아이 | 플라즈마 cvd 장치 및 방법 |
US6391790B1 (en) | 2000-05-22 | 2002-05-21 | Applied Materials, Inc. | Method and apparatus for etching photomasks |
US7115523B2 (en) * | 2000-05-22 | 2006-10-03 | Applied Materials, Inc. | Method and apparatus for etching photomasks |
JP2001354492A (ja) * | 2000-06-07 | 2001-12-25 | Sumitomo Electric Ind Ltd | ダイヤモンド膜の形成方法および成膜装置 |
JP2002008996A (ja) * | 2000-06-23 | 2002-01-11 | Mitsubishi Heavy Ind Ltd | 給電アンテナ及び給電方法 |
US6632322B1 (en) | 2000-06-30 | 2003-10-14 | Lam Research Corporation | Switched uniformity control |
US7223676B2 (en) | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
US7037813B2 (en) | 2000-08-11 | 2006-05-02 | Applied Materials, Inc. | Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage |
US7320734B2 (en) * | 2000-08-11 | 2008-01-22 | Applied Materials, Inc. | Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage |
US7303982B2 (en) * | 2000-08-11 | 2007-12-04 | Applied Materials, Inc. | Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage |
US7137354B2 (en) * | 2000-08-11 | 2006-11-21 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage |
KR100378037B1 (ko) * | 2000-08-31 | 2003-03-29 | 조남지 | 장관면역활성증진 효과가 있는 밀가루 발효 조성물 |
US6383287B1 (en) | 2000-09-28 | 2002-05-07 | Ball Semiconductor, Inc. | System and method for performing diffusion on a three-dimensional substrate |
US6391787B1 (en) * | 2000-10-13 | 2002-05-21 | Lam Research Corporation | Stepped upper electrode for plasma processing uniformity |
WO2002061179A1 (en) * | 2001-01-19 | 2002-08-08 | Tokyo Electron Limited | Method and apparatus for gas injection system with minimum particulate contamination |
JP4770029B2 (ja) * | 2001-01-22 | 2011-09-07 | 株式会社Ihi | プラズマcvd装置及び太陽電池の製造方法 |
JP3626933B2 (ja) * | 2001-02-08 | 2005-03-09 | 東京エレクトロン株式会社 | 基板載置台の製造方法 |
WO2002070759A1 (en) * | 2001-02-28 | 2002-09-12 | Commonwealth Scientific And Industrial Research Organisation | Method and apparatus for the production of titanium |
US7096819B2 (en) * | 2001-03-30 | 2006-08-29 | Lam Research Corporation | Inductive plasma processor having coil with plural windings and method of controlling plasma density |
US20020163632A1 (en) * | 2001-05-02 | 2002-11-07 | Ya-Chan Cheng | Measuring system of a gas stream environment |
US6783626B2 (en) * | 2001-05-14 | 2004-08-31 | Nam-Hun Kim | Treatment and evaluation of a substrate processing chamber |
JP3708031B2 (ja) * | 2001-06-29 | 2005-10-19 | 株式会社日立製作所 | プラズマ処理装置および処理方法 |
US7337019B2 (en) * | 2001-07-16 | 2008-02-26 | Applied Materials, Inc. | Integration of fault detection with run-to-run control |
US6893971B2 (en) * | 2001-07-19 | 2005-05-17 | Matsushita Electric Industrial Co., Ltd. | Dry etching method and apparatus |
US7183201B2 (en) * | 2001-07-23 | 2007-02-27 | Applied Materials, Inc. | Selective etching of organosilicate films over silicon oxide stop etch layers |
US20030024900A1 (en) * | 2001-07-24 | 2003-02-06 | Tokyo Electron Limited | Variable aspect ratio plasma source |
US9708707B2 (en) * | 2001-09-10 | 2017-07-18 | Asm International N.V. | Nanolayer deposition using bias power treatment |
US6756318B2 (en) * | 2001-09-10 | 2004-06-29 | Tegal Corporation | Nanolayer thick film processing system and method |
KR100428813B1 (ko) * | 2001-09-18 | 2004-04-29 | 주성엔지니어링(주) | 플라즈마 발생장치 및 이를 이용한 SiO₂박막 식각방법 |
JP4209774B2 (ja) * | 2001-09-28 | 2009-01-14 | 住友精密工業株式会社 | シリコン基板のエッチング方法およびエッチング装置 |
KR100425467B1 (ko) * | 2001-09-29 | 2004-03-30 | 삼성전자주식회사 | 반도체소자를 위한 건식 식각방법 |
US20030082920A1 (en) * | 2001-11-01 | 2003-05-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Chamber-reversed dry etching |
US20030106646A1 (en) * | 2001-12-11 | 2003-06-12 | Applied Materials, Inc. | Plasma chamber insert ring |
US20030145790A1 (en) * | 2002-02-05 | 2003-08-07 | Hitoshi Sakamoto | Metal film production apparatus and metal film production method |
US20030168012A1 (en) * | 2002-03-07 | 2003-09-11 | Hitoshi Tamura | Plasma processing device and plasma processing method |
EP1512771A1 (en) * | 2002-03-08 | 2005-03-09 | Mitsubishi Heavy Industries, Ltd. | Method and apparatus for production of metal film |
JP3847184B2 (ja) * | 2002-03-14 | 2006-11-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
WO2003079740A1 (fr) * | 2002-03-18 | 2003-09-25 | Tokyo Electron Limited | Dispositif mettant en application le plasma |
US20030180971A1 (en) * | 2002-03-25 | 2003-09-25 | Adaptive Plasma Technology Corporation | Plasma etching method and apparatus for manufacturing a semiconductor device |
JP2003323997A (ja) * | 2002-04-30 | 2003-11-14 | Lam Research Kk | プラズマ安定化方法およびプラズマ装置 |
US20040072081A1 (en) * | 2002-05-14 | 2004-04-15 | Coleman Thomas P. | Methods for etching photolithographic reticles |
US7861667B2 (en) * | 2002-05-23 | 2011-01-04 | Lam Research Corporation | Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a multi-part electrode |
JP3823069B2 (ja) * | 2002-06-12 | 2006-09-20 | 株式会社アルバック | 磁気中性線放電プラズマ処理装置 |
JP3820188B2 (ja) * | 2002-06-19 | 2006-09-13 | 三菱重工業株式会社 | プラズマ処理装置及びプラズマ処理方法 |
TWI283899B (en) * | 2002-07-09 | 2007-07-11 | Applied Materials Inc | Capacitively coupled plasma reactor with magnetic plasma control |
JP2004047696A (ja) * | 2002-07-11 | 2004-02-12 | Matsushita Electric Ind Co Ltd | プラズマドーピング方法及び装置、整合回路 |
US7252738B2 (en) * | 2002-09-20 | 2007-08-07 | Lam Research Corporation | Apparatus for reducing polymer deposition on a substrate and substrate support |
US7255774B2 (en) * | 2002-09-26 | 2007-08-14 | Tokyo Electron Limited | Process apparatus and method for improving plasma production of an inductively coupled plasma |
US7088046B2 (en) * | 2002-09-30 | 2006-08-08 | Tokyo Electron Limited | Integrated process tube and electrostatic shield, assembly thereof and manufacture thereof |
JP2004128159A (ja) * | 2002-10-01 | 2004-04-22 | Mitsubishi Heavy Ind Ltd | 高周波プラズマ発生装置および高周波プラズマ発生方法 |
JP4141234B2 (ja) * | 2002-11-13 | 2008-08-27 | キヤノンアネルバ株式会社 | プラズマ処理装置 |
KR100488348B1 (ko) * | 2002-11-14 | 2005-05-10 | 최대규 | 플라즈마 프로세스 챔버 및 시스템 |
US7713592B2 (en) * | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
US9121098B2 (en) | 2003-02-04 | 2015-09-01 | Asm International N.V. | NanoLayer Deposition process for composite films |
US20040163595A1 (en) * | 2003-02-26 | 2004-08-26 | Manabu Edamura | Plasma processing apparatus |
US7871490B2 (en) * | 2003-03-18 | 2011-01-18 | Top Engineering Co., Ltd. | Inductively coupled plasma generation system with a parallel antenna array having evenly distributed power input and ground nodes and improved field distribution |
US20040182319A1 (en) * | 2003-03-18 | 2004-09-23 | Harqkyun Kim | Inductively coupled plasma generation system with a parallel antenna array having evenly distributed power input and ground nodes |
JP2004319574A (ja) * | 2003-04-11 | 2004-11-11 | Trecenti Technologies Inc | 半導体装置の製造方法、半導体製造装置の自動運転方法および自動運転システム、並びにcmp装置の自動運転方法 |
JP3868925B2 (ja) * | 2003-05-29 | 2007-01-17 | 株式会社日立製作所 | プラズマ処理装置 |
KR100561848B1 (ko) * | 2003-11-04 | 2006-03-16 | 삼성전자주식회사 | 헬리컬 공진기형 플라즈마 처리 장치 |
US20060000552A1 (en) * | 2004-07-05 | 2006-01-05 | Tokyo Electron Limited | Plasma processing apparatus and cleaning method thereof |
US7250373B2 (en) * | 2004-08-27 | 2007-07-31 | Applied Materials, Inc. | Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate |
US8293430B2 (en) * | 2005-01-27 | 2012-10-23 | Applied Materials, Inc. | Method for etching a molybdenum layer suitable for photomask fabrication |
US7842159B2 (en) * | 2005-07-14 | 2010-11-30 | Sungkyunkwan University Foundation For Corporate Collaboration | Inductively coupled plasma processing apparatus for very large area using dual frequency |
KR100897176B1 (ko) * | 2005-07-20 | 2009-05-14 | 삼성모바일디스플레이주식회사 | 유도 결합형 플라즈마 처리 장치 |
US7811411B2 (en) * | 2005-08-09 | 2010-10-12 | Applied Materials, Inc. | Thermal management of inductively coupled plasma reactors |
WO2007023971A1 (ja) * | 2005-08-22 | 2007-03-01 | Tocalo Co., Ltd. | 熱放射特性等に優れる溶射皮膜被覆部材およびその製造方法 |
KR100728164B1 (ko) * | 2005-09-26 | 2007-06-13 | 삼성에스디아이 주식회사 | 대면적 기판의 식각 장치 및 식각 방법 |
KR100653073B1 (ko) * | 2005-09-28 | 2006-12-01 | 삼성전자주식회사 | 기판처리장치와 기판처리방법 |
KR100748871B1 (ko) * | 2005-10-21 | 2007-08-13 | 에이피티씨 주식회사 | 균일한 자계분포를 갖도록 하는 적응형 플라즈마 소스 및이를 포함하는 플라즈마 챔버 |
US20080011426A1 (en) * | 2006-01-30 | 2008-01-17 | Applied Materials, Inc. | Plasma reactor with inductively coupled source power applicator and a high temperature heated workpiece support |
US7670432B2 (en) * | 2006-03-08 | 2010-03-02 | Tokyo Electron Limited | Exhaust system for a vacuum processing system |
US7794546B2 (en) * | 2006-03-08 | 2010-09-14 | Tokyo Electron Limited | Sealing device and method for a processing system |
US7850864B2 (en) * | 2006-03-20 | 2010-12-14 | Tokyo Electron Limited | Plasma treating apparatus and plasma treating method |
US8034176B2 (en) * | 2006-03-28 | 2011-10-11 | Tokyo Electron Limited | Gas distribution system for a post-etch treatment system |
US8043471B2 (en) * | 2006-03-31 | 2011-10-25 | Tokyo Electron Limited | Plasma processing apparatus |
US9184043B2 (en) * | 2006-05-24 | 2015-11-10 | Lam Research Corporation | Edge electrodes with dielectric covers |
US7799237B2 (en) * | 2006-05-25 | 2010-09-21 | Sony Corporation | Method and apparatus for etching a structure in a plasma chamber |
US7777152B2 (en) * | 2006-06-13 | 2010-08-17 | Applied Materials, Inc. | High AC current high RF power AC-RF decoupling filter for plasma reactor heated electrostatic chuck |
US20080003702A1 (en) * | 2006-06-28 | 2008-01-03 | Cruse James P | Low Power RF Tuning Using Optical and Non-Reflected Power Methods |
KR100808862B1 (ko) * | 2006-07-24 | 2008-03-03 | 삼성전자주식회사 | 기판처리장치 |
US20080025823A1 (en) * | 2006-07-31 | 2008-01-31 | Masahiko Harumoto | Load lock device, and substrate processing apparatus and substrate processing system including the same |
US8920600B2 (en) * | 2006-08-22 | 2014-12-30 | Mattson Technology, Inc. | Inductive plasma source with high coupling efficiency |
US8992725B2 (en) | 2006-08-28 | 2015-03-31 | Mattson Technology, Inc. | Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil |
US20080078506A1 (en) * | 2006-09-29 | 2008-04-03 | Zyvex Corporation | RF Coil Plasma Generation |
US20080078745A1 (en) * | 2006-09-29 | 2008-04-03 | Zyvex Corporation | RF Coil Plasma Generation |
US20080236490A1 (en) * | 2007-03-29 | 2008-10-02 | Alexander Paterson | Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead |
JP2010524225A (ja) * | 2007-04-02 | 2010-07-15 | ソースル シーオー エルティディー | 基板支持装置及びこれを備えるプラズマエッチング装置 |
US8956500B2 (en) * | 2007-04-24 | 2015-02-17 | Applied Materials, Inc. | Methods to eliminate “M-shape” etch rate profile in inductively coupled plasma reactor |
US7972471B2 (en) * | 2007-06-29 | 2011-07-05 | Lam Research Corporation | Inductively coupled dual zone processing chamber with single planar antenna |
US20090095714A1 (en) * | 2007-10-12 | 2009-04-16 | Tokyo Electron Limited | Method and system for low pressure plasma processing |
KR101286240B1 (ko) * | 2007-10-23 | 2013-07-15 | 삼성전자주식회사 | 반도체 구조물의 형상을 예정하는 공정 파라 메타의 예측시스템, 상기 공정 파라 메타의 예측 시스템을 가지는반도체 제조 장비 및 그 장비의 사용방법 |
US8512509B2 (en) * | 2007-12-19 | 2013-08-20 | Applied Materials, Inc. | Plasma reactor gas distribution plate with radially distributed path splitting manifold |
JP5297048B2 (ja) * | 2008-01-28 | 2013-09-25 | 三菱重工業株式会社 | プラズマ処理方法及びプラズマ処理装置 |
JP5203758B2 (ja) * | 2008-03-17 | 2013-06-05 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20090286397A1 (en) * | 2008-05-15 | 2009-11-19 | Lam Research Corporation | Selective inductive double patterning |
CN102047406B (zh) | 2008-06-20 | 2013-06-05 | 佳能安内华股份有限公司 | 真空处理设备、真空处理方法和电子装置制造方法 |
JP5572329B2 (ja) * | 2009-01-15 | 2014-08-13 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ生成装置 |
JP5410950B2 (ja) * | 2009-01-15 | 2014-02-05 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
JP5203986B2 (ja) * | 2009-01-19 | 2013-06-05 | 東京エレクトロン株式会社 | フォーカスリングの加熱方法、プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体 |
KR101359070B1 (ko) * | 2009-03-03 | 2014-02-05 | 도쿄엘렉트론가부시키가이샤 | 탑재대 구조, 성막 장치 및 원료 회수 방법 |
JP5227245B2 (ja) * | 2009-04-28 | 2013-07-03 | 東京エレクトロン株式会社 | プラズマ処理装置 |
WO2013170052A1 (en) | 2012-05-09 | 2013-11-14 | Sio2 Medical Products, Inc. | Saccharide protective coating for pharmaceutical package |
US7985188B2 (en) | 2009-05-13 | 2011-07-26 | Cv Holdings Llc | Vessel, coating, inspection and processing apparatus |
MX350703B (es) | 2009-05-13 | 2017-09-14 | Sio2 Medical Products Inc | Metodo de gasificacion para inspeccionar una superficie revestida. |
US8502455B2 (en) * | 2009-05-29 | 2013-08-06 | Agilent Technologies, Inc. | Atmospheric inductively coupled plasma generator |
TWI556309B (zh) | 2009-06-19 | 2016-11-01 | 半導體能源研究所股份有限公司 | 電漿處理裝置,形成膜的方法,和薄膜電晶體的製造方法 |
US9458536B2 (en) | 2009-07-02 | 2016-10-04 | Sio2 Medical Products, Inc. | PECVD coating methods for capped syringes, cartridges and other articles |
US8258025B2 (en) * | 2009-08-07 | 2012-09-04 | Semiconductor Energy Laboratory Co., Ltd. | Method for manufacturing microcrystalline semiconductor film and thin film transistor |
US20110094994A1 (en) * | 2009-10-26 | 2011-04-28 | Applied Materials, Inc. | Inductively coupled plasma apparatus |
JP5451324B2 (ja) * | 2009-11-10 | 2014-03-26 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US8501631B2 (en) | 2009-11-19 | 2013-08-06 | Lam Research Corporation | Plasma processing system control based on RF voltage |
US20110139748A1 (en) * | 2009-12-15 | 2011-06-16 | University Of Houston | Atomic layer etching with pulsed plasmas |
US8642974B2 (en) * | 2009-12-30 | 2014-02-04 | Fei Company | Encapsulation of electrodes in solid media for use in conjunction with fluid high voltage isolation |
US8343371B2 (en) * | 2010-01-15 | 2013-01-01 | Tokyo Electron Limited | Apparatus and method for improving photoresist properties using a quasi-neutral beam |
US20110177694A1 (en) * | 2010-01-15 | 2011-07-21 | Tokyo Electron Limited | Switchable Neutral Beam Source |
US20110204023A1 (en) * | 2010-02-22 | 2011-08-25 | No-Hyun Huh | Multi inductively coupled plasma reactor and method thereof |
US11624115B2 (en) | 2010-05-12 | 2023-04-11 | Sio2 Medical Products, Inc. | Syringe with PECVD lubrication |
US9089319B2 (en) | 2010-07-22 | 2015-07-28 | Plasma Surgical Investments Limited | Volumetrically oscillating plasma flows |
US9478428B2 (en) | 2010-10-05 | 2016-10-25 | Skyworks Solutions, Inc. | Apparatus and methods for shielding a plasma etcher electrode |
US8357263B2 (en) | 2010-10-05 | 2013-01-22 | Skyworks Solutions, Inc. | Apparatus and methods for electrical measurements in a plasma etcher |
WO2012047819A2 (en) * | 2010-10-05 | 2012-04-12 | Skyworks Solutions, Inc. | Apparatus and methods for plasma etching |
US20120083129A1 (en) | 2010-10-05 | 2012-04-05 | Skyworks Solutions, Inc. | Apparatus and methods for focusing plasma |
TWM413957U (en) * | 2010-10-27 | 2011-10-11 | Tangteck Equipment Inc | Diffusion furnace apparatus |
US9878101B2 (en) | 2010-11-12 | 2018-01-30 | Sio2 Medical Products, Inc. | Cyclic olefin polymer vessels and vessel coating methods |
WO2012082854A2 (en) | 2010-12-17 | 2012-06-21 | Mattson Technology, Inc. | Inductively coupled plasma source for plasma processing |
US9336996B2 (en) * | 2011-02-24 | 2016-05-10 | Lam Research Corporation | Plasma processing systems including side coils and methods related to the plasma processing systems |
US9105705B2 (en) * | 2011-03-14 | 2015-08-11 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US9272095B2 (en) | 2011-04-01 | 2016-03-01 | Sio2 Medical Products, Inc. | Vessels, contact surfaces, and coating and inspection apparatus and methods |
US10056231B2 (en) * | 2011-04-28 | 2018-08-21 | Lam Research Corporation | TCCT match circuit for plasma etch chambers |
US9293353B2 (en) * | 2011-04-28 | 2016-03-22 | Lam Research Corporation | Faraday shield having plasma density decoupling structure between TCP coil zones |
US20130017315A1 (en) * | 2011-07-15 | 2013-01-17 | Applied Materials, Inc. | Methods and apparatus for controlling power distribution in substrate processing systems |
US20130048082A1 (en) * | 2011-08-22 | 2013-02-28 | Mirzafer Abatchev | System, method and apparatus for real time control of rapid alternating processes (rap) |
KR101297264B1 (ko) * | 2011-08-31 | 2013-08-16 | (주)젠 | 이중 유도 결합 플라즈마 소스를 갖는 플라즈마 반응기 |
US9111727B2 (en) * | 2011-09-30 | 2015-08-18 | Tokyo Electron Limited | Plasma tuning rods in microwave resonator plasma sources |
US9396955B2 (en) | 2011-09-30 | 2016-07-19 | Tokyo Electron Limited | Plasma tuning rods in microwave resonator processing systems |
US9728416B2 (en) | 2011-09-30 | 2017-08-08 | Tokyo Electron Limited | Plasma tuning rods in microwave resonator plasma sources |
US8808496B2 (en) * | 2011-09-30 | 2014-08-19 | Tokyo Electron Limited | Plasma tuning rods in microwave processing systems |
US11116695B2 (en) | 2011-11-11 | 2021-09-14 | Sio2 Medical Products, Inc. | Blood sample collection tube |
JP6095678B2 (ja) | 2011-11-11 | 2017-03-15 | エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド | 薬剤パッケージ用の不動態化、pH保護又は滑性皮膜、被覆プロセス及び装置 |
US9320126B2 (en) | 2012-12-17 | 2016-04-19 | Lam Research Corporation | Determining a value of a variable on an RF transmission model |
US9114666B2 (en) | 2012-02-22 | 2015-08-25 | Lam Research Corporation | Methods and apparatus for controlling plasma in a plasma processing system |
US9171699B2 (en) | 2012-02-22 | 2015-10-27 | Lam Research Corporation | Impedance-based adjustment of power and frequency |
US9842725B2 (en) | 2013-01-31 | 2017-12-12 | Lam Research Corporation | Using modeling to determine ion energy associated with a plasma system |
US9197196B2 (en) | 2012-02-22 | 2015-11-24 | Lam Research Corporation | State-based adjustment of power and frequency |
US9502216B2 (en) | 2013-01-31 | 2016-11-22 | Lam Research Corporation | Using modeling to determine wafer bias associated with a plasma system |
US9295148B2 (en) | 2012-12-14 | 2016-03-22 | Lam Research Corporation | Computation of statistics for statistical data decimation |
US9390893B2 (en) | 2012-02-22 | 2016-07-12 | Lam Research Corporation | Sub-pulsing during a state |
US10157729B2 (en) | 2012-02-22 | 2018-12-18 | Lam Research Corporation | Soft pulsing |
US10128090B2 (en) | 2012-02-22 | 2018-11-13 | Lam Research Corporation | RF impedance model based fault detection |
US9368329B2 (en) | 2012-02-22 | 2016-06-14 | Lam Research Corporation | Methods and apparatus for synchronizing RF pulses in a plasma processing system |
US10325759B2 (en) | 2012-02-22 | 2019-06-18 | Lam Research Corporation | Multiple control modes |
US9462672B2 (en) | 2012-02-22 | 2016-10-04 | Lam Research Corporation | Adjustment of power and frequency based on three or more states |
US20130256271A1 (en) * | 2012-04-03 | 2013-10-03 | Theodoros Panagopoulos | Methods and apparatuses for controlling plasma in a plasma processing chamber |
US20150297800A1 (en) | 2012-07-03 | 2015-10-22 | Sio2 Medical Products, Inc. | SiOx BARRIER FOR PHARMACEUTICAL PACKAGE AND COATING PROCESS |
US9928987B2 (en) | 2012-07-20 | 2018-03-27 | Applied Materials, Inc. | Inductively coupled plasma source with symmetrical RF feed |
US9449794B2 (en) | 2012-07-20 | 2016-09-20 | Applied Materials, Inc. | Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna |
US10170279B2 (en) | 2012-07-20 | 2019-01-01 | Applied Materials, Inc. | Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding |
US9082590B2 (en) | 2012-07-20 | 2015-07-14 | Applied Materials, Inc. | Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates |
US10249470B2 (en) | 2012-07-20 | 2019-04-02 | Applied Materials, Inc. | Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding |
US10131994B2 (en) * | 2012-07-20 | 2018-11-20 | Applied Materials, Inc. | Inductively coupled plasma source with top coil over a ceiling and an independent side coil and independent air flow |
EP2914762B1 (en) | 2012-11-01 | 2020-05-13 | SiO2 Medical Products, Inc. | Coating inspection method |
US9903782B2 (en) | 2012-11-16 | 2018-02-27 | Sio2 Medical Products, Inc. | Method and apparatus for detecting rapid barrier coating integrity characteristics |
JP6382830B2 (ja) | 2012-11-30 | 2018-08-29 | エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド | 医療シリンジ、カートリッジ等上でのpecvd堆積の均一性制御 |
US9764093B2 (en) | 2012-11-30 | 2017-09-19 | Sio2 Medical Products, Inc. | Controlling the uniformity of PECVD deposition |
US9155182B2 (en) | 2013-01-11 | 2015-10-06 | Lam Research Corporation | Tuning a parameter associated with plasma impedance |
US9779196B2 (en) | 2013-01-31 | 2017-10-03 | Lam Research Corporation | Segmenting a model within a plasma system |
WO2014134577A1 (en) | 2013-03-01 | 2014-09-04 | Sio2 Medical Products, Inc. | Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus |
EP2965349A2 (en) * | 2013-03-06 | 2016-01-13 | Plasma-Therm, Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US9937099B2 (en) | 2013-03-11 | 2018-04-10 | Sio2 Medical Products, Inc. | Trilayer coated pharmaceutical packaging with low oxygen transmission rate |
CN105392916B (zh) | 2013-03-11 | 2019-03-08 | Sio2医药产品公司 | 涂布包装材料 |
US9960776B2 (en) * | 2013-03-14 | 2018-05-01 | Applied Materials, Inc. | Method and apparatus for generating a variable clock used to control a component of a substrate processing system |
US9119283B2 (en) * | 2013-03-14 | 2015-08-25 | Lam Research Corporation | Chamber matching for power control mode |
CN104782234B (zh) | 2013-03-15 | 2017-07-14 | 应用材料公司 | 具有高度对称四重式气体注入的等离子体反应器 |
US20160017490A1 (en) | 2013-03-15 | 2016-01-21 | Sio2 Medical Products, Inc. | Coating method |
US9502221B2 (en) | 2013-07-26 | 2016-11-22 | Lam Research Corporation | Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching |
JP6240441B2 (ja) * | 2013-09-06 | 2017-11-29 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US20150187615A1 (en) * | 2013-12-31 | 2015-07-02 | Lam Research Corporation | Component of a plasma processing apparatus including an electrically conductive and nonmagnetic cold sprayed coating |
US9594105B2 (en) | 2014-01-10 | 2017-03-14 | Lam Research Corporation | Cable power loss determination for virtual metrology |
EP3122917B1 (en) | 2014-03-28 | 2020-05-06 | SiO2 Medical Products, Inc. | Antistatic coatings for plastic vessels |
US10950421B2 (en) | 2014-04-21 | 2021-03-16 | Lam Research Corporation | Using modeling for identifying a location of a fault in an RF transmission system for a plasma system |
US9872341B2 (en) | 2014-11-26 | 2018-01-16 | Applied Materials, Inc. | Consolidated filter arrangement for devices in an RF environment |
US9536749B2 (en) | 2014-12-15 | 2017-01-03 | Lam Research Corporation | Ion energy control by RF pulse shape |
JP6602887B2 (ja) * | 2015-03-19 | 2019-11-06 | マットソン テクノロジー インコーポレイテッド | プラズマ処理チャンバ内のエッチングプロセスのアジマス方向の均質性の制御 |
US10017857B2 (en) * | 2015-05-02 | 2018-07-10 | Applied Materials, Inc. | Method and apparatus for controlling plasma near the edge of a substrate |
BR112018003051B1 (pt) | 2015-08-18 | 2022-12-06 | Sio2 Medical Products, Inc | Tubo de coleta de sangue submetido a vácuo |
CN107301941B (zh) * | 2016-04-14 | 2019-04-23 | 北京北方华创微电子装备有限公司 | 等离子体处理设备及其操作方法 |
KR101874802B1 (ko) * | 2016-04-19 | 2018-07-05 | 피에스케이 주식회사 | 플라스마 소스 및 이를 포함하는 기판 처리 장치 |
JP6763750B2 (ja) * | 2016-11-07 | 2020-09-30 | 東京エレクトロン株式会社 | 被処理体を処理する方法 |
US10365212B2 (en) * | 2016-11-14 | 2019-07-30 | Verity Instruments, Inc. | System and method for calibration of optical signals in semiconductor process systems |
KR20180072917A (ko) * | 2016-12-21 | 2018-07-02 | 삼성전자주식회사 | 유전체 윈도우, 그를 포함하는 플라즈마 장치, 및 그의 제조 방법 |
US10395894B2 (en) * | 2017-08-31 | 2019-08-27 | Lam Research Corporation | Systems and methods for achieving peak ion energy enhancement with a low angular spread |
CN111033700A (zh) * | 2017-09-20 | 2020-04-17 | 株式会社国际电气 | 基板处理装置、半导体器件的制造方法及程序 |
US20190157048A1 (en) * | 2017-11-17 | 2019-05-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Plasma processing apparatus and method for forming semiconductor device structure |
US10217626B1 (en) * | 2017-12-15 | 2019-02-26 | Mattson Technology, Inc. | Surface treatment of substrates using passivation layers |
KR101914902B1 (ko) * | 2018-02-14 | 2019-01-14 | 성균관대학교산학협력단 | 플라즈마 발생장치 및 이를 포함하는 기판 처리 장치 |
US20200013591A1 (en) * | 2018-02-15 | 2020-01-09 | Yield Engineering Systems, Inc. | Plasma Spreading Apparatus And System, And Method Of Spreading Plasma In Process Ovens |
US11081317B2 (en) * | 2018-04-20 | 2021-08-03 | Applied Materials, Inc. | Modular high-frequency source |
KR102524258B1 (ko) * | 2018-06-18 | 2023-04-21 | 삼성전자주식회사 | 온도 조절 유닛, 온도 측정 유닛 및 이들을 포함하는 플라즈마 처리 장치 |
US11037765B2 (en) * | 2018-07-03 | 2021-06-15 | Tokyo Electron Limited | Resonant structure for electron cyclotron resonant (ECR) plasma ionization |
JP7058748B2 (ja) * | 2018-09-13 | 2022-04-22 | 株式会社日立国際電気 | 高周波電源装置 |
US10354838B1 (en) * | 2018-10-10 | 2019-07-16 | Lam Research Corporation | RF antenna producing a uniform near-field Poynting vector |
SG11202103648WA (en) * | 2018-10-18 | 2021-05-28 | Lam Res Corp | Lower plasma exclusion zone ring for bevel etcher |
CN113228830B (zh) * | 2019-01-09 | 2024-10-01 | 东京毅力科创株式会社 | 等离子体处理装置及等离子体处理方法 |
JP7169885B2 (ja) * | 2019-01-10 | 2022-11-11 | 東京エレクトロン株式会社 | 誘導結合プラズマ処理装置 |
US20200234920A1 (en) * | 2019-01-22 | 2020-07-23 | Lam Research Corporation | Coil and window for plasma processing system |
SG11202108726SA (en) * | 2019-02-13 | 2021-09-29 | Lam Res Corp | Anomalous plasma event detection and mitigation in semiconductor processing |
CN114729835A (zh) | 2019-11-21 | 2022-07-08 | 朗姆研究公司 | 制造室中异常等离子体事件的检测和定位 |
US12057294B2 (en) * | 2019-12-17 | 2024-08-06 | Tokyo Electron Limited | Plasma processing apparatus and plasma processing method |
US11087959B2 (en) * | 2020-01-09 | 2021-08-10 | Nano-Master, Inc. | Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD) |
WO2022047227A2 (en) * | 2020-08-28 | 2022-03-03 | Plasma Surgical Investments Limited | Systems, methods, and devices for generating predominantly radially expanded plasma flow |
CN112466732B (zh) * | 2020-11-25 | 2024-06-21 | 北京北方华创微电子装备有限公司 | 半导体工艺设备和等离子体启辉方法 |
KR102644459B1 (ko) * | 2020-11-27 | 2024-03-06 | 세메스 주식회사 | 절연 플레이트 및 이를 포함하는 기판 처리 장치 |
KR102711869B1 (ko) * | 2020-12-28 | 2024-10-04 | 세메스 주식회사 | 기판 처리 장치 |
CN114724911A (zh) * | 2021-01-04 | 2022-07-08 | 江苏鲁汶仪器有限公司 | 一种等离子密度可调的离子源装置 |
IL281747B2 (en) * | 2021-03-22 | 2024-04-01 | N T Tao Ltd | System and method for creating plasma with high efficiency |
US12180586B2 (en) | 2021-08-13 | 2024-12-31 | NanoMaster, Inc. | Apparatus and methods for roll-to-roll (R2R) plasma enhanced/activated atomic layer deposition (PEALD/PAALD) |
Family Cites Families (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5559726A (en) * | 1978-10-30 | 1980-05-06 | Chiyou Lsi Gijutsu Kenkyu Kumiai | Plasma monitor unit |
JPS55157233A (en) * | 1979-05-28 | 1980-12-06 | Hitachi Ltd | Method and apparatus for monitoring etching |
JPS58218121A (ja) * | 1982-06-11 | 1983-12-19 | Anelva Corp | シリコンのドライエツチングモニタリング方法 |
US4491499A (en) * | 1984-03-29 | 1985-01-01 | At&T Technologies, Inc. | Optical emission end point detector |
JPS6393881A (ja) * | 1986-10-08 | 1988-04-25 | Anelva Corp | プラズマ処理装置 |
US5014217A (en) * | 1989-02-09 | 1991-05-07 | S C Technology, Inc. | Apparatus and method for automatically identifying chemical species within a plasma reactor environment |
US5068002A (en) * | 1989-08-03 | 1991-11-26 | Quintron, Inc. | Ultrasonic glow discharge surface cleaning |
US5304279A (en) * | 1990-08-10 | 1994-04-19 | International Business Machines Corporation | Radio frequency induction/multipole plasma processing tool |
US5273609A (en) * | 1990-09-12 | 1993-12-28 | Texas Instruments Incorporated | Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment |
JPH04362091A (ja) * | 1991-06-05 | 1992-12-15 | Mitsubishi Heavy Ind Ltd | プラズマ化学気相成長装置 |
US5234529A (en) * | 1991-10-10 | 1993-08-10 | Johnson Wayne L | Plasma generating apparatus employing capacitive shielding and process for using such apparatus |
US5280154A (en) * | 1992-01-30 | 1994-01-18 | International Business Machines Corporation | Radio frequency induction plasma processing system utilizing a uniform field coil |
US5277751A (en) * | 1992-06-18 | 1994-01-11 | Ogle John S | Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window |
US5318806A (en) * | 1992-10-02 | 1994-06-07 | Becton, Dickinson And Company | Tube having regions of different surface chemistry and method therefor |
US5346578A (en) * | 1992-11-04 | 1994-09-13 | Novellus Systems, Inc. | Induction plasma source |
US5308414A (en) * | 1992-12-23 | 1994-05-03 | International Business Machines Corporation | Method and apparatus for optical emission end point detection in plasma etching processes |
US5401350A (en) * | 1993-03-08 | 1995-03-28 | Lsi Logic Corporation | Coil configurations for improved uniformity in inductively coupled plasma systems |
-
1994
- 1994-10-20 US US08/327,798 patent/US5571366A/en not_active Expired - Lifetime
- 1994-10-20 KR KR1019940026833A patent/KR100276736B1/ko not_active Expired - Lifetime
Cited By (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100415226B1 (ko) * | 1996-08-23 | 2004-04-14 | 동경 엘렉트론 주식회사 | 플라즈마처리장치 |
KR101391006B1 (ko) * | 2006-02-15 | 2014-04-30 | 램 리써치 코포레이션 | 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기 |
KR101455954B1 (ko) * | 2006-02-15 | 2014-10-31 | 램 리써치 코포레이션 | 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기 |
KR101265231B1 (ko) * | 2010-09-15 | 2013-05-23 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 에칭 처리 장치, 플라즈마 에칭 처리 방법 및 반도체 소자 제조 방법 |
US8969210B2 (en) | 2010-09-15 | 2015-03-03 | Tokyo Electron Limited | Plasma etching apparatus, plasma etching method, and semiconductor device manufacturing method |
KR20180045105A (ko) * | 2016-10-24 | 2018-05-04 | 삼성전자주식회사 | 안테나, 플라즈마 생성 회로, 플라즈마 처리 장치, 및 그를 이용한 반도체 소자의 제조방법 |
KR102782333B1 (ko) * | 2016-10-24 | 2025-03-19 | 삼성전자주식회사 | 안테나, 플라즈마 생성 회로, 플라즈마 처리 장치, 및 그를 이용한 반도체 소자의 제조방법 |
US12327709B2 (en) | 2016-10-24 | 2025-06-10 | Samsung Electronics Co., Ltd. | Antennas, circuits for generating plasma, plasma processing apparatus, and methods of manufacturing semiconductor devices using the same |
KR20190015657A (ko) * | 2017-08-03 | 2019-02-14 | 삼성전자주식회사 | 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법 |
KR102630343B1 (ko) | 2017-08-03 | 2024-01-30 | 삼성전자주식회사 | 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법 |
KR20220067504A (ko) * | 2020-11-17 | 2022-05-24 | 도쿄엘렉트론가부시키가이샤 | 체결 구조와 체결 방법 및 플라즈마 처리 장치 |
KR102786708B1 (ko) | 2020-11-17 | 2025-03-25 | 도쿄엘렉트론가부시키가이샤 | 체결 구조와 체결 방법 및 플라즈마 처리 장치 |
Also Published As
Publication number | Publication date |
---|---|
US5571366A (en) | 1996-11-05 |
KR950012608A (ko) | 1995-05-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100276736B1 (ko) | 플라즈마 처리장치 | |
US5529657A (en) | Plasma processing apparatus | |
TWI768395B (zh) | 電漿處理裝置及電漿處理方法 | |
KR100274757B1 (ko) | 플라즈마 처리장치 및 플라즈마 처리방법 | |
US6497783B1 (en) | Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method | |
JP3378248B2 (ja) | プラズマチャンバに一様な電場を誘起するための誘電性ウインドウを有するプラズマ装置及び物体をそのプラズマ装置で取り扱う方法 | |
US6265031B1 (en) | Method for plasma processing by shaping an induced electric field | |
US6870123B2 (en) | Microwave applicator, plasma processing apparatus having same, and plasma processing method | |
US6172321B1 (en) | Method and apparatus for plasma processing apparatus | |
JP3150058B2 (ja) | プラズマ処理装置及びプラズマ処理方法 | |
US20010022293A1 (en) | Plasma processing equipment and plasma processing method using the same | |
WO2010004997A1 (ja) | プラズマ処理装置 | |
US20070037367A1 (en) | Apparatus for plasma doping | |
TWI843988B (zh) | 電漿處理裝置及電漿處理方法 | |
US20050051273A1 (en) | Plasma processing apparatus | |
JPH06342771A (ja) | ドライエッチング装置 | |
JPH09106900A (ja) | プラズマ処理方法及びプラズマ処理装置 | |
WO2000031787A1 (fr) | Dispositif de gravure a sec et procede de gravure a sec | |
JP2000164583A (ja) | プラズマ処理装置およびプラズマ処理方法 | |
JP3531511B2 (ja) | プラズマ処理装置 | |
JP2004533096A (ja) | 誘導結合高密度プラズマ源 | |
JP3294690B2 (ja) | プラズマエッチング装置の制御方法 | |
JP3840821B2 (ja) | プラズマ処理装置 | |
US6967622B2 (en) | Plasma device and plasma generating method | |
KR102498944B1 (ko) | 유기 재료들의 자가 제한 에칭을 수행하기 위한 프로세스 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PA0109 | Patent application |
Patent event code: PA01091R01D Comment text: Patent Application Patent event date: 19941020 |
|
PG1501 | Laying open of application | ||
A201 | Request for examination | ||
PA0201 | Request for examination |
Patent event code: PA02012R01D Patent event date: 19961220 Comment text: Request for Examination of Application Patent event code: PA02011R01I Patent event date: 19941020 Comment text: Patent Application |
|
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 19990827 Patent event code: PE09021S01D |
|
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20000125 Patent event code: PE09021S01D |
|
E701 | Decision to grant or registration of patent right | ||
PE0701 | Decision of registration |
Patent event code: PE07011S01D Comment text: Decision to Grant Registration Patent event date: 20000719 |
|
GRNT | Written decision to grant | ||
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20001002 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20001004 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration | ||
PR1001 | Payment of annual fee |
Payment date: 20030924 Start annual number: 4 End annual number: 4 |
|
PR1001 | Payment of annual fee |
Payment date: 20040924 Start annual number: 5 End annual number: 5 |
|
PR1001 | Payment of annual fee |
Payment date: 20050926 Start annual number: 6 End annual number: 6 |
|
PR1001 | Payment of annual fee |
Payment date: 20060925 Start annual number: 7 End annual number: 7 |
|
PR1001 | Payment of annual fee |
Payment date: 20070920 Start annual number: 8 End annual number: 8 |
|
PR1001 | Payment of annual fee |
Payment date: 20080925 Start annual number: 9 End annual number: 9 |
|
PR1001 | Payment of annual fee |
Payment date: 20090925 Start annual number: 10 End annual number: 10 |
|
PR1001 | Payment of annual fee |
Payment date: 20101001 Start annual number: 11 End annual number: 11 |
|
PR1001 | Payment of annual fee |
Payment date: 20110920 Start annual number: 12 End annual number: 12 |
|
FPAY | Annual fee payment |
Payment date: 20120924 Year of fee payment: 13 |
|
PR1001 | Payment of annual fee |
Payment date: 20120924 Start annual number: 13 End annual number: 13 |
|
FPAY | Annual fee payment |
Payment date: 20130924 Year of fee payment: 14 |
|
PR1001 | Payment of annual fee |
Payment date: 20130924 Start annual number: 14 End annual number: 14 |
|
FPAY | Annual fee payment |
Payment date: 20141001 Year of fee payment: 15 |
|
PR1001 | Payment of annual fee |
Payment date: 20141001 Start annual number: 15 End annual number: 15 |
|
EXPY | Expiration of term | ||
PC1801 | Expiration of term |
Termination date: 20150420 Termination category: Expiration of duration |