TW200427869A - Film-forming method, method of manufacturing semiconductor device, semiconductor device, method of manufacturing display device, and display device - Google Patents
Film-forming method, method of manufacturing semiconductor device, semiconductor device, method of manufacturing display device, and display device Download PDFInfo
- Publication number
- TW200427869A TW200427869A TW093109397A TW93109397A TW200427869A TW 200427869 A TW200427869 A TW 200427869A TW 093109397 A TW093109397 A TW 093109397A TW 93109397 A TW93109397 A TW 93109397A TW 200427869 A TW200427869 A TW 200427869A
- Authority
- TW
- Taiwan
- Prior art keywords
- gas
- film
- plasma
- thin film
- substrate
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 139
- 239000004065 semiconductor Substances 0.000 title claims description 58
- 238000004519 manufacturing process Methods 0.000 title claims description 47
- 239000007789 gas Substances 0.000 claims abstract description 485
- 239000000758 substrate Substances 0.000 claims abstract description 102
- 230000001590 oxidative effect Effects 0.000 claims abstract description 41
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 38
- 230000036961 partial effect Effects 0.000 claims abstract description 35
- 229910052814 silicon oxide Inorganic materials 0.000 claims abstract description 19
- 150000003377 silicon compounds Chemical class 0.000 claims abstract description 13
- 239000010408 film Substances 0.000 claims description 176
- 239000010409 thin film Substances 0.000 claims description 135
- 230000015572 biosynthetic process Effects 0.000 claims description 43
- 150000001875 compounds Chemical class 0.000 claims description 36
- 229910044991 metal oxide Inorganic materials 0.000 claims description 36
- 150000004706 metal oxides Chemical class 0.000 claims description 35
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 21
- 229910052710 silicon Inorganic materials 0.000 claims description 21
- 239000010703 silicon Substances 0.000 claims description 20
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 17
- 239000001301 oxygen Substances 0.000 claims description 17
- 229910052760 oxygen Inorganic materials 0.000 claims description 17
- 229910052751 metal Inorganic materials 0.000 claims description 16
- 239000002184 metal Substances 0.000 claims description 16
- 150000002902 organometallic compounds Chemical class 0.000 claims description 16
- 238000009413 insulation Methods 0.000 claims description 12
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 11
- 230000003647 oxidation Effects 0.000 claims description 10
- 238000007254 oxidation reaction Methods 0.000 claims description 10
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 claims description 9
- 230000005611 electricity Effects 0.000 claims description 9
- 239000011159 matrix material Substances 0.000 claims description 9
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 claims description 6
- 239000004020 conductor Substances 0.000 claims description 6
- 229910001882 dioxygen Inorganic materials 0.000 claims description 6
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 claims description 5
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 5
- 239000000463 material Substances 0.000 claims description 5
- 229910000077 silane Inorganic materials 0.000 claims description 5
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 claims description 4
- 229910002092 carbon dioxide Inorganic materials 0.000 claims description 4
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 4
- 241001674048 Phthiraptera Species 0.000 claims description 3
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 claims description 3
- 239000010931 gold Substances 0.000 claims description 3
- 229910052737 gold Inorganic materials 0.000 claims description 3
- 239000001257 hydrogen Substances 0.000 claims description 3
- 229910052739 hydrogen Inorganic materials 0.000 claims description 3
- 150000002736 metal compounds Chemical class 0.000 claims description 3
- 238000011282 treatment Methods 0.000 claims description 3
- MDBCDXQNSQPIMD-UHFFFAOYSA-N C1(=CC=CC=2C3=CC=CC=C3CC12)[Si](O[Si](C1=CC=CC=2C3=CC=CC=C3CC12)(C1=CC=CC=2C3=CC=CC=C3CC12)C1=CC=CC=2C3=CC=CC=C3CC12)(C1=CC=CC=2C3=CC=CC=C3CC12)C1=CC=CC=2C3=CC=CC=C3CC12 Chemical compound C1(=CC=CC=2C3=CC=CC=C3CC12)[Si](O[Si](C1=CC=CC=2C3=CC=CC=C3CC12)(C1=CC=CC=2C3=CC=CC=C3CC12)C1=CC=CC=2C3=CC=CC=C3CC12)(C1=CC=CC=2C3=CC=CC=C3CC12)C1=CC=CC=2C3=CC=CC=C3CC12 MDBCDXQNSQPIMD-UHFFFAOYSA-N 0.000 claims 2
- 239000001569 carbon dioxide Substances 0.000 claims 2
- WZJUBBHODHNQPW-UHFFFAOYSA-N 2,4,6,8-tetramethyl-1,3,5,7,2$l^{3},4$l^{3},6$l^{3},8$l^{3}-tetraoxatetrasilocane Chemical compound C[Si]1O[Si](C)O[Si](C)O[Si](C)O1 WZJUBBHODHNQPW-UHFFFAOYSA-N 0.000 claims 1
- QMYGFTJCQFEDST-UHFFFAOYSA-N 3-methoxybutyl acetate Chemical group COC(C)CCOC(C)=O QMYGFTJCQFEDST-UHFFFAOYSA-N 0.000 claims 1
- WHXSMMKQMYFTQS-UHFFFAOYSA-N Lithium Chemical compound [Li] WHXSMMKQMYFTQS-UHFFFAOYSA-N 0.000 claims 1
- ZZHNUBIHHLQNHX-UHFFFAOYSA-N butoxysilane Chemical compound CCCCO[SiH3] ZZHNUBIHHLQNHX-UHFFFAOYSA-N 0.000 claims 1
- -1 gold ethyl aluminum Chemical compound 0.000 claims 1
- 229910052744 lithium Inorganic materials 0.000 claims 1
- ZMANZCXQSJIPKH-UHFFFAOYSA-O triethylammonium ion Chemical compound CC[NH+](CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-O 0.000 claims 1
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 claims 1
- 239000010410 layer Substances 0.000 description 50
- 239000004973 liquid crystal related substance Substances 0.000 description 21
- 229910052799 carbon Inorganic materials 0.000 description 16
- 229910052681 coesite Inorganic materials 0.000 description 16
- 229910052906 cristobalite Inorganic materials 0.000 description 16
- 229910052682 stishovite Inorganic materials 0.000 description 16
- 229910052905 tridymite Inorganic materials 0.000 description 16
- 125000004429 atom Chemical group 0.000 description 15
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 15
- 238000002156 mixing Methods 0.000 description 14
- 150000001721 carbon Chemical group 0.000 description 12
- 238000001465 metallisation Methods 0.000 description 12
- 230000002829 reductive effect Effects 0.000 description 12
- 206010021143 Hypoxia Diseases 0.000 description 11
- 239000011521 glass Substances 0.000 description 8
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 8
- 239000000203 mixture Substances 0.000 description 8
- 125000004430 oxygen atom Chemical group O* 0.000 description 8
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 7
- 238000006243 chemical reaction Methods 0.000 description 7
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 6
- 238000010790 dilution Methods 0.000 description 6
- 239000012895 dilution Substances 0.000 description 6
- 238000005096 rolling process Methods 0.000 description 6
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 5
- 229910004298 SiO 2 Inorganic materials 0.000 description 5
- 125000004432 carbon atom Chemical group C* 0.000 description 5
- 239000011229 interlayer Substances 0.000 description 5
- 239000004033 plastic Substances 0.000 description 5
- 229920003023 plastic Polymers 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 238000007796 conventional method Methods 0.000 description 4
- 238000000354 decomposition reaction Methods 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- 230000003068 static effect Effects 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 239000003990 capacitor Substances 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 230000007812 deficiency Effects 0.000 description 3
- 230000005284 excitation Effects 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 125000002524 organometallic group Chemical group 0.000 description 3
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 3
- 239000012071 phase Substances 0.000 description 3
- 239000002994 raw material Substances 0.000 description 3
- 239000003566 sealing material Substances 0.000 description 3
- 238000000926 separation method Methods 0.000 description 3
- 229910021332 silicide Inorganic materials 0.000 description 3
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 3
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 3
- 239000004575 stone Substances 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- VOITXYVAKOUIBA-UHFFFAOYSA-N triethylaluminium Chemical compound CC[Al](CC)CC VOITXYVAKOUIBA-UHFFFAOYSA-N 0.000 description 3
- 238000007740 vapor deposition Methods 0.000 description 3
- 238000001947 vapour-phase growth Methods 0.000 description 3
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 230000003796 beauty Effects 0.000 description 2
- 210000000481 breast Anatomy 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 239000002131 composite material Substances 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 239000008267 milk Substances 0.000 description 2
- 210000004080 milk Anatomy 0.000 description 2
- 235000013336 milk Nutrition 0.000 description 2
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 238000006116 polymerization reaction Methods 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 230000008569 process Effects 0.000 description 2
- 239000002689 soil Substances 0.000 description 2
- UOUUKBKHBOFSJV-UHFFFAOYSA-N 1,2-dimethylpyrene Chemical compound C1=CC=C2C=CC3=C(C)C(C)=CC4=CC=C1C2=C43 UOUUKBKHBOFSJV-UHFFFAOYSA-N 0.000 description 1
- JZUFKLXOESDKRF-UHFFFAOYSA-N Chlorothiazide Chemical compound C1=C(Cl)C(S(=O)(=O)N)=CC2=C1NCNS2(=O)=O JZUFKLXOESDKRF-UHFFFAOYSA-N 0.000 description 1
- 229920000742 Cotton Polymers 0.000 description 1
- QMMFVYPAHWMCMS-UHFFFAOYSA-N Dimethyl sulfide Chemical compound CSC QMMFVYPAHWMCMS-UHFFFAOYSA-N 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- PEDCQBHIVMGVHV-UHFFFAOYSA-N Glycerine Chemical compound OCC(O)CO PEDCQBHIVMGVHV-UHFFFAOYSA-N 0.000 description 1
- 241001494479 Pecora Species 0.000 description 1
- 235000010627 Phaseolus vulgaris Nutrition 0.000 description 1
- 244000046052 Phaseolus vulgaris Species 0.000 description 1
- 241001473591 Saxifraga florulenta Species 0.000 description 1
- YZCKVEUIGOORGS-NJFSPNSNSA-N Tritium Chemical compound [3H] YZCKVEUIGOORGS-NJFSPNSNSA-N 0.000 description 1
- 229910052769 Ytterbium Inorganic materials 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 238000005273 aeration Methods 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 244000309464 bull Species 0.000 description 1
- 238000009924 canning Methods 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000002485 combustion reaction Methods 0.000 description 1
- 229910052593 corundum Inorganic materials 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- DDJSWKLBKSLAAZ-UHFFFAOYSA-N cyclotetrasiloxane Chemical compound O1[SiH2]O[SiH2]O[SiH2]O[SiH2]1 DDJSWKLBKSLAAZ-UHFFFAOYSA-N 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000006356 dehydrogenation reaction Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- SWXVUIWOUIDPGS-UHFFFAOYSA-N diacetone alcohol Chemical compound CC(=O)CC(C)(C)O SWXVUIWOUIDPGS-UHFFFAOYSA-N 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 208000037265 diseases, disorders, signs and symptoms Diseases 0.000 description 1
- 235000013399 edible fruits Nutrition 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 238000004070 electrodeposition Methods 0.000 description 1
- 238000005868 electrolysis reaction Methods 0.000 description 1
- 238000004945 emulsification Methods 0.000 description 1
- 239000000839 emulsion Substances 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 210000004907 gland Anatomy 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000001616 ion spectroscopy Methods 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 1
- 238000010248 power generation Methods 0.000 description 1
- 229910052704 radon Inorganic materials 0.000 description 1
- SYUHGPGVQRZVTB-UHFFFAOYSA-N radon atom Chemical compound [Rn] SYUHGPGVQRZVTB-UHFFFAOYSA-N 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 239000011347 resin Substances 0.000 description 1
- 229920005989 resin Polymers 0.000 description 1
- 230000000630 rising effect Effects 0.000 description 1
- 238000005070 sampling Methods 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- LLELVHKMCSBMCX-UHFFFAOYSA-M sodium 1-[(4-chloro-5-methyl-2-sulfophenyl)diazenyl]naphthalen-2-olate Chemical compound [Na+].Cc1cc(N=Nc2c(O)ccc3ccccc23)c(cc1Cl)S([O-])(=O)=O LLELVHKMCSBMCX-UHFFFAOYSA-M 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 241000894007 species Species 0.000 description 1
- 238000005728 strengthening Methods 0.000 description 1
- 125000003698 tetramethyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 229910052722 tritium Inorganic materials 0.000 description 1
- 229910052902 vermiculite Inorganic materials 0.000 description 1
- 239000010455 vermiculite Substances 0.000 description 1
- 235000019354 vermiculite Nutrition 0.000 description 1
- 238000009941 weaving Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
- 229910001845 yogo sapphire Inorganic materials 0.000 description 1
- NAWDYIZEMPQZHO-UHFFFAOYSA-N ytterbium Chemical compound [Yb] NAWDYIZEMPQZHO-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/67—Thin-film transistors [TFT]
- H10D30/6757—Thin-film transistors [TFT] characterised by the structure of the channel, e.g. transverse or longitudinal shape or doping profile
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- G—PHYSICS
- G02—OPTICS
- G02F—OPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
- G02F1/00—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
- G02F1/01—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour
- G02F1/13—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour based on liquid crystals, e.g. single liquid crystal display cells
- G02F1/133—Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
- G02F1/136—Liquid crystal cells structurally associated with a semi-conducting layer or substrate, e.g. cells forming part of an integrated circuit
- G02F1/1362—Active matrix addressed cells
- G02F1/1368—Active matrix addressed cells in which the switching element is a three-electrode device
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02178—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02181—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02183—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02189—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31608—Deposition of SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31616—Deposition of Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31637—Deposition of Tantalum oxides, e.g. Ta2O5
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31641—Deposition of Zirconium oxides, e.g. ZrO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31645—Deposition of Hafnium oxides, e.g. HfO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Nonlinear Science (AREA)
- Crystallography & Structural Chemistry (AREA)
- Mathematical Physics (AREA)
- Optics & Photonics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Description
200427869 五、發明說明(1) 發明之範圍 本發明關於形成一用於半導體裝置r如半導體積體 路,或顯示裝置如液晶顯示裝置中之膜形成方法。' & 亦關於製造一半導體裝置如薄膜電晶體(TFT),或金發明 導體裝置(M0S裝置)之方法’及關於一半導體。本發明氧半 關於製造顯示裝置’如液晶顯示裝置’有機EL顯示器益 機EL顯示裝置之方法節關於一顯示裝置。 4… 通常,矽氧化薄膜在半導體裝置中如薄膜電晶 (JFT)中作為閘極絕緣薄膜。一電漿CVD(電漿增強aa化風基 氣沉積)方法,為知名之溫度在低於〇度c下之 ^瘵 薄膜形成分法,俾防止基板之不良效應。 匕物 在電漿CVD方法中,矽氧化物薄膜之形 -㈣中’-種甲简體與氧氣體混合'後下供在二 合軋體於室中,其中安排—種基板。在此條件下,=: 產生電漿以達到電漿放電出-甲石夕烧氣體及氧氣氣=至^ 而可將矽氧化物沉積在基板上。 ” 口 傳統電漿CVD方法引起氧原子供應不足 形成之石夕氧化物薄膜具有較大之氧不足。自然,克服:果一 問題甚為重要。 見服此一 此外,在一發明文件曾揭示,即日本公開 碼H-279773中揭示-電漿方法,利用含 彳文件说 氣體,包括氣體分子及具右斜尸麟八工、_火,體之,匕合 有氣體。 〃有對氣體分子適當激勵位準之稀 應注意,在使用於顯示裝置之頂閘型Τ{?τ中,矽氧化
200427869 五、發明說明(2) 物同常由電漿CVD方法沉積於一半導體層上,其方法為以 島之型式及具有5 0 nm之厚度,俾形成穿8 0 - 1 〇 〇 nm之閘極絕 緣薄膜。 顯示裝置之比例已被放大,及此一顯示裝置用以實施 許多功能。此一方面,TFT結果被應用在一新顯示裝置如 一有機EL顯示裝置。因此情勢,當改進TFT之裝置特性 時:TFT之迷你化成為一種需求。為使TFT迷你化,閘極絕 緣薄膜需要變為較薄。明確的說,當^了之通道長度為 時’閘極絕緣薄膜之厚度需降低為3〇nm。 ^頂部閘極型TFT之閘極絕緣薄膜以島形成 ::括= ; = 涵蓋半導體層之丄 緣薄膜之漏電在牛、t ί 部分。因此,經間極絕 絕緣薄膜為氧化;:勢;應注意,如閉極 增加。 八7予度為30nm時,漏電之數量將 遙上、述問題之方法為利用雷將rvn # j 構,如以下之文 J用電水CVD薄膜之堆疊結 根據上述文二i述。 i長,所需之溫度V不之抑技:,在低於有機金屬化氣4 ^成。但文件! 原子層沉積方法為高之薄膜形成 問崎:”成之_巾'\=成之氧化_料引起_ 文件卜M.G I 幅不足。 之表面凌電漿氧二夕矽TFT閘極絕緣體在低溫 文中,咖^+叫第九屆屋 200427869 五、發明說明(3) 際顯不器工作會議記錄J,355-358頁。 料為牛2:由Reiji M〇ri〇ka所撰之"荆用有機金屬化材 科為别身以電漿CVD形成具有高介電 材 ^-003 ΐ(-公1司I織)該會議由應用物理協會電榮電子部門“ 如上所述,如閘極絕緣膜之厚度降低至 獲得足夠之裝置特:=至約3〇nm,甚難 受限制。味r 換e之氧化矽薄膜厚度之降低癍 氧化= 屬氧化物具有較氧切如氧化ίί 料。換ί:ϊ:!數,”為吸引力之閉極絕緣體ί 極絕緣薄臈材:時UJ::::j之金屬氧化物為閘 步:低,_維持閘極絕:薄;5:以進-形成之閘極絕緣薄膜之電容家専膜之電“於乳化矽薄膜 穌或氧化錯金屬氧化物所屬氧化物’ *氧化 士所熟知。 所瓜^儿積薄膜方法已久為此技藝人 在有機金屬化痛士止 一作為原材料之有機么ί長v刀法中,薄膜之生長係由分解 下‘將基板加埶,盆沾要屬化氣體在溫度500度C至·度C之 塑料基板上形成全屬\為甚難在一般型式之玻璃基板或 *利用潑;ίί氣;”薄膜。 潑濺方法時,在高途 1在低溫度下形成薄膜。但,利用 门、下之顆粒對基板之碰撞下面之薄膜易 Μϊ 第10頁 200427869
五、發明說明(4) 於,相。因此由波濺法形成之薄膜具有高介面狀態密度, 此外’尚涉及古命 A N度虱不足。因此,為補償金屬氧化物薄膜 ^、不,有必要利用電漿處理,或薄膜形成後在高溫下 作退火处理。因此,金屬氧化物薄膜形成之製造方法之數 目增加,此點為一缺失。 往在原子層况積方法中’原子層係每次沉積一層,因此 薄膜形成速度款你 *盆♦又甚低。因此原子層沉積方法不適於TFT之形 ’因八需要包括TFT之閘極絕緣薄膜中之薄膜厚度為數
使用有機4 ® & _L, 法,已被提議一 Ϊ料及利用電聚CVD技術之薄膜形成 別薄摘物薄膜之形成方法。此-特
Si力1氬氣之比例為1:5換言之,根據混合氣體 被導入一有::::分壓力之百分比為8〇%。混合氣體 到® ψ # π t ^ 中。在此條件下,室内產生電漿以達 &土,。及氧氣之電漿放電,因而將告氧化物沉積在基 才反上。
V在以前引述之文件1之技術中,含氣體分子及對氣體 分子有適當激勵狀態之稀有氣體組成之二種氣體混合,以 便使稀有氣體分解氣體分子為一原子狀態。換言之,在形 成矽氧化物薄膜情況下,甲矽烷氣體與氬氣體混合,以產 生原子矽,同時,氧氣與氬氣體混合以產生原子氧。因 此,在文件1揭示之技術中,至少需要二電漿產生裝置,
第11頁 200427869 五、發明說明(5) ί : ί : Ϊ石夕薄膜或金屬氧化物薄膜。因此# 置甚為稷雜’製造成本亦增加。此外,J此情开),製造裝 之技術產生—問題,即不可能使用含 利文件丨所揭示 氧基機矽烷(TE〇S)之氣體為氣體分子供^ =化合物如四乙 此外,文件2指出Kr稀釋比值與因將—石夕原子之用。 =關係’與微波出與氧原子密度間水乳化之薄膜厚 =以低溫實施表面波電漿氧化,以形成‘。但文件2僅 溥膑。而未指出本發明之薄膜形成技術。之閘極絕緣 本發明概述 本發明之一目的為提供一薄膜形成 具有低氧氣不足之薄膜,一製造半導體番,,、可形成一 版发罝,及製造一顯不裝置之方法及一 牛 根據本發明之第一特性,備有一薄开^二置。 含: 所联形成方法,包 合氣ΞΓ少三,種氣體’包括石夕化合物氣體(或有機金屬化 ^札體),一氧化氣體,及一稀有氣體供應至電漿 至’稀有氣體(pr )分壓力百分比,根據所 盔T , 佩尸汀頁乳體總壓力, 兩不小於58%,即85%,<Pr<100% ;及 在電毁處理示中產生電聚’以便在待處理之基板上 成氧化矽(或金屬氧化物)薄膜。 根據本發明第二特性,備有薄膜形成方法,包含· 人斤供應至少三種氣體包括石夕化合物氣體(或有機金屬化 合氣體),一氧化氣體及一氫氣體進入電漿處理室;及 在電漿處理室中產生電漿,以便在待處理之基板上形
第12頁 200427869 五、發明說明(6) 成氧化矽(或金屬氧化物)薄膜。 根據本發明第三特性,備有一半導體裝置,包含一電 曰曰體含至少一選自含以上述方法开》成之氧化石夕薄膜及金屬 氧化物薄膜之一組。該半導體裝置可由一顯示裝置形成。 結果,一具有小漏電之半導體裝置即可獲得。 本發明詳細說明 本發明現在詳細說明如下。
首先說明圖1中顯示之電漿CVD裝置(電漿加強化學蒸 氣沉積系統)1 〇,其為一化學氣相薄膜形成裝置之一,供 工作於薄膜形成方法中。該電漿CV D裝置1 〇如圖1顯示,為 一平行平板型電漿CVD裝置。如圖1所示,裝置10包含用作 電漿處理室之一室11,及一對平行平板型電極12及13安排 在該室11中,並彼此面對。一高頻電源供應電路,例如高 頻電源裝置14以供應5〇〇w(輸出功率)及40MHz(頻率)高頻 電源經匹配裝置1 5至電極1 2 (上電極)。 室11 容器組成 密方式穿 簇射電極 混合氣體 在室11之 氣體入口 電極1 2被 分子幫,
中有基板1待處理,該室為一真空室,由一金屬 以提供一密封之内部空間。氣體入口管丨丨a以氣 過室11之上部。氣體入口管11a之尖端連接至一 ,其功能為與上方電極12相同。自簇射電極12之 ,勾放=至待處理基板之表面。氣體排出埠11 b H & π。,以作為薄膜形成方法之混合氣體白 、对尾極,如圖1之箭頭A所示結構之 導入至11 。一直办4非1 / ^ ^ ^ 具二排出系統(未示出),利用渦輪 連接至氣體排屮部1〕 饼4 4 11 b。室11在操作真空排出系
第13頁 200427869 五、發明說明(7) 統下被騰空,直到規定之真空度已達為止。 供產生向頻功率以產生電漿之高頻電源裝置〗4之輸出 端點,經匹配裝置15連接以控制相對配置之一對電極12及 ? ί f載二圖中顯示之例中,高頻電源裝置14之輸出端點 電極12,13,即上方電極12,另-電極13連接至 地電位之一點。 所干ΐΓίΐ理之基板1之一台座安排於室11之内。圖中 所不之裝置中,下方電極13亦作為一台座以 :力;未示出)用以加熱待處理之基板1,:加熱器 或燈u火文排於該台座之中(電極1 3)。 ' #之:裝徨置/ °之構造可使高頻電源供應14在室"騰 二ίΪ一匹配裝置15供應一高頻功率於電極12 室^中土電聚。備有一加熱器以供氣體入“二在 之壁必要之用。加熱氣之溫度需成 口管Ua或室U之壁上形成薄膜。㈣俾不在氣體入 此 利用圖1中所示之薄膜开彡# 士·、4» (第-實施⑷ 以料成方法制如下。 在第-步驟中,準備待處理之基板卜 矽基板以製造一半導體步晉,一 + 士 土板括一 裝置之顯示電路,或塑s A板一基板以形成液晶顯示 之基板由石夕基板形成科基板…實施例中,待處理 在次一步驟中,準備一、、曰人# 氣體,包括由具有矽原子(si:’其中包含至少三種 原子(S1)之矽化合物氣體形成,一氧 200427869 五、發明說明(8) 化氣體及一稀有氣體。事實上,可在將此等氣體導入室1 中以混合石夕化物氣體,氧化氣體及稀有氣體加以混合,俾 形成理想混合氣體。 在本發明第一實施例中,混合氣之準備係混合四乙氧 基矽烷(Si(0CH2CH3) 4:四環氧正矽鹽酸)氣體,即TE〇s氣 體,用作矽化合物氣體或有機金屬化化合物氣體,一氧氣 (〇2)用來作為氧化氣體,及氙氣體(Xe氣體)作為稀有氣 體/ T E 〇 S氣體與〇2氣體在混合氣體中之混合比例為1 : $。 其中,混合氣體之總壓力設定為1〇〇%,Xe氣體(Pr)之分壓 力之百方比(稀釋率)設定在不大於85%,即85% $ <10 0%。ΡΓ之百分比設定在9〇%。 CVD裝欠置步驟^,待處理之基板1容納在圖1所示之電漿 理相、直*你之丛室巾’隨後操作真空騰空系統,以建立道到 Si;:件,真ί於室U中。室U騰空之後俾建立實際 到室丨丨夕、此合軋體經氣體入口管11 a供應至室11,直 於室11中!1 ΐΐ力Ϊ加達到60pa。此外,基板1,已容納 C。於异^由安排在下方電極13中之加熱器加熱至30 0度 輸出50 0W二頻雷率置U操作後以經匹配裝置15供應 室U中產,二:13間4〇MHz之頻率。結果,電漿在 體,室大至 > 之自由空間富於Xe氣體及稀有氣 生,以便#急# η ® μ ^ 度。返後,電漿以高電子密度 氣以^使 2作氧化氣體,TEOS氣體用作石夕介入你 :體从待電漿將其有效分解。”心體用作矽化合物 積在待處理之基板㈣分子(Sl0山冗 开^成虱化矽薄膜(S i 02薄膜)。
第15頁 200427869 五、發明說明(9) 本發明第一實施例之薄膜彡 特性評估如下。 、/成方法形成之Si〇2薄膜之 特別疋,準備根據混合氣體總壓齅 每-種P教h古、、1 Ϊ 氣體,《次以上述關於 崎=亡測量Sl〇2薄膜之電容電壓特性而決匕定。在母 圖2為一曲線,顯示混合氣體中包 分壓力百分比與M0S裝置之平帶電壓 ;;r 因為在SiG2薄膜中包含大量靜η # ㈣事貫上’ 至負方^/ 匕3大里#止電何,該平帶電壓被轉移 如,2所示·,平帶電壓在傳統_裝置中保持在約一 p ,八中之Sl〇2薄膜係在包含在混合氣體中之Xe氣體 (p〇r)之分壓力百分比設定在低於85%,即,〇% ^ 〈 H之狀態下形成。$ —方面’該平帶電壓被發現在本養 月弟一實施例中之M0S裝置中其範圍在-2· 〇v及 >
• ον之間,其中之w薄膜係在混合氣中所含之氣體 Pr)之分壓力百分比設定為不小於85%之狀態下形成, 即,85% $ Pr <丨〇〇%。換言之,本發明第一實施例之 〇s裝置之平帶電壓之絕對值發現較傳統M〇s裝置為小。 在過去,Si 〇2薄膜之靜電荷密度因為甚低。平帶電壓 2小絕對值之意義為,薄膜靜電荷密度已降低。換言之, 吾人發現,可能獲得低靜電荷密度之Si%薄膜,其方法為
第16頁 200427869 五、發明說明(10) 在混合氣中所含之Xe氣體(Pr)之分壓力百分比設定在不小 於85%,即,85% $ Pr <1〇〇%之狀態下形成 s i 〇2薄膜,如本發明第一實施例。 圖3為一曲線,顯示包含在混合氣體中之Xe氣體(Pr) 之分壓力百分比與混合氣體中電子密度之關係。 如圖3所示,在混合氣體中之電子密度,在包含之“ 氣體(Pr)之分壓力百分比為低於85%,即,〇% $ 〈 85%之狀態下約為1 〇9cm-3。但在混合氣中之電子密度增加至 約101。至1012cnr3 ’其較上述案例高數十或數百倍 氣體中Xe氣體(Pr)之分壓力百分比設定為小於85% ’即’85% S Pr < 1〇0% ’如本發明所限定。 ^資料支持電漿中之電子密度在本發明 膜形成方法中迅速增加。此點認為合理,因為 原子分子組成,電漿中之電子根據本發明第—實施 : 膜形成方法中,無能量損失問題,雖然電漿中之 ' 用多原子分子時,因分離反應而造成能量損失。=在利 應認為合理可瞭解,如電子能量非由分離反應而損:之, 漿中之電子密度在供應之高頻電源為恆定時増加。、 電 因此,根據本發明第一實施例,可以增力I電浐申 子密度以提高電毅達成之TE0S氣體及〇2氣體之分^。=電 果,可以有效的形成Si原子及〇原子。應注意,如〇 ° ^ 有效形成,其可抑制Si〇2巾之氧不足,結果秘原子 漏電可以最小。 2 4膜之 (第二實施例)
第17頁 200427869 五、發明說明(11)
在 60Pa 〇 總壓力 即,稀 低,視 比而定 膜形成 在薄膜 在 有矽原 氣體。 石夕化物 體為稀 結果,TE0S 在稀有氣體 有氣體之豨 根據混合氣 。因為製造 時間應為較 形成方法能 第—步驟中 子之化合物 #本發明之 氣體及有機 有氣體。 實施例中 氣體分壓 (X e氣體) 釋度增加 體之總壓 時間為決 短。此情 改進薄膜 ,混合氣 之矽化合 第二實施 金屬化氣 ’室11中之氣體壓力設定為 力^百分虹,根據混合氣體中 分壓力之百分比增加時降低, 。因此,可使薄膜形成速度降 力’稀有氣體(Pr)分壓力百分 定產品製造成本之一因素,薄 形下’本發明之第二實施例旨 形成速度。 由包括下列氣體形成,由含具 物氣體,一氧化氣體及一稀有 例中’備有一 TE0S氣體作為 體’及〇2為氧化氣體,及Xe氣
^ 一步驟中,TE0S氣體及〇2氣體以1 : 5之比率混合, 供應至室11俾此等氣體之總分壓力為10Pa,隨後,供應Xe 氣,1至室11 ’俾Xe氣體(Pr)分壓力之百分比(稀釋率)^根 據 内之總壓力為不小於8 5 %,即8 5 % g P r < 1 0 〇 %。 , 此B氧在室11内形成。第一實施例中之其他步驟盘 此相同因此重疊說明部分已省略。 …、 圖4·发 咖 馬一曲線,說明混合氣中Xe氣體(Pr)分壓力百分比,、薄膜形成速度間之關係。 八壓圖斤示,薄膜形成速度在混合氣中Xe氣體(Pr)之 9刀η %八百立分比低於9〇%,即,〇% $Pr<90%狀態下,保持為 ηΠ1刀鐘不變。另一方面,在混合氣中之Xe氣體分壓力
200427869 五、發明說明(12) 98%時,薄膜形成 之百分比為90%-98%,即,90% € Pr 速度自20nm/min增加至55nm/min。 ί
Si〇2薄膜在混合氣中所含之Xe氣體(pr)分壓力百分比 設定為9 5 %,及混合氣體之總壓力設定為2 〇 〇 p a之條件下, 亦可形成。之後,鋁電極由蒸氣沉積在S i 〇2薄膜上方式形 成,以獲得一 Μ 0 S裝置。當Μ 0 S裝置之平帶電壓測量後,發 現平帶電壓之絕對值與傳統M0S裝置比較已降低。如si 02 薄膜在混合氣中所含之Xe氣體(Pr)分壓力百分比設定為 95%,及混合氣之總壓力設定為2〇 〇 Pa條件下形成時,薄膜 形成速度增加,薄膜之品質亦可獲得改善。 靜電荷之租度,只要混合氣中含有TE〇s氣體及02氣 體,儘管量甚少亦甚低。此外,亦可能形成具有低漏電之 Si〇2薄膜。β但,如混合氣體被Xe氣體所稀釋,俾Xe氣體 (Pr)之分壓力百分比’根據混合氣之總壓力,增加至超過 9 8%,則薄膜形成速度降低。因此,為增加薄膜形成速 度,及獲得改進薄膜品質之滿意效益,Xe氣體(pr)分壓力 百分比根據混合氣總壓力,應為9〇%至98%之範圍,即, 90〇/〇 € Pr < 98% 〇 如上所述,本發明之第一及第二實施例之薄膜形成 方法,包含供應至一電漿處理室(室n)至少三種氣體,包 括了矽ί t物虱體,其由含矽原子(TE0S氣體於每一第一 及第一 ^知例中,其亦為有機金屬化化合物氣体),一種 氧化氣體及-稀有氣體(Pr),稀有氣體分壓力之百分比, 根據所有氣體之總壓力,不小於85%,即85% ^ pr <
200427869 五、發明說明(13) -------一^— 1 0 0 % ;及在電漿處理室產生 .化氣體被電聚分解,因而在:;理=化合物氣體及乳 膜,其在氧不足上甚低及成本上形成Sl〇2-
Si ο 發明第一及第二薄膜形成方法中,可以獲 薄膜,其靜電荷及漏電甚低。換言之, 獲改進。此外,可在溫度約3 丄且 統使”有機金屬化氣相生長方法相較為;:顧其” (Pr二:J Ϊ形成速度可較傳統方法為快,因稀有氣體 即,9: “二8%根據總’力應在9"至娜之範園, 用為本發明之每一第一及第二實施例中,Xe氣體 體Π(Ν ::亦可能使用氣(ΚΓ)氣體,-氬⑹氣 體^巩(Ne)氣體或氦(He)氣體作為稀有氣體。應、、主音, ί ί 1 ; ί ^ ^ ^ f 展現高電子密度。在此情況下,所用:稀:J = J = 電聚處理室U中之電子密度(室⑴/乳孔體’以便增加 (第三實施例) 在第一步驟中’準備待處理之基板1。 板以形成一半導體裝置,一玻璃基板以形成:一更用矽基 晶顯不裝置之用,或塑料基板作為待處理之美『電路供液 實施例所示。—矽基板用於第三實施例,如^如第— 在次一步驟中,預備一種混合氣體,包括2不。 化合物氣 第20頁 i 200427869 五、發明說明(14) 體,一氧化氣體及一氫氣(h2)。亦可在此等氣體被導入室 11時’混合矽氧化物氣體,氧化氣體犮氳氣,以形成理想 混合氣體。 在本發明之第三實施例中,混合氣體之製備係混合TE〇s 氣體’其作為石夕化合物氣體,及有機金屬化氣體作為氧化 氣體’及一&氣體。TE0S氣體與A氣體在混合氣體中之混 合比為1 ·· 1 5。此外,H2氣體(ph)之分壓力百分比,根據混 合氣總壓力為1〇〇% ’設定為不大於3%,即,。 在本發明第三實施例中,準備二種混合氣體,其中 TE0S氣體與%氣體之混合比為丨·· 15,混合氣中所含比氣體 (Ph)刀壓力百分比設疋為〇·5%,另一種混合氣體中,teqs 氣體與〇2氣體之混合比為1 ·· 1 5,混合氣中所含&氣體(ρ 分壓力之百分比設定為3%。 2 ” 次一步驟中,待處理之基板1容納於電漿CVD裝置1〇之 室11中,如圖1所示。電衆CVD裝置與前述之第—實施 相同,因此重複說明省略。 、 4 之後,真空騰空系統操作後以建立真空條件, 到達室11中之理想真空度。纟室u騰空後,以建立真: 件,混合氣體於是供應至室n中直到氣體壓力在ϋ以' 達8〇Pa。之後,待處理基板i由安排在下 裝置加熱至3 0 0度C。之德,宾镅雷调驻里,j^円加熱 棉劝率缚m ^ 裝14操作後供應高 頻功羊、&匹配裝置15於電極丨2與13之間,因 生電漿。該TEOS氣體在電敷中被分解俾形 ^^1。1 =產 外,h£與〇2氣體實施反應,以有效形成〇原子。結果, 200427869 五、發明說明(15) 分子沉積在彳# # 、社侍處理之基板上因而形成Si 02薄膜。 之特:i:: ΐ,實施例之薄膜形成妒法形成之si〇2薄膜 下·在第一步驟中,準備混合氣體條件(1)至(3)準備如 合 ⑺ϋ合/體條件,該條件下TE〇S氣體與〇2氣體混 此口例為1 : 1 5,與傳統方法同。 ,(ΓΛ合中氣所體」条件帽03與〇2氣體混合,混合比例為 為〇· 5%,盥第=實二j耽體(Ph) ’之分壓力百分比設定 /、印一 X施例相同。 '人(日3)/\合^氣條件*TE0S氣體與〇2氣體以1 :15混合比例 氣ί所含之H“ph)之分壓力百分比設機, 與本發明第三實施例相同。 = ’ (3)條件下形成,隨後,由蒸氣沉 署 叫薄膜上以獲糊裝置。之後,每 之雷-ί Ϊ平帶電壓由測量在每—M〇S裝置中之叫薄膜 之電容-電壓特性決定。 曲線,顯不含於混合氣中之H2氣體(Ph)及M0S裝置 之婆帶電壓間之關係。 如則所示,傳統M0S中之平帶電壓約為_〇2v,裝置中之 薄膜係在混合氣體條件(1)之下形成。另一方面,m〇s ^置中之平帶電壓為]· 8V ’其中之叫係混合氣體條件 (S下形成。此外,刪褒置之平帶電壓為].4V,係在混 口氣體條件(3)之下形成。換言之,平帶電壓之絕對值發
200427869 五、發明說明(16) 現士發明之第三實施例之M0S較傳統M0S裝置為小。 ,言之,已發現如增加化於混合氣中,河形 電電荷之Si02薄膜。 一有敉低静 吾人有理由認為,&氣體可與A氣體反應,氧原子可有效 形成具有低靜電荷密度之叫薄膜。應注意者為, 在根據本發明第三實施例之薄膜形成方法中, 效形成,俾抑制Si〇2薄膜中之氧不足,結果,經 膜抑制漏電。 1〇2 4 事貫上,〇2及扎氣體同時出現在混合氣體中。因此,如混 合氣體中4氣體(Ph)分壓力百分比增加至4%或更多時,η 氣體可與〇2氣體反應。因此,&氣體(ph)之分壓力百分』 如叹疋在4%或更多時,必須特別注意薄膜形成方法,及薄 =成裝置。自然的,設定h2氣體(ph)之分壓力百分比為 ,多並不理想。上述之效應,如在混合氣中包含札氣 # ί、、Γ可ί得。因此,鑑於製造之成本及安全,理想上為 口又疋此合氣中所含之&氣體(ph)分壓力之百分比為小於 位準,即,〇% $ ph < 3〇/〇。 t i =示,本發明第三實施例薄膜形成法包含一步驟以供 f If處理室(室丨1)至少三種氣體,包括由具有石夕原子之 嬙1 f形成之石夕化合物氣體’即’一TE0S氣體,其構成有 t屬化,合物氣體’用在第三實施例中),-氧化氣 豆二及一氫軋,及在電漿處理室產生電漿,因此在待處理 ,土板上形成s 1 02薄臈’其在氧不足上較小及成本低。 此外’根據本發明第二實施例之薄膜形成方法中,可獲得
_1圓 200427869
一 s 1 >薄膜,其靜止電荷小及漏電小。換言之,可以改 s ) 〇2薄膜之特性。‘此外,S i 〇2薄膜可在3 〇 〇度「之下形 成’其較有機金屬化氣相生長方法為低。 事貫上’一TE0S氣體,亦為有機金屬化合物氣體, 來有Si原子之矽化物氣體。但,亦‘可使用四甲基,環四 矽氧烷,二丙酮,二-三丁烷矽,六甲基二矽氧烷作為矽 化口物氣體。亦可使用任何S i扎氣體,s込He氣體,S i F4氣 體:S1 CL體,S1 & CL體為矽化物氣體,及混合氣體含至小 此等二種含石夕化合物之氣體。 夕
此外,上述本發明之每一第一至第三實施例中,一%氣用 以^作為氧化氣體。但,亦可至少用一〇3氣體(臭氧),〜〇 (氧化氮)氣體,N0( —氧化氮)氣體,c〇(一氧化碳)氣體, c〇2 (二氧化碳)氣體作為氧化氣體。在此等養化氣體中,較 佳利用%體,因為與其他養化氣體相較,%體可容易分解 及有咼反應性。矽化合物氧氣較佳為s i H4氣體,氧化氣體 幸父佳為一 〇3氣體及一 〇2氣體。
應注意,如一化合物氣體具有一氮(N)原子,如n20氣體或 肋氣體用以作為氧化氣體時,氮原子在介面被局部化。因 此,在 >儿積S i 〇2分子時,介面狀態密度增加,此舉對半導 體叢置並非理想。此一特殊趨勢在電漿中電子密度增加時 更為明顯。換g之,此特殊情勢在本發明第一至第三實施 例所述之薄膜形成方法中更為明顯。 另一方面,利用含碳原子如⑶氣體及c〇2氣體為氧化氣體亦 甚為理想。吾人認為合理,因γ E Q S氣體本身有碳原子,形
200427869
不受影響,即使使用有⑶氣體娜 ^卜2^TE〇S氣體作為具有Si之原子之化合物氣體 令可形成一s^2薄膜在涵蓋特性上滿意。因此,必 液晶顯示裝置20中,薄膜電晶體(TFT)表面上且有 :規;::擇=形成叫薄膜時’利用刪氣體甚為!里 τ f τ, V,r,J At# ^ ^ ^ls 氣體之SiO策1 '、子之化合物之矽化合物氣體為TE0S ” 〇2溥膜之閘絕緣薄膜之形成而獲得。 物氣體時,較佳;=。自二;氣 體及⑶2氣體為氧化1用體u組^乳體,〇3氣體,⑶氣 :::【體::用;:=:^Γ氣體或心氣體為碎 氧化氣體,以在Si〇形忐方^。乳體或C〇2氣體作為 ^ ^ μ . 4; Ittit V/Λ J # #,J" 氣體至至少一氣體為=體=以自=氣體及, 度之Si 〇2薄膜。 旧/兄下,可形成有向純 第三實施例產生之效應不受電聚 =了 r以r;,佳為利用具有高激勵頻率 聚,其為-種=侧\或更高。建議使用表面波電 種無磁場之微波電漿源,如利用微波之電聚
第25頁 200427869 五、發明說明(19) 源。 (第四實施例) r 一無磁場之微波電漿CVD裝置50將用於本發明之第四實施 例中,參考圖6說明如下。 無=場之微波電漿CVD裝置50包括一真空室51作為電漿處 理谷器,一微波源52,一波導53,複數個間隙54,一介電 構件55,氣體入口埠56,一氣體騰空埠57及一基板台58。 基板台58安排在電漿室51内。一閘(未示出)安排於電漿室 51内。該閘實施轉移待處理之'基板i之功能,即,一玻璃 ,,其上形成液晶顯示裝置之顯示電路,#電晶體進入或 出真空室51。真空室51有足夠之處理區域,例如 :ΓΓ=。二波尸產生具有2.45GHz頻率之微波。波導 53以矩形波導形成,其寬度為9cm, 複數個間隙54在波導53之側壁53 又彳、、' m。 空室51之上壁。介電構件55提^—\\’側壁位於面對真 承受直空室51内之直視固,其有一厚度足夠 枣又具工至bl内之真空及以傳輸微波之 室。例如,介電構件5 5以玻璃,— 厂構成之真工 體入口56連接至容納原材料氣:二或陶材料構成。氣 應至以規定之流速進入真空室5丨谷器’俾原材料氣體供 管子構成,俾在真空室5i ^ $遍此外,氣體騰空埠57由 真空室51首先騰空至規定之真=户後以釋放氣體至外部。 之混合氣體以規定流速經氣&二$、。之後,含原材料氣體 源5 2内之振盈斋振盡之微丄經波、進入真空室51。自微波 天線之間隙54及介電構件55 _ V53傳播,俾經構成波導 田、至真空室51。圖6中之裝
第26頁 200427869 五、發明說明(20) 置5 0中,自間隙5 4輻射進入真空室 實施薄膜形成作業。 之微波產生電漿,以 本發明第四實施例之薄膜形方法,夂
裝置50予以說明。 #參考圖6中之電ECVD 在第一步驟中,預備待處理之基板丨。 以形成半導體裝置,如電晶體,玻璃基板以彤彳可矽曰基板 裝置之顯示電路’及一塑料基板為& 液曰曰顯不 ^四實施例中,利用一石夕基板為待本發 在:-步驟中,包括有機化合物氣體,―氧化 有氣體之混合氣體。事實上 # 入直办一丌了旎在一引進此等氣體進 方法中’將有機金屬化化合物氣體,氧化體 及稀有軋體混合,俾製備一理想混合氣體。 f 之第四實施例中,利用三丙氧基穌(Hh(OC3H7)3 ) :ί!: 合物氣體,一〇2氣體為氧化氣體,及-虹氣 :為稀有氣體以製備一混合氣體。混合氣體中所含之红氣 體(Pr)分壓力之百分比(稀釋比)不小於85%,即,85% $ f 100%。例如,上述之!^設定為9〇%。明確言之,第四 二=例中之三丙氧基穌氣體:%氣體:Ar氣 2%:8%:90% 。 么步驟中,待處理之基板1置於電漿VCD裝置50之真空 至5 1中,隨後,操作真空騰空系統以將直, 設:與真空室51中相等之真空條件。此;;真=;空‘ 業只苑於真空室5 1之後,混合氣體被引入真空室5丨直到該 室51中之氣體壓力增加至8〇Pa。操作高頻電源裝置(未示
第27頁 200427869 、發明說明(21) 出電及頻率2 45G產生表面波電衆,其為 空間3a :無磁場之微波電衆。欧真空室51中之自由 生真空室51内保持-高電子密*,結果產 (HfO^C微波電聚。因此,〇2氣體及氧化穌 介電2常L π在待處理之基板1之表面上,俾構成一有高 ;丨^吊數之氧化穌薄膜(Hf〇2)。 二之員丁曲線,6兄明混合氣體中所含之Ar氣體(Pr)分壓 〜日分比。 混:7氣所中:’氣見體合ΐ巧1,電以密度約為10 9cnf3,此時之 ^ Pr < RR〇/;; r为壓力之百分比為小於85°/。,及,0% 壓力百乂Ί 一方面’混合氣中所含之Ar氣體pr之分 氣小於85%,即,85% 各 Pr < 100% ’ 混合 cm—3 ’其為百分比為小細時 形成ϋί實支持’在本發明之第四實施例之薄膜 :成電蒙中之電子密度可迅速增加。可合理考 i中之電it成如Xe氣體一樣由單原子分子形成,電 缺,雷將Φ i本毛明之薄膜形成方法中,無能量損失,雖 量n中電子在使用多原子分子時由分離反應而損失能 失,則Γ m可合理為為’如電子非由分離反應而能量損 增加。 +為不交日寸,電漿之電子密度可能 (第五實施例) 圖1之⑽褒置係用於本發明之第五實施例。在第一步驟
第28頁 200427869 五、發明說明(22) ::ΐ有:待處理之基板1。其可使用矽基板,-玻螭基 理二基板為待處理之基板1。在第五實施中,待ί 理之基板1為矽形成。 飞’义 ί一:驟”一混合氣體,備有包括有機金屬化化合物氣 ,,一乳化虱體及一 Η2氣體。在本發明第實 r:氧化氣體,及一心氣體混合。中之Η (P^ 7刀壓力百分比(稀釋率)設定為不小於3%,及,〇% 2 氣體中之Η2氣體(Ph) *壓力百分比增加 為〇或更大,H2氣體可與〇2氣體大幅反應,如 ::施㈣。明確的說,本發明之第五實施例中之三弟 土穌亂體·〇2氣體:1¾氣體之混合比為20%: 78% :2%。 :步驟1 ’待處理之基板1置於電漿CVD裝置10之真* :一:以建立與真空室11相等之真空條件。進一步?: 工f 11騰空後、,混合氣體被供應至真空室11直到真空室n、 ,:體,力已達到8〇Pa。於是,操作高頻電源裝置" 電二其為利用1 0 0 0W及2.45G產生之無磁場微波 Hf;:。面波電漿中,三丙氧基稣氣體被分解以產生 處原子 > 外,1氣體與〇2氣體於表面波電漿中發生反 上以有二構^成0原子。結果,Hf〇2分子沉積在待處理之美 根據本發明第四實V; Λ _㈣。 特性評估如下;知例之薄膜形成方法形成之Hf〇2薄膜之 特別是’ H f 0?薄腺役4 膜係根據一傳統薄膜形成方法在矽基板上
第29頁 200427869
五、發明說明(23) 形成’其中之混合氣體係混合三丙氧基録氣體與氣體而 成,其混合比為20%: 80%,係根據本發明第四實施例之薄 膜形成方法與第五實施例之薄膜形成方法。之後,此等 H f 〇2薄膜之電流-電壓特性加以測量。 圖8為一曲線,顯示當施加一2MV/cm之電場於傳統方法形 成之母一 H f 〇2之漏電,本發明第四實施例之方法形成之
Hf〇2薄膜及本發明第五實施例之方法形成之“仏薄膜時 漏電。 、< 士圖8所不,根據傳統方法形成之H f %薄膜之漏電為1 〇十 。另一方面,根據本發明之第四及第五實施 :成之Hf〇2薄膜之漏電為l〇_12(A)。因此根據本發明法 =貫施例方法形成之薄膜經金屬氧化 =四 成方法薄膜漏電較低。 又得、、死溥膜形 二:秉解:本發明之特殊效應產生如下。根 例之薄膜形成方法中,電聚密度增加H貫 穌氣體與%氣體有效被電漿分解。結果,Hf〇2 ^-丙氧基 根據本發明第五實施例之薄膜;電將、、緊 氣體與t氣體間實施反應以產生〇原 ;,漿中% 細2薄膜中之氧氣不足被認為已經降低原:使=’合 氫終止。 午% 1早使氧缺陷以 此外’根據本發明第四實施例之 ^ 之準備係將有機金屬化物氣體,氧化‘體及稀=混合氣體 混合’俾根據混合氣體之總壓 有稀有氣體二 之百分比設定為至少不小細,: 200427869 五、發明說明(24) 1〇〇/。、纟α果’薄膜中之碳原子濃度在本發明第四實施例中 可被抑制,如本發明第六實施例然,f 此2 ’根據本發明第五實施例之薄膜形成方法中,混合氣 2 係混合一有機金屬化合物氣體,-氧化氣體及-稀 有=。結果,薄膜中之碳原子濃度可在本發明之第七實 把例中被抑制,該第七實施例稍後說明。 根據本發明第四;5h ,盆 第五貫施例,可形成一金屬氧化物薄 怎φ 4不足甚微,成本亦低。亦可能經金屬氧化物降低 漏電及抑制臈中碳原子之濃度,以 (第六實施例) ί 3 二實&例中’混合氣體之製備係混合三曱基銘 A二彳々Μ氣y,>作為有機金屬化化合物氣體,一 〇2氣體作 :乳^ -及r氣體作為稀有氣體“匕外,含於混合 中之Kr氣體(Pr)之分壓力百分比(稀釋率)設定為不小於 85%,即’ 85% gPr<10〇%。例如,上述之化百分比設定為 98%。明轉的說,TMA氣體與%氣體及^氣體之混合比為 〇· 5%: 1· 5%: 98%。事實上,第六實施例與第四實施例之其 他步驟相等,因此,重疊說明已省略。以此方式,一AL2〇3 薄膜可在第六實施例中形成。 23 (第七實施例) 在本發明第七貫施例中,混合氣體之製備,係混合TMA氣 體作為有機金屬化化合物氣體,一 〇2氣體作為氧化氣體及 一4氣體。明確的說,TMA氣體,〇2氣體與H2氣體之混合比 例為1 0% : 8 9% : 1 %。此外,第七實施例與第五實施例之其
第31頁 200427869 發明說明(25) 他步驟相同’故重疊句明P么、Μ I'; lL -ί- JL· 王且况明匕啗略。以此方式,一AL2 03薄膜 可在本發明之第七實施例形成。 f 根據本發明第六至第七實施例之薄膜形成方法形成之AL2〇3 薄膜之特性檢討如下。 特別是每一傳統薄膜形成方法在矽基板上形成之2〇()nm厚 之AL 2 〇3薄膜,其中之混和氣體係根據本發明第六實施例 及第七實施例之TMA氣體及一〇 2氣體以1〇%: 9〇%之混合。之 後,AL 2 0 3薄膜中之碳濃度係由SIMS(二次離子型光譜術) 為每一ΑΙ^〇3薄膜測量。電漿之壓力為80Pa及功率為 1000W 〇 圖9為一曲線說明由傳統方法形成之每一 AL 2 〇 3薄膜之碳 原子濃度,及根據本發明第六實施例之薄膜形成方法形成 之AL 2 0 3薄膜之碳原子濃度,及本發明第七實施例之方法 形成之AL 2 03薄膜之碳原子濃度。 如圖9所示,傳統薄膜形成方法形成之AL 2 〇3之碳原子遭度 約為1 021原子/cm3。換言之,本發明之第六及第七實施例方 法形成之薄膜AL 2〇 3之濃度約為1 019原子/cm3。因此甚為明 顯,本發明之第六及第七實施例之薄膜形成方法之薄膜之 碳原子濃度,與傳統薄膜形成方法形成之薄膜相較,其碳 原子濃度較低。 本發明第六實施例之薄膜形成方法成之低碳原子濃度,係 導自一情況,即稀有氣體(Kr) 使電漿密度增加,因而改善氧原子之形成效率。換言之, 如氧原子之數目增加,由與破燃燒反影形成之C0及c〇2之數
200427869 五、發明說明(26) ' '' 二增加。可合理認為,因⑶與eh之高揮發率有被排除之趨 勢而位進入薄膜,薄膜中之碳原子濃度^因而降低。 此外,根據本發明第七實施例之薄膜形成方法,可合理瞭 解,大量之氧原子由%與札間發生於電漿中之反應而產 生’以便降低薄膜中碳原子之濃度。如產生大量氧原子數 二’與碳燃燒反應形成之C0及C〇2之數量則增加,如第六實 出^所述。應為合理考慮,因⑶與C〇2之高揮發率,其被排 ^未進入薄膜,薄膜之碳原子濃度因而降低。 ^ ^厘在本發明之第六實施例中,一混合氣體係混合一有 有二屬化化合物氣體,氧化氣體及一稀有氣體以便設定稀 <1〇1體(Pr)之分壓力百分比為不小於85%,即,Pr 低漏因此,根據本發明第六實施例可金屬氧化薄膜降 一 /’、電’如本發明第四實施例一樣。 此夕卜,上〇 氣 根據本發明第七實施例之薄膜形成方法中,一混合 —,備係混合一有機金屬化化合物氣體,一氧化氣體及 中,二體。因此,在本發明第七實施例之薄膜形成方法 —樣、”二金屬氧化物薄膜降低漏電,如本發明第五實施例 根擔士 犋,复!明第六及第七實施例,可形成—金屬氧化物薄 實扩j、氣不足較低,且成本低。根據本發明之第六及第七 原i ^ i可經由金屬氧化薄膜降低漏電,及抑制薄膜中碳 如 < 濃度以改進薄膜之特性。 ^上=述,根據本發明第四及第六實施例之薄膜形成方 ”包含供應至少三種氣體至電漿處理室(室丨丨)包括一
200427869 五、發明說明(27) 有機金屬化化合物 有氣體(Pr)分壓力 在電漿處理室内產 氧化氣體被電漿分 氧化物薄膜。因此 ^法中,可形成金 氧不足,且成本低 同時,在每一第四 赵由金屬氧化物薄 度,俾改進金屬氧 金屬化氣相生長方 根據每一第五及第 至少三種氣體至電 化化合物氣體,一 装處理室之步驟, 體及該氫氣體被電 處理之基板丨之上 薄膜形成方法,可 常數及低氧不足及 同時,在每一第五 能經由金屬氧化物 度,俾改進金屬氧 金屬化氣相生長方 事實上,在每一第 氣體’一氧化氣體及一稀有氣體,俾稀 之百分比設定為8 5 % < p r < 1 〇 〇 % ; ·及 生電漿,以使有機金屬化化合物氣°體及 解,因而在待處理之基板1上形成金屬 ,本發明第四及第六實施例之薄膜形成 屬氧化物薄膜’其具有高介電常數及低 〇 及·第六實施例中之薄膜形成方法中,可 膜降低漏電,及抑制薄膜中碳原子濃 化物薄膜之特性。此外,可能以較^機 法較低之溫度構成金屬氧化物薄膜。 七實施例之薄膜形成方法,其包含供應 水處理至(至11)之步驟,包括有機金屬 氧化氣體及一氫氣體,及產生電衆於電 以使有機金屬化化合物氣體,該氧化氣 裝分解,因此形成金屬氧化物薄膜於待 因此,根據每一第五及第七實施例之 以形成金屬氧化物薄膜,其具有高介電 其成本低。 及第七實施例之薄膜形成方法中,其可 薄膜降低漏電,及抑制薄膜中碳原子濃 化物薄膜之特性。此外,可能以較有機 法中較低之溫度形成金屬氧化物薄膜。 四及第五實施例之薄膜形成方法中,一
200427869 五、發明說明(28) 此外,在 三甲基穌氣體用來作為有機金屬化化合物氣 每一第六及第七實施例之薄膜形成方海中,一tma (JL(CH3)3)氣體用來作為有機金屬化化合物氣體。但 二明所用之有機金屬化化合物氣體不限於上述範例 T。有機金屬化化合物氣體如三乙基鋁(AL(c2H5 :, 二甲基錯(Zr(OC3H7)3氣體,五乙氧基組(Ta( '俨 :使用。足可選擇包含提供待形成之金屬氧化2物體: :材機金屬化化合物氣體。更明 ,、= 】二甲基穌氣體為有機金屬化化合物氣體日夺田利 属膜。同時,如利用三乙基鋁氣體或三乙基鋁或為有: 利時’可形成氧化銘(AL2〇3)薄膜。此外,如 幾金屬化化合物氣體時,可形成氧化锆 時,2可形成如利用i乙基鈕為有機金屬化化氣體 、于 了形成虱化鉅(Ta2 05 )薄膜。 (室1广)中:座本發明第四至第七實施例中,在電漿處理室 體被電表面波電敷,結* ’有機金屬化化合物氣 屬氧室之高密度電聚分解…致損害合成之金 在二上上-本/明之第五至第七實施例中’利用 基板,及且古处王之土板。但可利用任何矽基板,玻璃 半導體薄^私任何絕緣薄膜之塑料基板,一金屬基板或一 包括一絕綾笼其上形成之基板為待處理之基板1,或具有 構為待處i i ί,金屬薄膜或半導體薄膜於其上之堆疊結 又基板。
第35頁 200427869 五、發明說明(29) 一製造顯示包含一TFT之顯示裝置之方法說明如下。每一 圖1 0,11顯示顯示裝置2 0之結構,如矩陣型液晶顯示裝 置。顯示裝置20以下稱為液晶裝置。事實上,參考號碼30 在圖11中代表一TFT。 首先說明液晶顯示裝置2 0。如圖1 〇,1 1所示,液晶顯示裝 置20包含一對透明基板21及22,由液晶層23由透明基板21 與22間之密封材料包圍之一區形成,複數個像素電極24以 一列及一行方向以形成矩陣方式安排於透明基板2 2之内表 面,透明計數器電極27以單薄膜方式與像素電極24相對, 複數個TFTs30 0安排成一矩陣,每一包括一由薄膜形成方 法形成之閘極絕緣薄膜36,稍後說明,及一掃描線25及信 號線26與此等TFTs成電連接。換言之,液晶顯示裝置20之 結構可使作為像素選擇元件之電晶體TFTs30可構成一矩 陣。 可利用一對玻璃基板為一對透明基板21及22。此透明基板 2 1,2 2以置於其間(未示出)之框形密封材料彼此黏合。該 液晶層2 3安排在一對透明基板2 1,2 2間之密封材料包圍之 一區域。 複數個像素電極2 4,複數個T F T s 3 0,掃描線2 5及信號線 26以背側安排於透明基板22之内表面。像素電極24安排成 一列及一行以構成矩陣。TFTs 30與像素電極24成電連 接。此外,掃苗線2 5及信號線2 6電連接至每一多個 TFTs30 。 掃描線2 5在像素電極2 4之行方向延伸,及在其一側末端連
第36頁 200427869
五、發明說明(3〇) =至基板22 —側上之邊源另一方面,信號線26以像素 側方向延伸,在一側之末端連接至纟"在透明基板22之一 ^之邊緣部分形成之複數個信號線終端(未示出)。同時, ,數個掃描線終端分別連接至信號線驅動電路42。 ’ 每二掃描線驅動電路41及信號線驅動電路42連接至液晶控 制f 43。當接收來自外部之影像信號時,液晶控制器4Β^ 生一影像視頻信號Vpix,一垂直掃描控制信號YCR及一水 平掃描控制信號XCT。
以單薄膜形式之透明計數器電極27,其位於與像素電極24 相對,在另一透明電極之内表面形成,即,前側之透明電 和2 1 同時,亦可安排一彩色爐、波器在前側之透明電極2 1 之内表面,以對應複數個像素部分,其中,複數個像素電 極配置於其内並與計數器電極27面對。進一步,可能以對 應像素部分間之區域方式形成一光屏蔽薄膜。 一極化板(未示出)在一對透明基板2 1及2 2之外側形成。同 牯,一傳輸型液晶顯示裝置2 0,一平面光源(未示出)在透 明基板2 2之後側背表面之一側形成。事實上,液晶顯示裝 置20可為一反射式或半傳輸反射式。
TFT 30即半導體裝置之結構說明如下。圖丨丨中之參考號碼 31代表含Si〇2之緩衝層形成在透明基板22上。包含源區 33 ’ 一汲區34,及一通道區35在緩衝層31上形成。含乩之 間電極37在半導體層32上形成,有一閘絕緣薄膜36置於其 間。進一步,一含Si 〇2之中間層絕緣薄膜38在透明基板22 包括閘電極37之全表面上形成。
第37頁 200427869 五、發明說明(31) 如圖1 1所示,TFT 30包含透明基板22,緩衝層31在透明基 板22上形成,包括源區33之半導體層32r,汲極區34及通道 區35,一閘絕緣薄膜36以稍後說明之薄膜形成方法形成在 半導體層32上形成,其包括矽氧化物層36a及鋁氧化物層 3 6b,及一閘電極37在閘絕緣薄膜36上形成。 TFT 30之製造方法說明如下。在以下之製造方法中,可能 同時製造許多TFTs30在一透明基板之背侧。 作為緩衝層31之Si 02薄膜在透明基板2 2背 在第一步驟中 ,一 _ _ ,w q ,卜 & 丨 φ % 乙乙,月 側之内表面上形成。之後一非晶矽(a — Si)薄膜以降低壓力 CVD方法在緩衝層31上形成,厚度為1〇〇11111,隨後,在45〇 度C之下在氮氣體下,施加脫氫處理一小時。進一步,一 a - S i薄膜應用雷射银令a Q c •兮时t ^ 以結晶化,以形= :;s:薄膜上,利用激勵器雷射予 次一步驟中’多晶石夕展咅 & 之抗罐層,隨後= ’以光敏樹脂構成 «g§ ^ ^ ^ ^ 乂先刻法在抗蝕劑薄膜實施不曝光 及顯〜以仃成後數個半導體層32,每一呈有 形=半導體層32之形成對應TF"提;= 島 :㈡步驟中,閘絕緣薄膜 之牛導體層3 2。閘絕绦葰 閘絕緣薄膜36形成之後==方法如了。 處理為許多閘電極37,α ρ谕f ^ ,即虮薄膜,其將被 成,隨後在金屬薄膜上在閑絕緣薄膜36上形 金屬薄膜為-線形⑼,方法及蝕刻方法,以處理 37在半導體層32之上方=構f”電極37。每一閘電極 y成以對應單一半導體層3 2。換言
第38頁 200427869 五、發明說明(32) 之,每一閘電極37形成對應單一tFT 3〇如半導體層32 一 樣,因而提供對應之TFT 30之結構因麵。事實上:可能與 閘電極37形成一體結構方式形成一掃描線25。 此” 在次一步驟中,半導體裝置32以雜質如磷(p)選擇 |±以離子植入法摻雜。結果,形成一具有低電阻率之半導 體層,形成源區33,及汲區34及通道35,該區内未導入雜 質。 ” 次一步驟中,Si〇2薄膜以電漿CVD方法沉積在透明基 板22上,隨後,以溫度6〇〇度c實施Μ%薄膜熱處理以構成 層間絕緣薄膜38。層間絕緣薄膜38形成後,接觸洞44在層 間絕緣薄膜38之此等部分形成,其與由光刻法及蝕刻法形 成之源區3 3 ’>及區3 4及閘電極3 7對應。此外,在源電極形 成之金屬薄膜形成後連接至源區。形成之另一薄膜形成在 >及電極中以連接汲極34。結果,複數個TFTs 3〇形成。TTF 3〇形成後’像素電極24形成以便與源電極連接。同時,亦 形成一信號電極,其與汲電極電連接。 問極絕緣薄膜3 6由本發明前述之實施例之薄膜形成方 法形成’因此,本發明之薄膜形成方法應用在待處理之基 板2 ’其包含透明基板22具有一緩衝層31,及島形半導體 層3 2形成其上。 形成閘極絕緣薄膜3 6之方法說明如下。第一步驟中, Si〇2以厚度不小於2nm形成,即,厚度為2nm,位於待處 理基板2之全部區域中,其係以傳統薄膜形成方法形成, 即’電敷CVD方法,利用含以⑽氣體及〇2氣體方法形成,
第39頁 200427869 五、發明說明(33) η二:方法π’即,電漿氧化方法或光學氧化方 ί -=成=2可利用本發明第…三實施例之 膜之入夺面上把二/甘。之後,AL2〇3薄膜實際上在Si02薄 之薄膜形成方法。事實上用本發明第六實施例 薄膜形成方法形成以膜亦:::=第七實施例之 極絕緣薄膜36,及含Si〇舊膜μ WT 、 應注思,閘 構,有一介雷A齡I 2 膜36a及蚍2〇3薄膜36b之堆疊結 在堆属4入ς . 統閑極絕緣薄膜(Si〇2薄膜)° ^ ^ , :3; ί ; 11":3!8 ^ " ^36b - ^ ⑴至(3)製備如下:讨如下。在弟-步驟中,M〇S裝置 1) 一M0S裝置製備,用 法形成SiQ2薄膜,之^肖以在基板上利用電聚氧化方 鋁電極。 、 後利用瘵氣沉積方式在S i 〇2薄膜形成 形成方板裝上置开製:利用本發明第六實施例之薄膜 卿3薄;::=2。:薄膜’隨後利用讓^ 上形rT^〇S裝置製備’利用傳統薄膜形成方法在基板 i γ二2 4膜上形成^2〇3薄膜,隨後以蒸氣沉積法在 AL2 %上形成鋁電極。 次一步驟中,卷_ 4丄w Μ〇ς驻罢—& 母一抽樣之介面狀態密度,以測量每一 M〇S裝置之電容-電壓特性而檢討。
第40頁 200427869 五、發明說明(34) 圖12為:曲線,顯示每M〇s裝置之介面狀態密度。 如圖1 2所示,當僅紅2〇3薄 態密度較僅Si〇2在基板上形成产^>基板上形成%,介面狀 但發現’當叫薄膜及AL2:3;:=堆,^介面特性。 介面狀態降低,以改進介面特性 :爻 t時’ . 饤『王 應呑忍為合理,即當5; ί π 薄膜面與u2〇3薄膜形成時,介 02 閉極絕緣薄膜36之介電常數可經由以上 = = Γ而增加:閘極絕緣薄膜36之有效厚度ί 如上if ^切^絕緣薄膜為小。同時,因閘極絕緣電容可 々上方式成而;j;^Γ ,, 一半入工 故可能增加TFT 30之0N電流。逸 ^ 狀悲密度為低,故可提高TFT 30之上升特 L:生%方3『刚膜 度形成,對下層之損害可降低。進 成二’閘極、、、巴緣薄膜36可較原子層沉積法為快之速度形 JL勹i上:ί ’根據本發明實施例之製造半導體之方法, 處理之半導體2之表面 之牛驟".隹豐矽乳化物層(Si 02 ) 3 6a在半導體層32上 1 1、夕丰_ … 匕轧體,一稀有軋體進入電漿處理室(室 g 8s〇/ 稀有氣體(Pr)分壓力之百分比不小於85%, #右%,及在電漿處理室内產生電漿之步驟,以 ’化化氣體及氧化氣體被電漿分解,因而堆疊一 、呂—匕物層(AL2〇3薄膜)36b在矽氧化物層36a上作為金屬
第41頁 200427869 五、發明說明(35) 氧化物薄膜。因此,根據上述之半導體裝置之製造方法, I能形成-絕緣薄膜,其介電常數高,,而氧不足=成 之二产::’ f:明之半導體裝置製造方法可使絕緣薄膜 理室内之電子密度較傳統方法之電子密度為高,n 機金屬化化合物氣體及氧化氣體之分解得以改進。 數個Π亦提供一製造顯示裝置之方法,該裝置包括複 牛勺人_! 2以構成矩陣方式安排在待處理之基板2上,方 / l S — 乂驟以形成複數個半導體層32,以供 = =ms30 ’ 一步驟以堆疊嫩物層_於半反導 f置2上,一步驟以供應電漿進入處理室(室U)至少一 = = 金=體(m 氣體),-“ ^0,0/ p 〇c:體俾稀有乳體(Pr)之分壓力百分比不小 將,°、 ρ %<ΡΓ $100% ,一步驟以在電漿處理室產生電 二堆:使有機金屬化化合物氣體及氧化氣體被電漿分解, 36a田7呂氧化物層(ALA)36b,提供一金屬氧化物層 因此,根據本發明之顯示裝置之製造方法,可以 f 一絕緣薄膜,其具有高介電常數及低氧不足,且成/ J進卜發明之顯示裝置製造方法可使絕、瞻 ;ί=。本發明之顯示裝置之製造方法,電聚處理室之 i屬%較傳統方法之電浆密度為高許多,結果,有ί 盒屬化化合物氣體及氧化氣體之分解可獲改進。 待』:r本發明之半導體製造方心含-步驟,以在 待處理之基板2表面之至少-部分上形成半導體層32,一在
第42頁 200427869 五、發明說明(36) 步::堆疊矽氧化物層(Si〇2)36a在半導體層32上,一步驟 ^2黎進人電漿處理室(室n)至外三種氣體,包括有 枝金屬化化合物氣體(TMA氣體),氧化氣體及一氫氣體, ,辨^在電漿處理室產生電聚’以使有基金屬化化合物 乱體及氧化氣體被電漿分解,因此堆疊一鋁氧化物層 (AL2o3薄膜)36b ’提供一金屬氧化物薄膜於矽氧化物曰層, t。因此,根據本發明之半導體製造方法,可形成一絕緣 ίϊ明其ί有高介電常數及低氧不足,且成本低。同時, ^明之半導體製造方法可使絕緣薄膜之厚度降低。本發 =之半導體製造方法中’氫氣體與氧化氣 生 有效產生氧原子。 m】 本發明之半導體製造方法可用以製造半導體裝置,如 金氧半導體裝置(M0S裝置)之一薄膜電晶體(TFT)。 里進=步言,本發明提供一製造顯示裝置之方法,該震 置包括複數個安排在基板2上以構成矩陣方式之打了 3〇,、 方法包含一步驟形成複數個辦導體層32,以 在/導體政置32上’ -步驟以供應電漿進入電梁處理室 η至λ三λ氣體,包括有機金屬化化合物氣體⑽氣 體),一氧化軋體及一氫氣體,及一步驟以在電漿處理 產生電聚以使有機金屬化化合物氣體,氧化 被電漿分解’因而堆疊一紹氧化物層(AL2〇3)36b,提飞:―體 金屬氧化物薄膜於金屬氧化層363上。因此,根據本發明 之顯不裝置製造方法,可形成一具有高介電常數及低氧不 第43頁 200427869 五、發明說明(37) 足之絕緣薄膜’ 裝置方法可降低 事實上,上 造方法中,理想 層。此時,薄膜 顯示裝置製造方 方法為南結果, 可獲改進。 顯示裝置製 EL顯示裝置及無 薄膜之金屬氧化 氧化物薄膜根據 形成一氧不足為 方法’獲得一半 得一顯示裝置。 谷易且成 絕緣薄膜 述之每— 為堆叠一 之介電常 法,電漿 有機金屬 造方法可 機EL顯示 薄膜不限 本發明, 低,成本 導體裝置 本低。此外,本發明之製造顯示 之厚度。 ί 半導體製造方法及顯示裝置之製 具有至少2nm厚度之矽氧化物 數可滿意的增加。根據本發明之 處理室之電子密度可大幅較傳統 化化合物氣體,氧化氣體之分解 用以製造如液晶顯示裝置,有機 裝置之顯同時,供形成閘極絕緣 於鋁氧化薄膜。進一步古 膜形成方法能= 低之4膜,獲得一製造半導體之 ’獲得顯示裝詈夕制、皮 一 展置之t造方法及獲 200427869 圖式簡單說明 圖1顯不一根據本發明第一特性之薄膜形成方法中使用之 電漿CVD裝置之結構。 f 圖2為一曲線,顯示混合氣中所含之氣體之分壓力與m〇s 裝置之平帶電壓間之關係。 $ 3為曲線,顯示混合氣中所含之X e氣體分壓力與混合 氣體中之電子密度間之關係。 圖\為一曲線,顯示混合氣中所含之^氣體之分壓力與31〇2 薄模之形成速率間之關係。 圖5為一曲線,顯示混合氣所含之“氣體之分壓力與裝 置之平帶電壓間之關係。 圖6為略圖顯示根據本發明第四至第七實施例中任一實施 例使用之薄膜形成方法,無磁場之微波電漿CVD裝置之結 構。 圖7為一曲線,顯示含於混合氣體中之Ar氣體之分壓力與 混合氣電子密度間之關係。 圖8為一曲線,顯示經傳統薄膜形成方法形成之Hf〇2薄膜, 經本發明第四實施例之薄膜形成方法形成之Hf02及經本發 明第五實施例薄膜形成方法形成之Hf02薄膜之漏電。 圖9為一曲線,顯示在傳統方法形成之al2〇3中之碳原子濃 度’在根據本發明第六實施例形成之al2 03中,及在本發明 第七實施例形成之al2o3中碳原子濃度。 圖10為一平面圖,顯示包含TFT之液晶顯示裝置之結構。 圖11為包含TFT之液晶顯示裝置之結構之剖面圖。 圖1 2為一曲線,顯示由傳統薄膜形成方法形成之S i 02薄膜
第45頁 200427869 圖式簡單說明 __ 之介面狀態密度,由本發明第丄昝卩 成之ΑΙ Π M A Μ ^ ^ ^貫知例之薄膜形成方法形 成之ALJ3,及由傳統薄膜形成方 ^ m m β α. μ > ^ , /2γ形埯之含S i 〇2薄膜之堆 叫薄膜上形成之u2〇3薄膜之介:狀之= 元件符號說明: 1、2 基板 電漿CVD裝置(電漿 電漿處理室 氣體排出埠 向頻電源裝置 22 透明基板 像素電極 知描線 TFT 薄膜電晶體 半導體層 汲極(區) 閘絕緣薄膜 在呂氧化物層 中間層絕緣薄膜 無磁場之微波電 真空室(電漿室 波導 間隙 10 11 lib 14 21 24 25 30 32 34 36 36b 38 50 51 53 54 加強化學装备4 ,、、、氣〉儿積糸統) 12、 20 23 27 26 31 33 35 36a 37 44 11a 氟體入口管(璋) 1 3 ·電極 顯示裝置 液晶層 透明計數器電極 信號線 緩衝層 源區 通道區 石夕氧化物層 閘電極 接觸洞 漿CVD裝置 )5 2 微波源 53a 側壁 55介電構件
第46頁 200427869 圖式簡單說明 56 氣體入口璋 57 氣體騰空埠 5 8 基板台 t
第47頁
(II
Claims (1)
- 200427869 六、申請專利範圍 1. 一種薄膜形成方法,包含: 供應電漿處理室至少三種氣體,包括一矽化合物氣體、一 氧化氣體及一稀有氣體,稀有氣體(Pr)之分壓力百分比, 根據總壓力,不小於85%,即,85% $Pr< 100% ;及 在電漿處理室產生一電漿,俾在待處理之基板上形成矽氧 化物薄膜。 2. —種薄膜形成方法,包含: 供應電漿處理室至少三種氣體,包括一矽化合物氣體、一 氧化氣體及一氫氣體;及 在電漿處理室產生一電漿,以在待處理之基板上形成矽氧 化物薄膜。 3. 如申請專利範圍第1項之薄膜形成方法,其中該矽化合 物氣體包括至少一選自含四乙氧基矽烷氣體、四甲基環四 石夕氧烧氣體、二乙酷氧基二-三次丁氧基石夕烧氣體、及六 曱基二矽氧烷氣體之一群組,且氧化氣體包括至少一選自 含氧氣、臭氧、一氧化碳氣體及二氧化碳氣體之一群組。 4. 如申請專利範圍第2項之薄膜形成方法,其中該矽化合 物氣體包括至少一選自含四乙氧基石夕烧氣體、四曱基環四 矽氧烷氣體、二乙酰氧基二-三次丁氧基矽烷氣體及六曱 基二矽氧烷氣體之一群組,且氧化氣體包括至少一選自含 氧氣、臭氧、一氧化碳氣體及二氧化碳氣體之一群組。 5. 如申請專利範圍第1項之薄膜形成方法,及中該矽化合 物氣體係由矽烷提供,該氧化氣體包括至少一選自含氧氣 體及臭氧氣體之一組。200427869 六、申請專利範圍 6 ·如申請專利範圍第2項之續_ 物氣體由矽烷氣體提供,复、形成方法,其中該矽化合 含一氧氣體及一臭氧氣體二二=,化衡體包括至少一選自 7 · —種薄膜形成方法包含· ' 供應電漿處理室至少三籀盖麯 氣體、一氧化氣體及一稀有$辨其包括一有機金屬化合物 百分比根據總壓力,不小—,稀有氣體(Pr)分壓力之 在電漿處理室產生一電漿即,85%?Pr<1〇〇% ;及 氧化物及/或金屬氧化物薄膜。更在待處理之基板上形成矽 8 · —種薄膜形成方法,包含· 供應電漿處理室至少三種氣體,勺 體、一氧化氣體及一氫氣體;及匕 有機金屬化合物氣 在電漿處理室產生一電漿,以便在 氧化物及/或金屬氧化物薄膜。 &理之基板上形成矽 9·如申請專利範圍第7項之薄膜形成 屬化合物氣體為至少一化合物氣體,、琴 其中該有機金 乙基鋁、三丙氧基鍅、五乙氧基鈕,=自含三甲基鋁、三 組。 次二丙氧基穌之一群 1 0 ·如申凊專利範圍第8項 屬化化合物氣體為至少一 三乙基銘、三丙氧基锆、 組。 之薄膜形成方法 化合物氣體,選 五乙氧基纽及= ’其中該有機金 自含三甲基紹、 丙氧基穌之一群 11. 理 其中在電漿處 如申請專利範圍第i項之薄膜形成方 室產生之該電漿為一表面波電漿。/第49頁 200427869 六、申請專利範圍 7 ·二申5月專利靶圍第2項之薄膜形成方法,其中在電漿處 =至產生之該電漿為一表面波電聚。r •一^種半導體裝置,包含一具有一閘極絕緣薄膜之電晶 2 ’忒薄膜係由至少一選自含矽氧化薄膜及一金屬氧化物 薄膜之一群組所形成’且其係由申請專利範圍第7項之薄 膜形成方法所形成。 1 4 · 一種薄膜形成方法,包含· f待處理之基板上供應一半導體層,其在基板之至少一部 ^上f ΐ,該基板係安排在電漿處理室中,至少三種氣體 ^、士忒至三該氣體包括有機金屬化合物氣體、一氧化氣體 及稀有氣體’該稀有氣體之分壓力之百分比,根據總壓 力為不小於85%,即,85%$Pr<100% ;及 ,電漿處理室產生一電漿,以堆疊一金屬氧化物薄膜於矽 氧化物層上。 15· 一種半導體裝置之製造方法包含: 供應至電漿處理室中,其中安排一待處理之基板,一半導 在其至少一部分表面上形成,該至少三種氣體包括一 =金屬化合物氣體、一氧化氣體及一氫氣體;及 二水處理至中產生一電漿,俾在矽氧化物上形一金 屬虱化物薄膜。 16·如申請專利範圍第14項之半導體裝置製造方法,其中 ~石夕氧化物層為至少2ηιη之厚度。 如申請專利範圍第15項之半導體裝置製造方法,其中 μ石夕氧化物層為至少2 n m之厚度。200427869 六、申請專利範圍 18. -種顯示裝置’包含作為像素選擇元 曰 體且被設置以形成一矩陣,每一雷日,…&旻歎個電日日 膜,其係由至選自含石夕氧化物薄膜及金屬氧化 之一群組所形成,且其係由申請專利範圍第7項之方法妒、 成。 / 19. 一種製造^示裝置之方法,該顯示裝置包 膜電晶體設置成在待處理之美柘μ f ^复歎個溥 <&板上形成一矩陣,一丰導Μ 層係在待處理的基板上形成,用以招士 4t *千导體 複數個薄膜電晶體之每括在半導體層上 可 < 閘極絕緣薄膜之方法包含· 供應電衆處理室,其中有彳纟彳 從t ^^ τ β得處理之基板係被設置至少二 種氣體’包有機金屬化合物氣體、一氧化氣體及一: 有氣體,俾稀有氣體(pr)之八巧 稀 小於85%,即8^Prnr;刀及1力百*比,«總壓力不 在電漿處理室生一電喈,伯f丄 20. 一種製造顯示裝置之;、、去Λ 一金屬氧化物薄膜。 膜電晶體設置成-待處理方之亥顯示裝置包括複數個薄 個薄膜電晶體之問極絕:薄==半導體層上複數 供應至電漿處理室,复φ古4 5 · 三種氣體包括-有機:屬化理之基板係被設置’至少 氣,及; 成金屬化合物氣體、-氧化氣體及-氫 在電漿處理室產生電% ^丄 ΟΙ 4由4直剎枚^ 形成金屬氧化物膜。 Z 1 ·如申请專利乾圍第丨q 狀 矽氧化物層厚度至少為2nm。”、、不裝置製造方法,其中該第51頁 200427869 六、申請專利範圍 之顯示裝置製造方法,其中該 2 2 .如申請專利範圍第2 0項 石夕氧化物層厚度至少為2 n m
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2003114640 | 2003-04-18 | ||
JP2004095559A JP2004336019A (ja) | 2003-04-18 | 2004-03-29 | 成膜方法、半導体素子の形成方法、半導体素子、表示装置の形成方法及び表示装置 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW200427869A true TW200427869A (en) | 2004-12-16 |
Family
ID=33161569
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW093109397A TW200427869A (en) | 2003-04-18 | 2004-04-05 | Film-forming method, method of manufacturing semiconductor device, semiconductor device, method of manufacturing display device, and display device |
Country Status (5)
Country | Link |
---|---|
US (2) | US7307028B2 (zh) |
JP (1) | JP2004336019A (zh) |
KR (1) | KR20040090903A (zh) |
CN (1) | CN1570204A (zh) |
TW (1) | TW200427869A (zh) |
Families Citing this family (368)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW200537695A (en) * | 2004-03-19 | 2005-11-16 | Adv Lcd Tech Dev Ct Co Ltd | Insulating film forming method, insulating film forming apparatus, and plasma film forming apparatus |
JP2006312778A (ja) * | 2005-04-06 | 2006-11-16 | Toyo Seikan Kaisha Ltd | 表面波プラズマによる蒸着膜の形成方法及び装置 |
JP5017906B2 (ja) * | 2005-04-19 | 2012-09-05 | 東洋製罐株式会社 | プラズマcvd用マイクロ波供給装置及び該マイクロ波供給装置を備えた蒸着膜形成装置 |
JP2006339371A (ja) * | 2005-06-01 | 2006-12-14 | Toshiba Corp | 半導体装置の製造方法 |
JP2007048893A (ja) * | 2005-08-09 | 2007-02-22 | Fujifilm Corp | 固体撮像素子およびその製造方法 |
US7524765B2 (en) * | 2005-11-02 | 2009-04-28 | Intel Corporation | Direct tailoring of the composition and density of ALD films |
JP4396744B2 (ja) | 2006-09-15 | 2010-01-13 | セイコーエプソン株式会社 | 電気光学装置及び電子機器 |
JP5216446B2 (ja) * | 2007-07-27 | 2013-06-19 | 株式会社半導体エネルギー研究所 | プラズマcvd装置及び表示装置の作製方法 |
JP5679622B2 (ja) | 2008-01-31 | 2015-03-04 | 株式会社東芝 | 絶縁膜、およびこれを用いた半導体装置 |
US20090230389A1 (en) * | 2008-03-17 | 2009-09-17 | Zhizhang Chen | Atomic Layer Deposition of Gate Dielectric Layer with High Dielectric Constant for Thin Film Transisitor |
WO2009139485A1 (en) | 2008-05-13 | 2009-11-19 | Tokyo Electron Limited | Film forming method of silicon oxide film, silicon oxide film, semiconductor device, and manufacturing method of semicomductor device |
JP5344873B2 (ja) * | 2008-08-28 | 2013-11-20 | 三菱電機株式会社 | 炭化珪素半導体装置の製造方法 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
JP2010192755A (ja) * | 2009-02-19 | 2010-09-02 | Tokyo Electron Ltd | シリコン酸化膜の成膜方法および半導体装置の製造方法 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5450187B2 (ja) * | 2010-03-16 | 2014-03-26 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US8883269B2 (en) * | 2010-12-30 | 2014-11-11 | Applied Materials, Inc. | Thin film deposition using microwave plasma |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9466524B2 (en) | 2012-01-31 | 2016-10-11 | Applied Materials, Inc. | Method of depositing metals using high frequency plasma |
GB201207448D0 (en) * | 2012-04-26 | 2012-06-13 | Spts Technologies Ltd | Method of depositing silicon dioxide films |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
CN105762107A (zh) * | 2014-12-18 | 2016-07-13 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR102762543B1 (ko) | 2016-12-14 | 2025-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10358717B2 (en) * | 2017-04-21 | 2019-07-23 | Lam Research Corporation | Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
TWI815813B (zh) | 2017-08-04 | 2023-09-21 | 荷蘭商Asm智慧財產控股公司 | 用於分配反應腔內氣體的噴頭總成 |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
CN107742604B (zh) * | 2017-10-19 | 2019-10-29 | 中国科学院电工研究所 | 具有室温铁磁性氢铪共掺杂氧化铟薄膜的制备方法 |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102600229B1 (ko) | 2018-04-09 | 2023-11-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법 |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US12272527B2 (en) | 2018-05-09 | 2025-04-08 | Asm Ip Holding B.V. | Apparatus for use with hydrogen radicals and method of using same |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR20210027265A (ko) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102748291B1 (ko) | 2018-11-02 | 2024-12-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI866480B (zh) | 2019-01-17 | 2024-12-11 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR102727227B1 (ko) | 2019-01-22 | 2024-11-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP7250558B2 (ja) * | 2019-02-19 | 2023-04-03 | 株式会社ジャパンディスプレイ | 表示装置及び半導体装置 |
TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
JP7603377B2 (ja) | 2019-02-20 | 2024-12-20 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR102782593B1 (ko) | 2019-03-08 | 2025-03-14 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR102809999B1 (ko) | 2019-04-01 | 2025-05-19 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP7612342B2 (ja) | 2019-05-16 | 2025-01-14 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP7598201B2 (ja) | 2019-05-16 | 2024-12-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200141931A (ko) | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | 석영 에피택셜 챔버를 세정하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
US12169361B2 (en) | 2019-07-30 | 2024-12-17 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
KR20210018761A (ko) | 2019-08-09 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 장치를 포함한 히터 어셈블리 및 이를 사용하는 방법 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR102806450B1 (ko) | 2019-09-04 | 2025-05-12 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR102733104B1 (ko) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693B (zh) | 2019-11-29 | 2025-06-10 | Asmip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
WO2021130598A1 (ja) * | 2019-12-27 | 2021-07-01 | 株式会社半導体エネルギー研究所 | 記憶装置およびその作製方法 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
JP7636892B2 (ja) | 2020-01-06 | 2025-02-27 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202513845A (zh) | 2020-02-03 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 半導體裝置結構及其形成方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
KR20210103956A (ko) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법 |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210113043A (ko) | 2020-03-04 | 2021-09-15 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 정렬 고정구 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
KR102755229B1 (ko) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
KR102719377B1 (ko) | 2020-04-03 | 2024-10-17 | 에이에스엠 아이피 홀딩 비.브이. | 배리어층 형성 방법 및 반도체 장치의 제조 방법 |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
JP7316451B2 (ja) * | 2020-04-13 | 2023-07-27 | 信越化学工業株式会社 | 成膜装置及び成膜方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210130646A (ko) | 2020-04-21 | 2021-11-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 방법 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
TW202208671A (zh) | 2020-04-24 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括硼化釩及磷化釩層的結構之方法 |
KR20210132612A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 화합물들을 안정화하기 위한 방법들 및 장치 |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
KR102783898B1 (ko) | 2020-04-29 | 2025-03-18 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR102788543B1 (ko) | 2020-05-13 | 2025-03-27 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102795476B1 (ko) | 2020-05-21 | 2025-04-11 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR20210145079A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 플랜지 및 장치 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TWI876048B (zh) | 2020-05-29 | 2025-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
KR20210156219A (ko) | 2020-06-16 | 2021-12-24 | 에이에스엠 아이피 홀딩 비.브이. | 붕소를 함유한 실리콘 게르마늄 층을 증착하는 방법 |
TWI797640B (zh) | 2020-06-18 | 2023-04-01 | 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 | 基於矽之自組裝單層組成物及使用該組成物之表面製備 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TWI873359B (zh) | 2020-06-30 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TWI864307B (zh) | 2020-07-17 | 2024-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構、方法與系統 |
TWI878570B (zh) | 2020-07-20 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220011092A (ko) | 2020-07-20 | 2022-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템 |
TW202219303A (zh) | 2020-07-27 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 薄膜沉積製程 |
KR20220021863A (ko) | 2020-08-14 | 2022-02-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202228863A (zh) | 2020-08-25 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 清潔基板的方法、選擇性沉積的方法、及反應器系統 |
TWI874701B (zh) | 2020-08-26 | 2025-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
TW202217045A (zh) | 2020-09-10 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積間隙填充流體之方法及相關系統和裝置 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
KR20220036866A (ko) | 2020-09-16 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물 증착 방법 |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
KR20220041751A (ko) | 2020-09-25 | 2022-04-01 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 처리 방법 |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220050048A (ko) | 2020-10-15 | 2022-04-22 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202229620A (zh) | 2020-11-12 | 2022-08-01 | 特文特大學 | 沉積系統、用於控制反應條件之方法、沉積方法 |
TW202229795A (zh) | 2020-11-23 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 具注入器之基板處理設備 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US12255053B2 (en) | 2020-12-10 | 2025-03-18 | Asm Ip Holding B.V. | Methods and systems for depositing a layer |
TW202233884A (zh) | 2020-12-14 | 2022-09-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成臨限電壓控制用之結構的方法 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202232639A (zh) | 2020-12-18 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 具有可旋轉台的晶圓處理設備 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
USD1060598S1 (en) | 2021-12-03 | 2025-02-04 | Asm Ip Holding B.V. | Split showerhead cover |
Family Cites Families (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5028566A (en) * | 1987-04-10 | 1991-07-02 | Air Products And Chemicals, Inc. | Method of forming silicon dioxide glass films |
TW371796B (en) | 1995-09-08 | 1999-10-11 | Semiconductor Energy Lab Co Ltd | Method and apparatus for manufacturing a semiconductor device |
JPH11279773A (ja) | 1998-03-27 | 1999-10-12 | Tomoo Ueno | 成膜方法 |
US6246076B1 (en) | 1998-08-28 | 2001-06-12 | Cree, Inc. | Layered dielectric on silicon carbide semiconductor structures |
US6596653B2 (en) * | 2001-05-11 | 2003-07-22 | Applied Materials, Inc. | Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD |
US7199061B2 (en) * | 2003-04-21 | 2007-04-03 | Applied Materials, Inc. | Pecvd silicon oxide thin film deposition |
-
2004
- 2004-03-29 JP JP2004095559A patent/JP2004336019A/ja active Pending
- 2004-04-05 TW TW093109397A patent/TW200427869A/zh unknown
- 2004-04-12 US US10/821,843 patent/US7307028B2/en not_active Expired - Fee Related
- 2004-04-16 KR KR1020040026141A patent/KR20040090903A/ko not_active Withdrawn
- 2004-04-19 CN CNA2004100329640A patent/CN1570204A/zh active Pending
-
2007
- 2007-01-03 US US11/619,508 patent/US7446060B2/en not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
CN1570204A (zh) | 2005-01-26 |
KR20040090903A (ko) | 2004-10-27 |
US20040209005A1 (en) | 2004-10-21 |
US7446060B2 (en) | 2008-11-04 |
JP2004336019A (ja) | 2004-11-25 |
US7307028B2 (en) | 2007-12-11 |
US20070105402A1 (en) | 2007-05-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW200427869A (en) | Film-forming method, method of manufacturing semiconductor device, semiconductor device, method of manufacturing display device, and display device | |
TW522484B (en) | Surface preparation prior to deposition | |
TWI514475B (zh) | 形成無氫含矽介電層的方法 | |
US8470686B2 (en) | Method of increasing deposition rate of silicon dioxide on a catalyst | |
TW527683B (en) | Formation method of dielectric film | |
TW432493B (en) | Inductively coupled plasma CVD | |
TWI289612B (en) | Method for forming a film layer on a substrate | |
TWI291235B (en) | Low temperature process for TFT fabrication | |
CN101924026B (zh) | 降低界面层厚度的方法 | |
TWI343079B (en) | Sequential oxide removal using fluorine and hydrogen | |
TW200538575A (en) | Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors | |
CN102007597A (zh) | 低温薄膜晶体管工艺、装置特性、和装置稳定性改进 | |
TWI312543B (en) | Process for fabricating a mim capacitor | |
CN1577751A (zh) | 金属栅极场效应晶体管的栅极结构的制作方法 | |
TW200913069A (en) | Methods for low temperature oxidation of a semiconductor device | |
TW200823993A (en) | Selective etch chemistries for forming high aspect ratio features and associated structures | |
TW442903B (en) | Method for increasing the filling trench capability of dielectric layer | |
CN101208457A (zh) | 制造平板基体的方法 | |
JP2016510171A (ja) | 金属酸化物tftの安定性向上 | |
TW200949904A (en) | Microcrystalline silicon thin film transistor | |
CN102723359B (zh) | 薄膜晶体管及其制作方法、阵列基板、显示装置 | |
JP2004165628A (ja) | 酸化薄膜の界面構造とその形成方法、及び薄膜トランジスタ | |
JP2004165625A (ja) | 酸化物薄膜を製造するプラズマ方法 | |
US20050202653A1 (en) | High density plasma process for silicon thin films | |
TW201101391A (en) | Forming method of silicon oxide film, and manufacturing method of semiconductor device |