KR20070100125A - 반도체 처리용 종형 플라즈마 처리 장치 및 방법 - Google Patents
반도체 처리용 종형 플라즈마 처리 장치 및 방법 Download PDFInfo
- Publication number
- KR20070100125A KR20070100125A KR1020070033092A KR20070033092A KR20070100125A KR 20070100125 A KR20070100125 A KR 20070100125A KR 1020070033092 A KR1020070033092 A KR 1020070033092A KR 20070033092 A KR20070033092 A KR 20070033092A KR 20070100125 A KR20070100125 A KR 20070100125A
- Authority
- KR
- South Korea
- Prior art keywords
- processing
- gas
- region
- space
- plasma
- Prior art date
Links
- 238000012545 processing Methods 0.000 title claims abstract description 385
- 239000004065 semiconductor Substances 0.000 title claims abstract description 42
- 238000000034 method Methods 0.000 title claims description 95
- 239000000758 substrate Substances 0.000 claims abstract description 44
- 239000007789 gas Substances 0.000 claims description 419
- 230000008569 process Effects 0.000 claims description 76
- 239000010408 film Substances 0.000 claims description 75
- 238000005192 partition Methods 0.000 claims description 21
- 230000005284 excitation Effects 0.000 claims description 20
- 239000010409 thin film Substances 0.000 claims description 12
- 230000007246 mechanism Effects 0.000 claims description 11
- 238000009792 diffusion process Methods 0.000 claims description 7
- 238000003672 processing method Methods 0.000 claims description 6
- 239000002994 raw material Substances 0.000 claims description 5
- 238000000151 deposition Methods 0.000 claims description 4
- 238000010438 heat treatment Methods 0.000 claims description 4
- 230000008021 deposition Effects 0.000 claims description 2
- 235000012431 wafers Nutrition 0.000 description 89
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 29
- 238000002474 experimental method Methods 0.000 description 16
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 13
- 239000006185 dispersion Substances 0.000 description 12
- 239000010453 quartz Substances 0.000 description 12
- 238000010926 purge Methods 0.000 description 11
- 238000002347 injection Methods 0.000 description 10
- 239000007924 injection Substances 0.000 description 10
- 230000015572 biosynthetic process Effects 0.000 description 9
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 8
- 229910052581 Si3N4 Inorganic materials 0.000 description 8
- 239000011261 inert gas Substances 0.000 description 8
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 8
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical group [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 7
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 238000005121 nitriding Methods 0.000 description 7
- 229910000077 silane Inorganic materials 0.000 description 6
- 230000000052 comparative effect Effects 0.000 description 5
- 238000007789 sealing Methods 0.000 description 5
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 4
- 229910021529 ammonia Inorganic materials 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 4
- 230000004048 modification Effects 0.000 description 4
- 238000012986 modification Methods 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 230000002411 adverse Effects 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 239000011295 pitch Substances 0.000 description 3
- 230000001681 protective effect Effects 0.000 description 3
- 239000003507 refrigerant Substances 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 238000000354 decomposition reaction Methods 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- FDNAPBUWERUEDA-UHFFFAOYSA-N silicon tetrachloride Chemical compound Cl[Si](Cl)(Cl)Cl FDNAPBUWERUEDA-UHFFFAOYSA-N 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- LXEXBJXDGVGRAR-UHFFFAOYSA-N trichloro(trichlorosilyl)silane Chemical compound Cl[Si](Cl)(Cl)[Si](Cl)(Cl)Cl LXEXBJXDGVGRAR-UHFFFAOYSA-N 0.000 description 2
- 238000003466 welding Methods 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 230000003466 anti-cipated effect Effects 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 230000003028 elevating effect Effects 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 238000005755 formation reaction Methods 0.000 description 1
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000010030 laminating Methods 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 239000011553 magnetic fluid Substances 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 229960001730 nitrous oxide Drugs 0.000 description 1
- 235000013842 nitrous oxide Nutrition 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000002407 reforming Methods 0.000 description 1
- 238000007790 scraping Methods 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 230000003685 thermal hair damage Effects 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45578—Elongated nozzles, tubes with holes
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/448—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
- C23C16/452—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
- C23C16/45546—Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Metallurgy (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Electromagnetism (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Crystals, And After-Treatments Of Crystals (AREA)
- Physical Vapour Deposition (AREA)
Abstract
Description
Claims (20)
- 간격을 두고 적층된 복수의 피처리 기판을 수납하는 처리 영역과, 상기 처리 영역으로부터 벗어난 마진 공간을 갖는 처리 용기와,상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,상기 처리 영역과 연통하는 공간 내에 배치된 플라즈마 발생 영역을 갖는 여기 기구와,상기 처리 영역에 처리 가스를 공급하는 처리 가스 공급계와,상기 처리 영역 내를 배기하는 배기계와,상기 마진 공간에 블럭 가스를 공급하는 블럭 가스 공급계와,상기 장치의 동작을 제어하는 제어부를 구비하고,상기 플라즈마 발생 영역은 상기 처리 영역에 대응하는 상하 방향의 길이에 걸쳐져 있고,상기 처리 가스는 상기 플라즈마 발생 영역을 통과할 때에 여기되고, 상기 처리 가스는 상기 처리 영역에 대해 실질적으로 수평인 가스류를 형성하도록 공급되고,상기 배기계는 상기 처리 영역을 사이에 두고 상기 플라즈마 발생 영역에 대향하는 배기구를 갖고,상기 블럭 가스 공급계는 상기 처리 영역에 직접적으로 상기 블럭 가스를 공급하지 않고 상기 마진 공간에 직접적으로 상기 블럭 가스를 공급하고,상기 제어부는 상기 피처리 기판을 처리할 때, 상기 처리 가스 공급계로부터의 상기 처리 영역에 대한 상기 처리 가스의 공급과, 상기 블럭 가스 공급계로부터의 상기 마진 공간에 대한 상기 블럭 가스의 공급을 동시에 행함으로써 상기 마진 공간으로의 상기 처리 가스의 유입을 억제하는 반도체 처리용 종형 플라즈마 처리 장치.
- 제1항에 있어서, 상기 마진 공간은 상기 처리 영역의 하측 및 상측에 각각 위치하는 하측 공간 및 상측 공간의 한쪽을 구비하고, 상기 블럭 가스 공급계는 상기 마진 공간으로 개방되는 공급구를 구비하는 반도체 처리용 종형 플라즈마 처리 장치.
- 제1항에 있어서, 상기 마진 공간은 상기 처리 영역의 하측 및 상측에 각각 위치하는 하측 공간 및 상측 공간을 구비하고, 상기 블럭 가스 공급계는 상기 하측 공간 및 상기 상측 공간으로 각각 개방되는 하측 공급구 및 상측 공급구를 구비하는 반도체 처리용 종형 플라즈마 처리 장치.
- 제3항에 있어서, 상기 지지 부재는 바닥판과 천장판 사이에서 상기 피처리 기판을 지지하도록 구성되고, 상기 하측 공급구는 상기 바닥판의 하측에서 개방되고, 상기 상측 공급구는 상기 천장판의 상측에서 개방되는 반도체 처리용 종형 플라즈마 처리 장치.
- 제1항에 있어서, 상기 배기구는 상기 처리 영역에 대응하는 상하 방향의 길이에 걸쳐서 배치되는 반도체 처리용 종형 플라즈마 처리 장치.
- 제5항에 있어서, 상기 제어부는 상기 피처리 기판을 처리할 때, 상기 처리 가스 공급계로부터의 상기 처리 영역에 대한 상기 처리 가스의 공급과, 상기 블럭 가스 공급계로부터의 상기 마진 공간에 대한 상기 블럭 가스의 공급과, 상기 배기구로부터의 상기 처리 용기 내의 배기를 동시에 행하는 반도체 처리용 종형 플라즈마 처리 장치.
- 제3항에 있어서, 상기 하측 공급구 및 상기 상측 공급구는 상기 처리 용기를 상하 방향으로 연장되는 공통의 노즐에 형성되고, 상기 노즐은 상기 처리 영역에 대응하는 위치에 개구를 갖고 있지 않은 반도체 처리용 종형 플라즈마 처리 장치.
- 제1항에 있어서, 상기 처리 영역과 상기 플라즈마 발생 영역 사이에 배치된 절연성의 표면을 갖는 구획판을 더 구비하고, 상기 구획판은 상기 처리 영역에 대응하는 상하 방향의 길이에 걸쳐서 배치된 가스 유로를 갖는 반도체 처리용 종형 플라즈마 처리 장치.
- 제8항에 있어서, 상기 가스 유로는 상기 복수의 피처리 기판에 대해 평행한 가스류를 형성하도록 상기 처리 영역에 걸쳐서 상하 방향으로 배열된 복수의 가스 확산 구멍을 구비하는 반도체 처리용 종형 플라즈마 처리 장치.
- 반도체 처리용 종형 플라즈마 처리 장치에 있어서의 처리 방법이며,상기 장치는,간격을 두고 적층된 복수의 피처리 기판을 수납하는 처리 영역과, 상기 처리 영역으로부터 벗어난 마진 공간을 갖는 처리 용기와,상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,상기 처리 영역과 연통하는 공간 내에 배치된 플라즈마 발생 영역을 갖는 여기 기구와,상기 처리 영역에 처리 가스를 공급하는 처리 가스 공급계와,상기 처리 영역 내를 배기하는 배기계와,상기 마진 공간에 블럭 가스를 공급하는 블럭 가스 공급계를 구비하고,상기 플라즈마 발생 영역은 상기 처리 영역에 대응하는 상하 방향의 길이에 걸치쳐 있고,상기 처리 가스는 상기 플라즈마 발생 영역을 통과할 때에 여기되고, 상기 처리 가스는 상기 처리 영역에 대해 실질적으로 수평인 가스류를 형성하도록 공급되고,상기 배기계는 상기 처리 영역을 사이에 두고 상기 플라즈마 발생 영역에 대향하는 배기구를 갖고,상기 블럭 가스 공급계는 상기 처리 영역에 직접적으로 상기 블럭 가스를 공급하지 않고 상기 마진 공간에 직접적으로 상기 블럭 가스를 공급하고,상기 방법은 상기 피처리 기판을 처리할 때, 상기 처리 가스 공급계로부터의 상기 처리 영역에 대한 상기 처리 가스의 공급과, 상기 블럭 가스 공급계로부터의 상기 마진 공간에 대한 상기 블럭 가스의 공급을 동시에 행함으로써, 상기 마진 공간으로의 상기 처리 가스의 유입을 억제하는 공정을 구비하는 반도체 처리용 종형 플라즈마 처리 장치에 있어서의 처리 방법.
- 간격을 두고 적층된 복수의 피처리 기판을 수납하는 처리 영역과, 상기 처리 영역으로부터 벗어난 마진 공간을 갖는 처리 용기와,상기 처리 영역 내에서 상기 피처리 기판을 지지하는 지지 부재와,상기 처리 영역 내의 상기 피처리 기판을 가열하는 히터와,상기 처리 영역과 연통하는 공간 내에 배치된 플라즈마 발생 영역을 갖는 여기 기구와,상기 피처리 기판 상에 박막을 퇴적하기 위해, 상기 박막의 주원료를 제공하는 제1 처리 가스와, 상기 제1 처리 가스와 반응하는 제2 처리 가스를 선택적으로 상기 처리 영역에 공급하는 처리 가스 공급계와,상기 처리 영역 내를 배기하는 배기계와,상기 마진 공간에 블럭 가스를 공급하는 블럭 가스 공급계와,상기 장치의 동작을 제어하는 제어부를 구비하고,상기 플라즈마 발생 영역은 상기 처리 영역에 대응하는 상하 방향의 길이에 걸치쳐 있고,상기 제1 및 제2 처리 가스 중 적어도 한쪽은 상기 플라즈마 발생 영역을 통과할 때에 여기되고, 상기 제1 및 제2 처리 가스는 상기 처리 영역에 대해 실질적으로 수평인 가스류를 형성하도록 공급되고,상기 배기계는 상기 처리 영역을 사이에 두고 상기 플라즈마 발생 영역에 대향하는 배기구를 갖고,상기 블럭 가스 공급계는 상기 처리 영역에 직접적으로 상기 블럭 가스를 공급하지 않고 상기 마진 공간에 직접적으로 상기 블럭 가스를 공급하고,상기 제어부는 상기 피처리 기판 상에 상기 박막을 형성하기 위해, 상기 처리 영역에 대한 상기 제1 처리 가스의 공급과, 상기 처리 영역에 대한 상기 제2 처리 가스의 공급을 복수회 반복하여 실행하고, 이때 상기 처리 가스 공급계로부터의 상기 처리 영역에 대한 상기 제1 및 제2 처리 가스의 각각의 공급과, 상기 블럭 가스 공급계로부터의 상기 마진 공간에 대한 상기 블럭 가스의 공급을 동시에 행함으로써, 상기 마진 공간으로의 상기 제1 및 제2 처리 가스의 각각의 유입을 억제하는 반도체 처리용 종형 플라즈마 성막 장치.
- 제11항에 있어서, 상기 마진 공간은 상기 처리 영역의 하측 및 상측에 각각 위치하는 하측 공간 및 상측 공간의 한쪽을 구비하고, 상기 블럭 가스 공급계는 상기 마진 공간으로 개방되는 공급구를 구비하는 반도체 처리용 종형 플라즈마 성막 장치.
- 제11항에 있어서, 상기 마진 공간은 상기 처리 영역의 하측 및 상측에 각각 위치하는 하측 공간 및 상측 공간을 구비하고, 상기 블럭 가스 공급계는 상기 하측 공간 및 상기 상측 공간으로 각각 개방되는 하측 공급구 및 상측 공급구를 구비하는 반도체 처리용 종형 플라즈마 성막 장치.
- 제13항에 있어서, 상기 지지 부재는 바닥판과 천장판 사이에서 상기 피처리 기판을 지지하도록 구성되고, 상기 하측 공급구는 상기 바닥판의 하측에서 개방되고, 상기 상측 공급구는 상기 천장판의 상측에서 개방되는 반도체 처리용 종형 플라즈마 성막 장치.
- 제11항에 있어서, 상기 처리 영역과 상기 플라즈마 발생 영역 사이에 배치된 절연성의 표면을 갖는 구획판을 더 구비하고, 상기 구획판은 상기 처리 영역에 대응하는 상하 방향의 길이에 걸쳐서 배치된 가스 유로를 갖는 반도체 처리용 종형 플라즈마 성막 장치.
- 제11항에 있어서, 상기 배기구는 상기 처리 영역에 대응하는 상하 방향의 길이에 걸쳐서 배치되는 반도체 처리용 종형 플라즈마 성막 장치.
- 제16항에 있어서, 상기 제어부는 상기 피처리 기판 상에 상기 박막을 형성하기 위해,상기 처리 영역에 대한 상기 제1 처리 가스의 공급을 행하는 제1 공정과,상기 처리 영역에 대한 제1 및 제2 처리 가스의 공급을 정지하는 제2 공정과,상기 처리 영역에 대한 상기 제2 처리 가스의 공급하는 제3 공정과,상기 처리 영역에 대한 제1 및 제2 처리 가스의 공급을 정지하는 제4 공정을 교대로 실행하고, 또한 상기 제1 공정으로부터 제4 공정에 걸쳐서 상기 배기구로부터의 상기 처리 용기 내의 배기를 계속하는 반도체 처리용 종형 플라즈마 성막 장치.
- 제17항에 있어서, 상기 제어부는 상기 제1 공정으로부터 제4 공정에 걸쳐서 상기 블럭 가스 공급계로부터의 상기 마진 공간에 대한 상기 블럭 가스의 공급을 계속하는 반도체 처리용 종형 플라즈마 성막 장치.
- 제17항에 있어서, 상기 제어부는 상기 제2 및 제4 공정의 각각에 있어서, 상기 블럭 가스 공급계로부터의 상기 마진 공간에 대한 상기 블럭 가스의 공급을 정지하는 반도체 처리용 종형 플라즈마 성막 장치.
- 제17항에 있어서, 상기 제어부는 상기 제2 및 제4 공정의 각각에 있어서, 상 기 블럭 가스 공급계로부터의 상기 마진 공간에 대한 상기 블럭 가스의 공급을 행하는 전반기와 공급을 정지하는 후반기를 마련하는 반도체 처리용 종형 플라즈마 성막 장치.
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JPJP-P-2006-00104730 | 2006-04-05 | ||
JP2006104730 | 2006-04-05 | ||
JPJP-P-2006-00116021 | 2006-04-19 | ||
JP2006116021A JP4929811B2 (ja) | 2006-04-05 | 2006-04-19 | プラズマ処理装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20070100125A true KR20070100125A (ko) | 2007-10-10 |
KR101122964B1 KR101122964B1 (ko) | 2012-03-15 |
Family
ID=38573786
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020070033092A KR101122964B1 (ko) | 2006-04-05 | 2007-04-04 | 반도체 처리용 종형 플라즈마 처리 장치 및 처리 방법과 반도체 처리용 종형 플라즈마 성막 장치 |
Country Status (5)
Country | Link |
---|---|
US (2) | US20070234961A1 (ko) |
JP (1) | JP4929811B2 (ko) |
KR (1) | KR101122964B1 (ko) |
CN (1) | CN101051606B (ko) |
TW (1) | TWI515763B (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2011037377A3 (ko) * | 2009-09-25 | 2011-08-04 | 주식회사 티지솔라 | 배치식 에피택셜층 형성장치 및 그 형성방법 |
Families Citing this family (83)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100829327B1 (ko) * | 2002-04-05 | 2008-05-13 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치 및 반응 용기 |
US8152922B2 (en) * | 2003-08-29 | 2012-04-10 | Asm America, Inc. | Gas mixer and manifold assembly for ALD reactor |
WO2006093136A1 (ja) * | 2005-03-01 | 2006-09-08 | Hitachi Kokusai Electric Inc. | 基板処理装置および半導体デバイスの製造方法 |
WO2007111348A1 (ja) * | 2006-03-28 | 2007-10-04 | Hitachi Kokusai Electric Inc. | 基板処理装置 |
JP5090097B2 (ja) * | 2007-07-26 | 2012-12-05 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及び基板処理方法 |
JP5568212B2 (ja) * | 2007-09-19 | 2014-08-06 | 株式会社日立国際電気 | 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法 |
JP5222652B2 (ja) * | 2008-07-30 | 2013-06-26 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
JP5665289B2 (ja) * | 2008-10-29 | 2015-02-04 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法および基板処理装置 |
JP5099101B2 (ja) * | 2009-01-23 | 2012-12-12 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5658463B2 (ja) * | 2009-02-27 | 2015-01-28 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
JP5136574B2 (ja) * | 2009-05-01 | 2013-02-06 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
KR101309359B1 (ko) * | 2009-08-27 | 2013-09-17 | 가부시키가이샤 알박 | 진공 처리 장치 및 진공 처리 방법 |
JP5655429B2 (ja) * | 2009-08-28 | 2015-01-21 | 三菱マテリアル株式会社 | 多結晶シリコンの製造方法、製造装置及び多結晶シリコン |
US8409352B2 (en) * | 2010-03-01 | 2013-04-02 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US20110256734A1 (en) | 2010-04-15 | 2011-10-20 | Hausmann Dennis M | Silicon nitride films and methods |
JP5687547B2 (ja) * | 2010-06-28 | 2015-03-18 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法および基板処理装置 |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
JP5646984B2 (ja) * | 2010-12-24 | 2014-12-24 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法および基板処理装置 |
JP2012142386A (ja) * | 2010-12-28 | 2012-07-26 | Elpida Memory Inc | 窒化膜の形成方法 |
JP2012174782A (ja) * | 2011-02-18 | 2012-09-10 | Hitachi Kokusai Electric Inc | 基板処理装置及び半導体装置の製造方法 |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
JP5723243B2 (ja) * | 2011-08-11 | 2015-05-27 | 東京エレクトロン株式会社 | 成膜方法、これを含む半導体装置の製造方法、成膜装置、及び半導体装置 |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
JP5945430B2 (ja) * | 2012-02-29 | 2016-07-05 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置、及びプログラム |
TWI595112B (zh) | 2012-10-23 | 2017-08-11 | 蘭姆研究公司 | 次飽和之原子層沉積及保形膜沉積 |
SG2013083654A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Methods for depositing films on sensitive substrates |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
CN103165497B (zh) * | 2013-02-20 | 2015-09-30 | 上海华力微电子有限公司 | 一种氧化反应炉及利用该反应炉进行氧化反应的方法 |
JP6258657B2 (ja) * | 2013-10-18 | 2018-01-10 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
JP6113626B2 (ja) * | 2013-10-21 | 2017-04-12 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US9478411B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS |
US9478438B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
KR20160026572A (ko) | 2014-09-01 | 2016-03-09 | 삼성전자주식회사 | 기판 처리 장치 |
US9214333B1 (en) | 2014-09-24 | 2015-12-15 | Lam Research Corporation | Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD |
US9589790B2 (en) | 2014-11-24 | 2017-03-07 | Lam Research Corporation | Method of depositing ammonia free and chlorine free conformal silicon nitride film |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
TWI676709B (zh) * | 2015-01-22 | 2019-11-11 | 美商應用材料股份有限公司 | 使用空間上分開的佈植器腔室進行的對薄膜的原子層沈積 |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
TWI611043B (zh) | 2015-08-04 | 2018-01-11 | Hitachi Int Electric Inc | 基板處理裝置、半導體裝置之製造方法及記錄媒體 |
WO2017037937A1 (ja) * | 2015-09-04 | 2017-03-09 | 株式会社日立国際電気 | 反応管、基板処理装置および半導体装置の製造方法 |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
KR102043876B1 (ko) * | 2016-02-09 | 2019-11-12 | 가부시키가이샤 코쿠사이 엘렉트릭 | 기판 처리 장치 및 반도체 장치의 제조 방법 |
CN105543955A (zh) * | 2016-02-26 | 2016-05-04 | 上海华力微电子有限公司 | 多晶硅制备之立式炉管及其制备方法 |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10629435B2 (en) | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US9865455B1 (en) | 2016-09-07 | 2018-01-09 | Lam Research Corporation | Nitride film formed by plasma-enhanced and thermal atomic layer deposition process |
JP6568508B2 (ja) * | 2016-09-14 | 2019-08-28 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置、およびプログラム |
US10115607B2 (en) * | 2016-09-16 | 2018-10-30 | Applied Materials, Inc. | Method and apparatus for wafer outgassing control |
JP6715739B2 (ja) * | 2016-10-03 | 2020-07-01 | 株式会社アルバック | ハースユニット、蒸発源および成膜装置 |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
US10217630B2 (en) | 2016-11-24 | 2019-02-26 | Tokyo Electron Limited | Method of forming silicon-containing film |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
KR20200118504A (ko) | 2018-03-02 | 2020-10-15 | 램 리써치 코포레이션 | 가수분해를 사용한 선택적인 증착 |
CN110998806B (zh) | 2018-03-23 | 2024-05-31 | 株式会社国际电气 | 基板处理装置、半导体装置的制造方法及存储介质 |
JP7064577B2 (ja) * | 2018-03-30 | 2022-05-10 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法およびプログラム |
KR102034766B1 (ko) * | 2018-04-12 | 2019-10-22 | 주식회사 유진테크 | 기판 처리 장치 및 기판 처리 방법 |
KR102726216B1 (ko) | 2019-05-01 | 2024-11-04 | 램 리써치 코포레이션 | 변조된 원자 층 증착 |
JP7546000B2 (ja) | 2019-06-04 | 2024-09-05 | ラム リサーチ コーポレーション | パターニングにおける反応性イオンエッチングのための重合保護層 |
JP6731527B2 (ja) * | 2019-08-02 | 2020-07-29 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置、およびプログラム |
CN114207184A (zh) | 2019-08-06 | 2022-03-18 | 朗姆研究公司 | 含硅膜的热原子层沉积 |
CN110408912A (zh) * | 2019-09-11 | 2019-11-05 | 光驰科技(上海)有限公司 | 一种多片式旋转等离子体增强原子层沉积成膜装置 |
JP7296855B2 (ja) * | 2019-11-07 | 2023-06-23 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
JP7399260B2 (ja) * | 2020-03-25 | 2023-12-15 | 株式会社Kokusai Electric | 基板処理装置、基板処理方法、半導体装置の製造方法、プログラム、およびインナーチューブ |
CN111690910B (zh) * | 2020-08-04 | 2024-12-24 | 光驰科技(上海)有限公司 | 一种光学薄膜用等离子体增强原子层沉积设备的布气装置 |
KR102614922B1 (ko) * | 2020-12-30 | 2023-12-20 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
CN116180051A (zh) * | 2023-02-20 | 2023-05-30 | 厦门韫茂科技有限公司 | 一种用于批次式成膜的原子层沉积装置 |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0645256A (ja) | 1992-07-21 | 1994-02-18 | Rikagaku Kenkyusho | ガスパルスの供給方法およびこれを用いた成膜方法 |
JPH06136542A (ja) * | 1992-10-21 | 1994-05-17 | Sharp Corp | プラズマcvd装置 |
US5939333A (en) * | 1996-05-30 | 1999-08-17 | Micron Technology, Inc. | Silicon nitride deposition method |
US20030049372A1 (en) * | 1997-08-11 | 2003-03-13 | Cook Robert C. | High rate deposition at low pressures in a small batch reactor |
JP3529989B2 (ja) | 1997-09-12 | 2004-05-24 | 株式会社東芝 | 成膜方法及び半導体装置の製造方法 |
KR100560867B1 (ko) * | 2000-05-02 | 2006-03-13 | 동경 엘렉트론 주식회사 | 산화방법 및 산화시스템 |
JP2004095953A (ja) * | 2002-09-02 | 2004-03-25 | Canon Inc | 窒化シリコンの堆積膜形成方法 |
CN1293608C (zh) * | 2002-10-16 | 2007-01-03 | 夏普株式会社 | 半导体器件及其制造方法以及等离子加工装置 |
WO2004066377A1 (ja) | 2003-01-24 | 2004-08-05 | Tokyo Electron Limited | 被処理基板上にシリコン窒化膜を形成するcvd方法 |
JP4330949B2 (ja) * | 2003-07-01 | 2009-09-16 | 東京エレクトロン株式会社 | プラズマcvd成膜方法 |
US20050130448A1 (en) * | 2003-12-15 | 2005-06-16 | Applied Materials, Inc. | Method of forming a silicon oxynitride layer |
JP2005197541A (ja) * | 2004-01-09 | 2005-07-21 | Hitachi Kokusai Electric Inc | 基板処理装置 |
JP4396547B2 (ja) * | 2004-06-28 | 2010-01-13 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
US7129187B2 (en) * | 2004-07-14 | 2006-10-31 | Tokyo Electron Limited | Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films |
-
2006
- 2006-04-19 JP JP2006116021A patent/JP4929811B2/ja active Active
-
2007
- 2007-03-30 TW TW096111446A patent/TWI515763B/zh not_active IP Right Cessation
- 2007-04-04 KR KR1020070033092A patent/KR101122964B1/ko active IP Right Grant
- 2007-04-04 US US11/696,501 patent/US20070234961A1/en not_active Abandoned
- 2007-04-05 CN CN200710095828XA patent/CN101051606B/zh active Active
-
2009
- 2009-03-25 US US12/411,123 patent/US7825039B2/en active Active
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2011037377A3 (ko) * | 2009-09-25 | 2011-08-04 | 주식회사 티지솔라 | 배치식 에피택셜층 형성장치 및 그 형성방법 |
KR101458195B1 (ko) * | 2009-09-25 | 2014-11-05 | 주식회사 티지오테크 | 배치식 에피택셜층 형성장치 및 그 형성방법 |
Also Published As
Publication number | Publication date |
---|---|
KR101122964B1 (ko) | 2012-03-15 |
US20070234961A1 (en) | 2007-10-11 |
CN101051606B (zh) | 2010-05-26 |
CN101051606A (zh) | 2007-10-10 |
TWI515763B (zh) | 2016-01-01 |
US20090181548A1 (en) | 2009-07-16 |
JP2007299776A (ja) | 2007-11-15 |
US7825039B2 (en) | 2010-11-02 |
JP4929811B2 (ja) | 2012-05-09 |
TW200816265A (en) | 2008-04-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101122964B1 (ko) | 반도체 처리용 종형 플라즈마 처리 장치 및 처리 방법과 반도체 처리용 종형 플라즈마 성막 장치 | |
KR101086588B1 (ko) | 반도체 처리용의 성막 방법 및 장치와, 컴퓨터에서 판독가능한 매체 | |
KR100771800B1 (ko) | 피처리 기판 상에 실리콘 질화막을 형성하는 cvd 방법 | |
KR101146397B1 (ko) | 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한매체 | |
KR100954243B1 (ko) | 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체 | |
KR101287725B1 (ko) | 종형 뱃치 cvd 장치, 종형 뱃치 cvd 장치에 있어서의 성막 방법 및 컴퓨터로 판독 가능한 매체 | |
KR100935257B1 (ko) | 반도체 처리용 성막 방법 및 장치와, 컴퓨터 판독 가능 매체 | |
KR101141913B1 (ko) | 반도체 처리용 성막 장치 및 그 사용 방법 | |
KR101140069B1 (ko) | 반도체 처리용의 성막 방법 및 장치 및 컴퓨터로 판독가능한 매체 | |
KR101141870B1 (ko) | 반도체 처리용 성막 방법 및 성막 장치 | |
KR101242274B1 (ko) | 반도체 처리용 성막 방법, 컴퓨터로 판독 가능한 매체 및 반도체 처리용 성막 장치 | |
KR100957879B1 (ko) | 반도체 처리용 성막 방법 및 장치와, 컴퓨터로 판독 가능한 매체 | |
KR101160788B1 (ko) | 반도체 처리용 종형 플라즈마 처리 장치 | |
KR100983452B1 (ko) | 실리콘 질화막의 형성 방법 | |
KR20080001646A (ko) | 성막 장치 및 그 사용 방법 | |
KR20080029846A (ko) | 실리콘 산화막을 형성하기 위한 성막 방법 및 장치 | |
KR101077695B1 (ko) | 종형 플라즈마 처리 장치 및 그 사용 방법 | |
KR20070016071A (ko) | 실리콘 함유 절연막의 성막 방법 및 장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PA0109 | Patent application |
Patent event code: PA01091R01D Comment text: Patent Application Patent event date: 20070404 |
|
PG1501 | Laying open of application | ||
A201 | Request for examination | ||
PA0201 | Request for examination |
Patent event code: PA02012R01D Patent event date: 20100201 Comment text: Request for Examination of Application Patent event code: PA02011R01I Patent event date: 20070404 Comment text: Patent Application |
|
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20110620 Patent event code: PE09021S01D |
|
E701 | Decision to grant or registration of patent right | ||
PE0701 | Decision of registration |
Patent event code: PE07011S01D Comment text: Decision to Grant Registration Patent event date: 20111130 |
|
GRNT | Written decision to grant | ||
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20120224 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20120224 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration | ||
FPAY | Annual fee payment |
Payment date: 20150130 Year of fee payment: 4 |
|
PR1001 | Payment of annual fee |
Payment date: 20150130 Start annual number: 4 End annual number: 4 |
|
FPAY | Annual fee payment |
Payment date: 20160127 Year of fee payment: 5 |
|
PR1001 | Payment of annual fee |
Payment date: 20160127 Start annual number: 5 End annual number: 5 |
|
FPAY | Annual fee payment |
Payment date: 20170202 Year of fee payment: 6 |
|
PR1001 | Payment of annual fee |
Payment date: 20170202 Start annual number: 6 End annual number: 6 |
|
FPAY | Annual fee payment |
Payment date: 20180219 Year of fee payment: 7 |
|
PR1001 | Payment of annual fee |
Payment date: 20180219 Start annual number: 7 End annual number: 7 |
|
FPAY | Annual fee payment |
Payment date: 20190218 Year of fee payment: 8 |
|
PR1001 | Payment of annual fee |
Payment date: 20190218 Start annual number: 8 End annual number: 8 |
|
FPAY | Annual fee payment |
Payment date: 20200218 Year of fee payment: 9 |
|
PR1001 | Payment of annual fee |
Payment date: 20200218 Start annual number: 9 End annual number: 9 |
|
PR1001 | Payment of annual fee |
Payment date: 20210218 Start annual number: 10 End annual number: 10 |
|
PR1001 | Payment of annual fee |
Payment date: 20230131 Start annual number: 12 End annual number: 12 |
|
PR1001 | Payment of annual fee |
Payment date: 20240119 Start annual number: 13 End annual number: 13 |
|
PR1001 | Payment of annual fee |
Payment date: 20250108 Start annual number: 14 End annual number: 14 |