[go: up one dir, main page]

CN101051606B - 立式等离子体处理装置和半导体处理方法 - Google Patents

立式等离子体处理装置和半导体处理方法 Download PDF

Info

Publication number
CN101051606B
CN101051606B CN200710095828XA CN200710095828A CN101051606B CN 101051606 B CN101051606 B CN 101051606B CN 200710095828X A CN200710095828X A CN 200710095828XA CN 200710095828 A CN200710095828 A CN 200710095828A CN 101051606 B CN101051606 B CN 101051606B
Authority
CN
China
Prior art keywords
gas
processing region
space
supply
described processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200710095828XA
Other languages
English (en)
Other versions
CN101051606A (zh
Inventor
高桥俊树
福岛讲平
织户康一
佐藤润
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101051606A publication Critical patent/CN101051606A/zh
Application granted granted Critical
Publication of CN101051606B publication Critical patent/CN101051606B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

一种半导体处理用的立式等离子体处理装置,包括处理容器,该处理容器具有收纳隔开间隔而层积的多个被处理基板的处理区域和偏离上述处理区域的边缘空间。当处理被处理基板时,通过同时从处理气体供给系统将处理气体供给处理区域、和从阻挡气体供给系统将阻挡气体供给边缘空间,抑制处理气体流入边缘空间。

Description

立式等离子体处理装置和半导体处理方法
相关申请的交互参考
本申请基于2006年4月5日提出的2006-104730号和2006年4月19日提出的2006-116021号在先日本专利申请,并主张其优先权。引入这两个申请的全部内容作为参考。
技术领域
本发明涉及半导体处理用的立式等离子体处理装置和方法,例如,涉及在半导体晶片等被处理基板上形成含有硅的绝缘膜等的薄膜的立式等离子体成膜装置和方法。这里,所谓的半导体处理为,通过在晶片或LCD(液晶显示器)那样的FPD(平板显示器)用玻璃基板等的被处理基板上,按规定的图形形成半导体层、绝缘层、导电层等,为了在该被处理基板上制造包含半导体器件或连接半导体器件的配线、电极等的结构物而实施的各种处理。
背景技术
在构成半导体集成电路的半导体器件的制造中,对被处理基板、例如半导体(例如硅)晶片进行成膜、蚀刻、氧化、扩散、改质、退火和除去自然氧化膜等各种处理。US2003/0224618A1说明了立式(所谓间歇(batch)式)热处理装置的这种半导体处理方法。在这种方法中,首先将半导体晶片从晶片盒移放在立式的晶舟(wafer boat)上,分多层支承。在晶片盒中能够收容例如25片晶片,在晶舟中能够载置30~150片晶片。随后,从处理容器的下方,将晶舟装入其内部,并且,气密地封闭处理容器。随后,在控制处理气体的流量、处理压力、处理温度等各种处理条件的状态下,进行规定的热处理。
近年来,伴随着半导体集成电路的进一步高集成化和高微细化的要求,优选减少半导体器件制造工序的热经历,提高器件的特性。在立式处理装置中,也希望根据这个要求改良半导体处理方法。例如,有在作为成膜处理的一种的CVD(化学气相沉积)中,间歇地供给原料气体等,并且将原子或分子级厚度的层反复形成1层或数层的薄膜形成方法(例如,日本特开平6-45256号公报,日本特开平11-87341号公报)。一般,这种成膜方法称为ALD(Atomic layer Deposition:原子层沉积),这样,即使不将晶片曝露在很高温度下,也可以进行达到目的的处理。
另外,WO2004/066377(2004年12月15日),(与US7094708B2对应)说明了在进行ALD的立式处理装置中,利用等离子体的辅助,进一步降低处理温度用的结构。采用这种装置,在使用作为硅烷系气体的二氯硅烷(DCS)和作为氮化气体的NH3,形成氮化硅(SiN)膜的情况下,进行以下的处理。即:夹住吹扫(purge)期间,交互间歇地将DCS和NH3气体供给处理容器内。当供给NH3气时,通过施加RF(高频),生成等离子体,促进氮化反应。这里,首先,通过将DCS供给处理容器内,在晶片表面上,按分子级附着一层或多层DCS。其余的DCS在吹扫期间中被排除。随后,通过供给NH3,生成等离子体,利用低温下的氮化,形成氮化硅膜。反复进行这一系列工序,完成规定厚度的膜。
发明内容
本发明的目的是要提供对处理区域没有不利影响,可提高处理气体的使用效率和处理的生产率的半导体处理用的立式等离子体处理装置和方法。
本发明的另一个目的是要提供可提高对被处理基板进行的等离子体处理的面内均匀性和/或面间均匀性的半导体处理用的立式等离子体处理装置和方法。
本发明的第一方面是一种半导体处理用的立式等离子体处理装置,包括:
处理容器,具有收纳隔开间隔而层积的多个被处理基板的处理区域和偏离上述处理区域的边缘空间;
在上述处理区域内支承上述被处理基板的支承部件;
激励机构,具有配置在与上述处理区域连通的空间内的等离子体发生区域,其中,上述等离子体发生区域横跨与上述处理区域对应的上下方向的长度;
向上述处理区域供给处理气体的处理气体供给系统,其中,上述处理气体在通过上述等离子体发生区域时被激励,以形成实质上与上述处理区域水平的气体流的方式供给上述处理气体;
对上述处理区域内进行排气的排气系统,其中,上述排气系统具有夹住上述处理区域并与上述等离子体发生区域相对的排气口;
向上述边缘空间供给阻挡气体的阻挡气体供给系统,其中,上述阻挡气体供给系统不将上述阻挡气体直接供给上述处理区域,而直接将上述阻挡气体供给上述边缘空间;和
控制上述装置的动作的控制部,其中,上述控制部进行控制,使得在处理上述被处理基板时,同时从上述处理气体供给系统向上述处理区域供给上述处理气体、和从上述阻挡气体供给系统向上述边缘空间供给上述阻挡气体,由此,抑制上述处理气体流入上述边缘空间。
本发明的第二方面是一种半导体处理用的立式等离子体处理装置的处理方法,上述装置包括,
处理容器,具有收纳隔开间隔而层积的多个被处理基板的处理区域和偏离上述处理区域的边缘空间;
在上述处理区域内支承上述被处理基板的支承部件;
激励机构,具有配置在与上述处理区域连通的空间内的等离子体发生区域,其中,上述等离子体发生区域横跨与上述处理区域对应的上下方向的长度;
向上述处理区域供给处理气体的处理气体供给系统,其中,上述处理气体在通过上述等离子体发生区域时被激励,以形成实质上与上述处理区域水平的气体流的方式供给上述处理气体;
对上述处理区域内进行排气的排气系统,其中,上述排气系统具有夹住上述处理区域并与上述等离子体发生区域相对的排气口;和
向上述边缘空间供给阻挡气体的阻挡气体供给系统,其中,上述阻挡气体供给系统不将上述阻挡气体直接供给上述处理区域,而直接将上述阻挡气体供给上述边缘空间,
上述方法具有如下工序,在处理上述被处理基板时,同时从上述处理气体供给系统向上述处理区域供给上述处理气体、和从上述阻挡气体供给系统向上述边缘空间供给上述阻挡气体,由此,抑制上述处理气体流入上述边缘空间。
本发明的第三方面是一种半导体处理用的立式等离子体成膜装置,包括:
处理容器,具有收纳隔开间隔而层积的多个被处理基板的处理区域和偏离上述处理区域的边缘空间;
在上述处理区域内支承上述被处理基板的支承部件;
加热上述处理区域内的上述被处理基板的加热器;
激励机构,具有配置在与上述处理区域连通的空间内的等离子体发生区域,其中,上述等离子体发生区域横跨与上述处理区域对应的上下方向的长度;
处理气体供给系统,为了在上述被处理基板上堆积薄膜,有选择地将提供上述薄膜的主原料的第一处理气体、和与上述第一处理气体反应的第二处理气体供给上述处理区域,其中,上述第一和第二处理气体的至少一个在通过上述等离子体发生区域时被激励,以形成实质上与上述处理区域水平的气体流的方式,供给上述第一和第二处理气体;
对上述处理区域内进行排气的排气系统,其中,上述排气系统具有夹住上述处理区域并与上述等离子体发生区域相对的排气口;
向上述边缘空间供给阻挡气体的阻挡气体供给系统,其中,上述阻挡气体供给系统不将上述阻挡气体直接供给上述处理区域,而直接将上述阻挡气体供给上述边缘空间;和
控制上述装置的动作的控制部,其中,上述控制部为了在上述被处理基板上形成上述薄膜,多次反复将上述第一处理气体供给上述处理区域、和将上述第二处理气体供给上述处理区域,这时,通过同时从上述处理气体供给系统分别将上述第一和第二处理气体供给上述处理区域、和从上述阻挡气体供给系统将上述阻挡气体供给上述边缘空间,抑制上述第一和第二处理气体分别流入上述边缘空间。
本发明另外的目的和优点在下面的说明中提出,并且可以部分地从该说明中了解,或可通过本实践发明而学习。本发明的目的和优点可通过后述的具体实施方式及其组合实现、得到。
附图说明
附图包括在说明书中,并构成说明书的一部分,表示本发明的实施方式,它与上面给出的说明内容和下面给出的实施方式的详细说明一起,用于说明本发明的原理。
图1为表示本发明的第一实施方式的立式等离子体处理装置(立式等离子体成膜装置)的截面图。
图2为表示图1所示装置的一部分的横截平面图。
图3为表示本发明第一实施方式成膜方法的气体供给的时间图。
图4为表示在实验中使用的不向下侧空间S1供给阻挡气体(blockgas)的装置(比较例)的图。
图5为表示在实验中使用的向下侧空间S1供给阻挡气体的装置(实施方式)的图。
图6为表示在实施方式1中,利用图4所示的装置得出的晶片上的位置和膜厚的关系的图形。
图7为表示在实施方式1中,利用图5所示的装置得出的晶片位置和膜厚的关系的图形。
图8为表示在实施方式2中,利用图5所示的装置得出的晶片位置和膜厚的关系的图形。
图9为表示在实施方式3中,利用图5所示的装置得出的晶片位置和膜厚的关系的图形。
图10为表示本发明的第二实施方式的立式等离子体处理装置(立式等离子体成膜装置)的截面图。
图11为表示本发明的第三实施方式的立式等离子体处理装置(立式等离子体成膜装置)的截面图。
具体实施方式
本发明者等,在开发本发明的过程中,研究了上述WO2004/066377中所述立式等离子体处理装置中产生的问题。结果,本发明者等得到了下述的认识。
在立式等离子体处理装置中,在处理容器内存在从收纳以一定间隔层积着多个晶片的处理区域偏离的边缘(margin)空间。在WO2004/066377中所述的装置的情况下,该边缘空间由分别位于处理区域的下侧和上侧的下侧空间和上侧空间构成。下侧空间和上侧空间分别与位于支承晶片的晶舟的底板下侧的空间和位于顶板上侧的空间对应。在处理中,在水平方向大致均匀地供给和排出处理气体,使得形成与晶片W平行的气体流。但是,这样的话,处理气体的一部分流入并且滞留在下侧空间和上侧空间中,在对处理反应没有帮助的情况下被排出。
当处理气体的一部分滞留在边缘空间中时,必需延长用于排除它的吹扫期间(抽真空时间)。这在重复处理气体的供给期间和吹扫期间的上述ALD类的处理方法中,成为大大降低处理的生产率的原因。另外,当处理气体流入边缘空间时,比较高价的处理气体的浪费消费量增大,使运转成本大大提高。另外,如后所述,当处理气体流入边缘空间中时,由于处理气体的偏流,成为使晶片等离子体处理的面内均匀性和/或面间均匀性降低的原因。
以下参照附图,说明根据这种认识构成的本发明的实施方式。在以下的说明中,对于具有大致相同的功能和结构的构成元件用相同的符号表示,只在必要时进行重复说明。
(第一实施方式)
图1为表示本发明的第一实施方式的立式等离子体处理装置(立式等离子体成膜装置)的截面图。图2为表示图1所示装置的一部分的横截平面图。该成膜装置2具有处理区域,该处理区域可以有选择地供给包含作为硅烷系气体的二氯硅烷(DCS)气体的第一处理气体、包含作为氮化气体的氨(NH3)气的第二处理气体和由N2气等不活性气体构成的吹扫气体。成膜装置2构成为在这种处理区域内,利用CVD在被处理基板上形成氮化硅膜。
成膜装置2具有下端开口的、有顶棚的圆筒体状的处理容器4,该处理容器将处理区域5规定在其内部,该处理区域5收容、处理以一定间隔层积着的多个半导体晶片(被处理基板)。在处理容器4内,还存在与处理区域5偏离的边缘空间,在本实施方式中,边缘空间具有分别位于处理区域5的下侧和上侧的下侧空间S1和上侧空间S2。
处理容器4的整体例如由石英制成。在处理容器4内的顶棚上,配设有石英制的顶板6,并被密封。成形为圆筒体状的总管(manifold)8经由O形环等密封件10,与处理容器4的下端开口连接。此外,也能够不另外设置总管8,由圆筒体状的石英制处理容器构成整体。
总管8例如由不锈钢制成,支承处理容器4的下端。石英制的晶舟12可通过总管8的下端开口进行升降,这样,可相对于处理容器4装/卸晶舟12。在晶舟12中,在其底板12a和顶板12b之间,分多层载置有多片半导体晶片W作为被处理基板。例如,在本实施方式的情况下,在晶舟12的支柱12A上,以大致相等的间距可分多层支承例如50~100片左右直径为300mm的晶片W。
晶舟12经由石英制的保温筒14载置在工作台16上。工作台16被支承在旋转轴20上,该旋转轴20贯通对总管8的下端开口进行开闭的、例如不锈钢制的盖体18。在这样将晶舟12配置在处理区域5内的规定位置上的状态下,作为边缘空间的下侧空间S1和上侧空间S2分别与晶舟12的底板12a的下侧空间和顶板12b的上侧空间对应。
在旋转轴20的贯通部分上介设例如磁性流体密封件22,以可气密地密封并且可转动的方式支承旋转轴20。在盖体18的周边部和总管8的下端部上介设由例如O形环等构成的密封件24,保持容器内的密封性。
旋转轴20安装在由例如螺杆升降机等升降机构25支承着的臂26的前端上。利用升降机构25,晶舟12和盖体18等可以一体地升降。另外,将工作台16固定、设置在盖体18侧,不转动晶舟12进行晶片W的处理也可以。
将规定的处理气体供给处理容器4内的处理区域5用的气体供给部与总管8的侧部连接。气体供给部包括第二处理气体供给系统28、第一处理气体供给系统30和阻挡气体(block gas)供给系统32。第一处理气体供给系统30供给包含作为硅烷系气体的DCS(二氯硅烷)气体的第一处理气体。第二处理气体供给系统28供给包含作为氮化气体的氨(NH3)气的第二处理气体。“另外,第一和第二处理气体供给系统30、28构成为能够单独地供给作为吹扫气体的不活性气体、例如N2气。(OK?)”。阻挡气体供给系统32供给作为阻挡气体(也可作为吹扫气体使用)的不活性气体、例如N2气。作为不活性气体可以使用He气、Ar气、Ne气等其他不活性气体,代替N2气。虽然根据需要可在第一处理气体和第二处理气体中混合适当量的载气,但是,为了容易说明,下面不提及载气。
具体地是,第二处理气体供给系统28和第一处理气体供给系统30分别具有气体分散喷嘴34、36。该气体分散喷嘴由向内侧贯通总管8的侧壁、向上方向弯曲延伸的石英管制成(参见图1)。在各个气体分散喷嘴34、36中,隔开规定的间隔,形成多个气体喷射孔34A、36A。该气体喷射孔沿着该喷嘴的长度方向(上下方向),并横跨晶舟12上的全体的晶片W。另一方面,阻挡气体供给系统32具有贯通总管8的侧壁设置着的短的气体喷嘴38。气体喷嘴38在晶舟12的底板12a的下侧,具有在收容保温筒24或工作台16的下侧空间S1内开口的气体喷射孔38A。
喷嘴34、36、38经由气体供给管路(气体通路)42、44、46,分别与NH3气、DCS气体、和N2气的气体源28S、30S、32S连接。在气体供给管路42、44、46上配置开闭阀42A、44A、46A和质量流量控制器那样的流量控制器42B、44B、46B。这样,可分别对NH3气、DCS气和N2气进行流量控制并进行供给。
在处理容器4的侧壁的一部分上,沿着其高度方向配置气体激励部50。为了真空排出其内部的气氛,在与气体激励部50相对的处理容器4的相反一侧上,配置通过在上下方向削去处理容器4的侧壁而形成的细长排气口52。
具体地是,气体激励部50具有通过沿着上下方向,以规定的宽度削去处理容器4的侧壁而形成的上下细长的开口。该开口利用具有气体流路55的分隔板54封闭,并且,由与处理容器4的外壁气密地接合在一起的石英制的罩56覆盖。罩56以向处理容器4的外侧突出的方式形成截面为凹部状,并且具有上下细长的形状。处理容器4、分隔板54、气体激励部50的罩56由相同的绝缘性材料(这里是石英)制成,利用熔接互相连接。分隔板54固定在形成于处理容器4上的开口的间隔壁上,罩56固定在处理容器4的外侧。
利用这种结构,形成从处理容器4的侧壁突出,并且一侧与处理容器4内连接着的气体激励部50。即:气体激励部50的内部空间,经由分隔板54的气体流路55,与处理容器4内的处理区域5连通。分隔板54在上下方向形成为充分得长,以便能够在高度方向覆盖由晶舟12保持的全部晶片W。
在罩56的两侧壁的外侧面上沿长度方向(上下方向)互相相对地配置细长的一对电极58。等离子体发生用的高频电源60经由供电线62与电极58连接。通过将例如13.56MHz的高频电压加在电极58上,在一对电极58之间形成激励等离子体用的高频电场。高频电压的频率不限于13.56MHz,也可以使用其他频率、例如400kHz等。
第二处理气体的气体分散喷嘴34在相比晶舟12上的最下层晶片W还在下方的位置上,向处理容器4的半径方向外方弯曲,贯通分隔板54。然后,气体分散喷嘴34在气体激励部50内的最里面(离处理容器4的中心最远的部分)的位置上垂直立起。如图2所示,气体分散喷嘴34设置在从由一对相对的电极58夹住的区域(高频电场最强的位置)、即实际产生主要等离子体的等离子体发生区域PS向外偏离的位置上。包含从气体分散喷嘴34的气体喷射孔34A喷射的NH3气的第二处理气体,被向着等离子体发生区域PS喷射,在这里,被激励(分解或称活性化),在这种状态下,经由分隔板54的气体流路55,供给至晶舟12上的晶片W。
在罩56的外侧上安装覆盖着它的、例如由石英制成的绝缘保护罩64。在绝缘保护罩64的内侧与电极58相对的部分上配置由制冷剂通路构成的冷却机构(图中没有示出)。通过使作为制冷剂的例如被冷却的N2气在制冷剂通路中流动,冷却电极58。在绝缘保护罩64的外侧,为了防止高频泄漏,配置屏蔽物,覆盖该绝缘保护罩(图中没有示出)。
在气体激励部50的分隔板54的外侧附近,即分隔板54的外侧(处理容器4内),使第一处理气体的气体分散喷嘴36垂直立起,进行配置。从形成在气体分散喷嘴36上的气体喷射孔36A,向着处理容器4的中心方向,喷射包含DCS气体的第一处理气体。气体喷射孔36A配置在晶舟12上的多个晶片W的各个之间,在水平方向大致均匀地供给第一处理气体(包含DCS),使得形成与晶片W平行的气体流。
在分隔板54上形成连通等离子体发生区域SP和处理区域5的气体流路55。气体流路55由多个圆形的气体扩散孔55A构成。气体扩散孔55A沿着分隔板54的长度方向(上下方向),并且以横跨晶舟12上的全体晶片W的方式,隔开规定的间隔,沿着一个垂直列配置。气体扩散孔55A,以与晶舟12上的多个晶片W的间距相同的间距,形成在各个晶片W之间的位置上。气体扩散孔55A使被等离子体激活的第二处理气体(包含NH3)在水平方向上大致均匀地通过,以形成与晶片W平行的气体流。
利用分隔板54降低等离子体发生区域SP和处理区域5之间的气体流传导(conductance)。由此,压力对处理区域5没有不利影响,能够将等离子体发生区域SP的压力设定得高。这样,可提高等离子体的发生效率,并且,可抑制等离子体离子对分隔气体激励部50的壁面的溅射。
另一方面,通过焊接,将覆盖排气口52、石英制、截面形成コ字形的排气口罩部件66安装在与气体激励部50相对设置的排气口52上。排气罩部件66,沿着处理容器4的侧壁向上方延伸,在处理容器4的上方形成气体出口68。配置有真空泵等的真空排气系统GE与气体出口68连接。真空排气系统GE具有与气体出口68连接的排气通路84,从其上游侧依次配置阀机构(开度调整用阀)86、真空泵88、和除去杂质的除杂机构89。
以包围处理容器4的方式,配置加热处理容器4内的气氛和晶片W用的加热器70。在处理容器4内的排气口52的附近配置控制加热器70用的热电偶(图中没有示出)。
另外,成膜装置2具有由控制整体装置的动作的计算机等构成的主控制部48。主控制部48根据预先存储在它所附带的存储部中的成膜处理的处理方案(recipe)、例如所形成的膜的膜厚或组成进行后述的成膜处理。另外,将处理气体流量与膜的膜厚或组成的关系,作为控制数据,预先存储在该存储部中。因此,主控制部48,根据存储的这些处理方案或控制数据,能够控制升降机构25、气体供给系统28、30、32、排气系统GE(包含阀机构86)、气体激励部50和加热器70等。
随后,说明利用图1所示的装置进行的成膜方法(所谓ALD(Atomic layer Deposition:原子层沉积)成膜)。概略地说,在该成膜方法中,有选择地将包含作为硅烷系气体的二氯硅烷(DCS)气体的第一处理气体和包含作为氮化气体的氨(NH3)气的第二处理气体供给收纳着晶片W的处理区域5内,利用CVD,在晶片W上形成氮化硅膜。
首先,将保持有多片、例如50~100片300mm尺寸晶片W的常温晶舟12装入设定为规定温度的处理容器4内。随后,使处理容器4内真空排气,维持为规定的处理压力,并且,使晶片温度上升,待机至稳定在成膜用的处理温度。接着,分别对包含DCS气体的第一处理气体和包含NH3气的第二处理气体进行流量控制,并从气体分散喷嘴36、34间歇地供给。再者,如后述形式那样,从气体喷嘴38供给由N2气构成的阻挡气体或者说吹扫气体。
具体地是,从气体分散喷嘴36的气体喷射孔36A,供给包含DCS气体的第一处理气体,以形成与晶舟12上的多个晶片W平行的气体流。这期间,DCS气体的分子或由分解产生的分解生成物的分子或原子附着在晶片上。
另一方面,从气体分散喷嘴34的气体喷射孔34A,供给包含NH3气的第二处理气体,以形成向着分隔板54的水平气体流。第二处理气体在通过一对电极58间的等离子体发生区域SP时,被有选择地激励,一部分被等离子体化。这时,生成N*、NH*、NH2*、NH3*等的自由基(活性种)(记号*表示是自由基)。这些自由基,从气体激励部50的分隔板54的气体流路55向着处理容器4的中心流出,在层流状态下供给至晶片W的相互之间。
上述自由基,与附着在晶片W表面上的DCS气体的分子等反应,这样,在晶片W上形成氮化硅膜。此外,与此相反,在晶片W表面上附着有自由基的地方,在有DCS气体流过的情况下,也产生同样的反应,在晶片W上形成氮化硅膜。
另外,在分别将第一处理气体和第二处理气体供给至处理区域5的同时,从气体喷嘴38的气体喷射孔38A,将由不活性气体构成的阻挡气体供给至作为边缘空间的下侧空间S1。这样,可以抑制第一处理气体和第二处理气体流入下侧空间S1,可以提高处理的生产率、处理气体的使用效率、处理的面内均匀性和/或面间均匀性。
即,通过将阻挡气体供给下侧空间S1,可以抑制第一处理气体和第二处理气体流入下侧空间S1,并且能够快速地排出流入的处理气体。由此,可缩短排出处理气体必要的时间,提高生产率。另外,由于利用阻挡气体抑制处理气体流入下侧空间S1,可以减少比较高价的处理气体的浪费消费量。因此,处理气体的使用效率改善,运转成本降低。另外,通过抑制处理气体流入下侧空间S1,处理气体可更均匀地在晶片表面上流动。这样,可提高处理的面均匀性和/或面间均匀性。
图3为表示本发明的第一实施方式的成膜方法的气体供给的时间图。在本实施方式中,阻挡气体兼有吹扫气体的功能,可用以下所述的各种形式供给。在图3中,(A),(B)表示第一处理气体(图3中表示为DCS)和第二处理气体(图3中表示为NH3)供给处理区域5的形式。(C1)~(C6)表示阻挡气体(在图3中表示为N2)供给下侧空间S1的不同形式的6个例子。
如图3所示,在这个实施方式的成膜方法中,交互地重复第一~第四工序T1~T4。即,多次重复由第一~第四工序T1~T4构成的循环,通过层积每个循环形成的氮化硅膜的薄膜,得到最终厚度的碳化硅膜。以下,首先说明采用阻挡气体供给形式的第一例(C1)的情况的处理。
在第一工序T1中,将第一处理气体供给处理区域5,另一方面,停止将第二处理气体供给处理区域5。在第二工序T2中,停止将第一和第二处理气体供给处理区域5。在第三工序T3中,将第二处理气体供给处理区域5,停止将第一处理气体供给处理区域5。另外,在第三工序T3中,接通RF电源60,利用气体激励部50将第二处理气体变成等离子体,由此,在激励状态下将第二处理气体供给处理区域5。在第四工序T4中,停止将第一和第二处理气体供给处理区域5。在第一例(C1)中,将阻挡气体供给下侧空间S1的状态,在第一工序至第四工序中,连续地并以相同的流量继续。另外,优选,在第一工序至第四工序中,经由排气通路84,利用真空排气系统GE连续地对处理区域5进行真空排气。
第二和第四工序T2、T4作为排除残留在处理容器4内的气体的吹扫工序使用。这里,所谓吹扫是指,通过将N2气等不活性气体输入,并对处理容器4内真空排气(与第一例(C1)的情况相当),或停止全部气体的供给,真空排气处理容器4内的气体,除去处理容器4内的残留气体。另外,也可以在第二和第四工序T2、T4的前半部分只进行真空排气,后半部分同时进行真空排气和供给不活性气体。在第一和第三工序T1、T3中,当供给第一或第二处理气体时,可停止处理容器4内的真空排气。但是在对处理容器4内真空排气并进行第一或第二处理气体的供给的情况下,可以在第一~第四工序T1~T4的全部中,连续进行处理容器4内的真空排气。
“设定第一序T1约为1~120秒、例如约5秒,第二工序T2约为1~30秒、例如约5秒,第三工序T3约为1~120秒、例如约10秒,第四工序约为1~30秒、例如约5秒。(OK?)”。另外,通常由第一~第四工序T1~T4的1个循环形成的膜厚为0.05~0.11nm左右。因此,如果目标膜厚为例如50nm,则要重复500次左右该循环。但是这些时间或厚度不过简单地是一个例子,不限于该数值。
通过将阻挡气体供给下侧空间S1可抑制第一处理气体和第二处理气体流入下侧空间S1,并且,可快速地排出流入的处理气体。例如,在成膜处理中,假设进行500次由上述第一~第四工序T1~T4构成的循环,当在1个循环中,气体置换操作能够缩短数秒、例如2秒,则可整体缩短成膜时间1000秒(=2×500),能够提高生产率。
DCS气体流量为50~2000sccm范围内,例如1000sccm(1slm:每分标准升)。NH3气的流量在100~5000sccm范围内,例如3000sccm。N2气体的流量为10~30000sccm范围内,例如5000sccm。处理湿度为比通常的CVD处理低的温度,具体地在250~700℃范围内,优选为350~600℃范围内。当处理温度比250℃低时,不产生反应,几乎没有膜堆积。当处理温度比700℃高时,形成膜质差的CVD堆积膜,并且会对已经形成的金属膜等有热的损伤。
“设定处理压力(处理区域5的压力)在0.2~1Torr(27~133Pa(1Torr=133.3Pa))范围内。这样,能够提高等离子体成膜的膜厚面内均匀性和面间均匀性。当处理压力超过1.0Torr时,活性种急剧地大量失去活性。另一方面,当处理压力比0.2Torr小时,成膜速度在实用水平以下。
与此相对,将等离子体发生区域SP的压力(气体激励部50的压力)设定在例如0.7~5.0Torr(93~667pa)范围内。通过将等离子体发生区域SP的压力维持在比较高,可提高等离子体发生效率,提高等离子体密度。当等离子体发生区域SP的压力超过5.0Torr时,等离子体的点火急剧地恶化。另外,当该压力比0.7Torr小的时候,等离子体发生效率急剧地降低。(OK?)”。
图3表示与第一例(C1)不同的阻挡气体供给形式的第二例(C2)~第六例(C6)。然而,阻挡气体的供给形式不限于这些供给形式,其他各种供给形式也可以。
在第二例(C2)的情况下,在第二和第四工序T2、T4的各后半部分Lt中,完全停止阻挡气体的供给。这样,可促进处理容器4内的残留气体的排出。在第三例(C3)的情况下,在第二和第四工序T2、T4中,完全停止阻挡气体的供给。在这种情况下,停止供给阻挡气体的那部分过程能够抑制阻挡气体的使用量。在第四例(C4)的情况下,与第一和第二处理气体的供给量大小对应,减少供给第一处理气体时的阻挡气体供给量,增大供给第二处理气体时的阻挡气体供给量。另外,在第二和第四工序T2、T4中完全停止供给阻挡气体。在第五例(C5)的情况下,与第四例(C4)相反,增大供给第一处理气体时的阻挡气体的供给量,减小供给第二处理气体时的阻挡气体供给量。在第六例(C6)的情况下,在一个循环的第一~第四工序T1~T4中,使阻挡气体的供给量阶梯状变化,在第二处理气体的供给时成为峰值。
利用这些变形例子,抑制第一处理气体和第二处理气体流入下侧空间S1,能够提高处理的生产率、处理气体的使用效率、处理的面内均匀性和/或面间均匀性。
<实验1>
使用图4所示的不将阻挡气体供给下侧空间S1的装置(比较例)和图5所示的将阻挡气体供给下侧空间S1的装置(实施方式),进行比较实验。在图4和图5中,省略分隔板54的说明。作为被处理基板使用直径为200mm尺寸的晶片。设定包含DCS气体的第一处理气体的流量为100sccm,包含NH3气的第二处理气体的流量为500sccm。在图5的装置中,阻挡气体的供给形式,为如图3的第一例(C1)所示的连续供给。设定作为阻挡气体的N2的流量为1slm。在这种条件下,重复500次由图3所示的第一~第四工序T1~T4构成的循环,进行成膜处理。
图6和图7表示在实验1中,分别利用图4和图5所示的装置得到的晶片位置和膜厚的关系的图形。在图6和图7中,横轴表示晶片面内的位置(mm),纵轴表示膜厚(nm)。另外,在图6和图7中所示的No.5、No.31、No.57表示从在晶舟12中收容的全部61片晶片的下方,依次给与号码时的晶片号码。如图6所示,在比较例的情况下,三片晶片的膜厚的面内均匀性分别为±4.22%,±3.88%和±4.54%。而如图7所示,在实施方式的情况下,三片晶片的膜厚的面内均匀性分别为±3.60%,±2.76%和±2.79%。因此,可确认无论晶片的高度位置如何,都能够提高膜厚的面内均匀性。
图4和图5示意性表示这时的气体的流动。如图4所示,在比较例的情况下,从气体分散喷嘴34、36供给的处理气体大部分向着晶片W在水平方向流动,一部分气体如箭头110A、110B所示流入下侧空间S1或上侧空间S2。因此,成为降低处理的生产率、处理气体的使用效率、处理的面内均匀性和/或面间均匀性的原因。在这种情况下,特别是,由于下侧空间S1的容量比上侧空间S2的容量大得多,因此流入下侧空间S1的处理气体的影响大。
与此相对,如图5所示,在实施方式的情况下,如箭头112A所示,阻挡气体从阻挡气体喷嘴38直接供给下侧空间S1。这样,能够抑制处理气体流入下侧空间S1。因此,可以大大改善上述各个问题。关于将阻挡气体供给上侧空间S2用的喷嘴结构以后说明。
<实验2、3>
在图5所示的装置(实施方式)中,使用阻挡气体的不同供给形式,进行实验。实验2、3的条件,除了闭塞气体的供给形式不同以外,与实验1相同。在实验2中,使用图3的第四例(C4)所示的供给形式,设定作为阻挡气体的N2流量在供给包含DCS气体的第一处理气体时(第一工序)为0.2slm,在供给包含NH3气的第二处理气体时(第三工序)为1sm。在实验3中,使用图3的第五例(C5)所示的供给形式,设定作为阻挡气体的N2的流量在供给包含DCS气体的第一处理气体时(第一工序)为1slm,供给包含NH3气的第二处理气体时(第三工序)为0.2slm。
图8和图9分别是在实验2、3中,利用图5所示的装置得到的表示晶片位置和膜厚的关系的图形。在图8和图9中,横轴表示晶片面内的位置(mm),纵轴表示膜厚(nm)。另外,在图8和图9中所示的No.5、No.31、No.57表示从收容在晶舟12中的全部61片晶片的下方,依次给与号码时晶片的号码。如图8和图9所示,根据阻挡气体的供给形式,膜厚的面内均匀性或面间均匀性变动大。因此,能够确认通过改变阻挡气体的供给量,能够控制膜厚的面内均匀性或面间均匀性。
(第二实施方式)
图10为表示本发明的第二实施方式的立式等离子处理装置(立式等离子体成膜装置)的截面图。图10所示装置除了阻挡气体供给系统32的结构以外,与图1所示装置结构相同。在图10所示的装置中,阻挡气体供给系统32具有向内侧贯通总管8,向上方向弯曲延伸的石英管制成的气体喷嘴38X。气体喷嘴38X的前端超过晶舟12的顶板12b,延伸至处理容器4内的顶棚附近。在气体喷嘴38X上,在相较晶舟12的顶板12b位于上侧的位置上,形成气体喷射孔38B,使其与作为边缘空间的上侧空间S2相对。在该实施方式中,阻挡气体的供给形式也可从图3的第一例(C1)~第六例(C6)所示的形式中选择。
(第三实施方式)
图11为表示本发明的第三实施方式的立式等离子体处理装置(立式等离子体成膜装置)的截面图。图11所示的装置除了阻挡气体供给系统32的结构外,与图1所示装置的结构相同。在图11所示的装置中,与图10所示的装置同样,阻挡气体供给系统32具有向内侧贯通总管8的侧壁,向上方向弯曲延伸的石英管制成的气体喷嘴38Y。气体喷嘴38Y的前端超过晶舟12的顶板12b,延伸至处理容器4内的顶棚附近。在气体喷嘴38Y上,在相较晶舟12的底板12a位于下侧的位置和相较顶板12b位于上侧的位置分别形成气体喷射孔38A、38B,使得其分别与作为边缘空间的下侧空间S1和上侧空间S2相对。在该实施方式中,阻挡气体的供给空间S2相对。在该实施方式中,阻挡气体的供给形式也可从图3的第一例(C1)~第六例(C6)所示的形式中选择。
根据第三实施方式,通过将阻挡气体供给下侧空间S1和上侧空间S2的双方中,能够抑制处理气体流入这两个空间中。因此,能够进一步提高处理的生产率、处理气体的使用效率、处理的面内均匀性和/或面间均匀性。在第三实施方式的情况下,也可以构成为配设两个阻挡气体喷嘴,分别作为在下侧空间S1和上侧空间S2中的专用喷嘴,从各自的喷嘴供给阻挡气体的结构形式。
(变形例子)
在上述实施方式中,不将提供薄膜主要原料的第一处理气体(包含DCS)等离子体化,而将与第一处理气体反应的第二处理气体(包含NH3)等离子体化,但是,根据CVD的类型,有只使提供薄膜主原料的气体等离子体化的情况或使提供薄膜主原料的气体和与它反应的气体两者都等离子体化的情况。
举例表示了DCS气体作为第一处理气体中的硅烷系气体。但关于这一点,作为硅烷系气体,能够使用选自二氯硅烷(DCS)、六氯乙硅烷(HCD)、单硅烷(SiH4)、乙硅烷(Si2H6)、六甲基二硅氮烷(HMDS)、四氯硅烷(TCS)、二甲硅烷基胺(DSA)、三甲硅烷基胺(TSA)、和双叔丁基氨基硅烷(BTBAS)构成的组中的1种以上的气体。
作为第二处理气体中的氮化气体,可以使用氨(NH3)气、氮(N2)气。另外,在将本发明使用在硅氧氮化膜的形成中的情况下,可以使用一氧化二氮(N2O)、一氧化氮(NO)类的氧氮化气体代替氮化气体。另外,在形成硅氧化膜中使用本发明的情况下,可以使用氧(O2)、臭氧(O3)那样的氧化气体代替氮化气体。
除了上述各气体外,添加导入杂质元素用的BCl3气体或导入碳用的乙烯等杂质气体也可以。另外,作为成膜处理,不仅限于上述的ALD处理,在其他成膜处理、例如等离子体CVD处理中也能够使用本发明。本发明在上述等离子体成膜处理以外的等离子体处理、例如等离子体蚀刻处理、等离子体氧化扩散处理、等离子体改性处理等中也能够使用。另外,本发明也能够适用于上述半导体晶片以外的被处理基板、例如玻璃基板、陶瓷基板等中。
本领域技术经验丰富的人很容易知道另外的优点和改进。因此,广义来说,本发明不局限于所述的具体细节和代表性的实施方式。另外,在不偏离由权利要求及其等价文件确定的本发明的一般概念的精神或范围的条件下可作各种改进。

Claims (20)

1.一种半导体处理用的立式等离子体处理装置,其特征在于,包括:
处理容器,具有收纳隔开间隔而层积的多个被处理基板的处理区域和偏离所述处理区域的边缘空间;
在所述处理区域内支承所述被处理基板的支承部件;
激励机构,具有配置在与所述处理区域连通的空间内的等离子体发生区域,其中,所述等离子体发生区域横跨与所述处理区域对应的上下方向的长度;
向所述处理区域供给处理气体的处理气体供给系统,其中,所述处理气体在通过所述等离子体发生区域时被激励,以形成与所述处理区域水平的气体流的方式供给所述处理气体;
对所述处理区域内进行排气的排气系统,其中,所述排气系统具有夹住所述处理区域并与所述等离子体发生区域相对的排气口;
向所述边缘空间供给阻挡气体的阻挡气体供给系统,其中,所述阻挡气体供给系统不将所述阻挡气体直接供给所述处理区域,而直接将所述阻挡气体供给所述边缘空间;和
控制所述装置的动作的控制部,其中,所述控制部进行控制,使得在处理所述被处理基板时,同时从所述处理气体供给系统向所述处理区域供给所述处理气体、和从所述阻挡气体供给系统向所述边缘空间供给所述阻挡气体,由此,抑制所述处理气体流入所述边缘空间。
2.根据权利要求1所述的装置,其特征在于:
所述边缘空间具有分别位于所述处理区域的下侧和上侧的下侧空间和上侧空间中的一个,所述阻挡气体供给系统具有向所述边缘空间开口的供给口。
3.根据权利要求1所述的装置,其特征在于:
所述边缘空间具有分别位于所述处理区域的下侧和上侧的下侧空间和上侧空间,所述阻挡气体供给系统具有分别向所述下侧空间和所述上侧空间开口的下侧供给口和上侧供给口。
4.根据权利要求3所述的装置,其特征在于:
所述支承部件构成为在底板和顶板之间支承所述被处理基板,所述下侧供给口在所述底板的下侧开口,所述上侧供给口在所述顶板的上侧开口。
5.根据权利要求1所述的装置,其特征在于:
所述排气口配置为横跨与所述处理区域对应的上下方向的长度。
6.根据权利要求5所述的装置,其特征在于:
当处理所述被处理基板时,所述控制部进行控制,使得同时从所述处理气体供给系统将所述处理气体供给所述处理区域、从所述阻挡气体供给系统将所述阻挡气体供给所述边缘空间、和从所述排气口排出所述处理容器内的气体。
7.根据权利要求3所述的装置,其特征在于:
所述下侧供给口和所述上侧供给口形成于沿上下方向在所述处理容器中延伸的共同的喷嘴上,所述喷嘴在与所述处理区域对应的位置上没有开口。
8.根据权利要求1所述的装置,其特征在于:
还包括配置在所述处理区域和所述等离子体发生区域之间、带有绝缘性表面的分隔板,所述分隔板具有横跨与所述处理区域对应的上下方向长度而配置的气体流路。
9.根据权利要求8所述的装置,其特征在于:
所述气体流路具有横跨所述处理区域、沿上下方向配置的多个气体扩散孔,以形成与所述多个被处理基板平行的气体流。
10.一种半导体处理用的立式等离子体处理装置的处理方法,其特征在于:
所述装置包括,
处理容器,具有收纳隔开间隔而层积的多个被处理基板的处理区域和偏离所述处理区域的边缘空间;
在所述处理区域内支承所述被处理基板的支承部件;
激励机构,具有配置在与所述处理区域连通的空间内的等离子体发生区域,其中,所述等离子体发生区域横跨与所述处理区域对应的上下方向的长度;
向所述处理区域供给处理气体的处理气体供给系统,其中,所述处理气体在通过所述等离子体发生区域时被激励,以形成与所述处理区域水平的气体流的方式供给所述处理气体;
对所述处理区域内进行排气的排气系统,其中,所述排气系统具有夹住所述处理区域并与所述等离子体发生区域相对的排气口;和
向所述边缘空间供给阻挡气体的阻挡气体供给系统,其中,所述阻挡气体供给系统不将所述阻挡气体直接供给所述处理区域,而直接将所述阻挡气体供给所述边缘空间,
所述方法具有如下工序,在处理所述被处理基板时,同时从所述处理气体供给系统向所述处理区域供给所述处理气体、和从所述阻挡气体供给系统向所述边缘空间供给所述阻挡气体,由此,抑制所述处理气体流入所述边缘空间。
11.一种半导体处理用的立式等离子体成膜装置,其特征在于,包括:
处理容器,具有收纳隔开间隔而层积的多个被处理基板的处理区域和偏离所述处理区域的边缘空间;
在所述处理区域内支承所述被处理基板的支承部件;
加热所述处理区域内的所述被处理基板的加热器;
激励机构,具有配置在与所述处理区域连通的空间内的等离子体发生区域,其中,所述等离子体发生区域横跨与所述处理区域对应的上下方向的长度;
处理气体供给系统,为了在所述被处理基板上堆积薄膜,有选择地将提供所述薄膜的主原料的第一处理气体、和与所述第一处理气体反应的第二处理气体供给所述处理区域,其中,所述第一和第二处理气体的至少一个在通过所述等离子体发生区域时被激励,以形成与所述处理区域水平的气体流的方式,供给所述第一和第二处理气体;
对所述处理区域内进行排气的排气系统,其中,所述排气系统具有夹住所述处理区域并与所述等离子体发生区域相对的排气口;
向所述边缘空间供给阻挡气体的阻挡气体供给系统,其中,所述阻挡气体供给系统不将所述阻挡气体直接供给所述处理区域,而直接将所述阻挡气体供给所述边缘空间;和
控制所述装置的动作的控制部,其中,所述控制部为了在所述被处理基板上形成所述薄膜,多次反复将所述第一处理气体供给所述处理区域、和将所述第二处理气体供给所述处理区域,这时,通过同时从所述处理气体供给系统分别将所述第一和第二处理气体供给所述处理区域、和从所述阻挡气体供给系统将所述阻挡气体供给所述边缘空间,抑制所述第一和第二处理气体分别流入所述边缘空间。
12.根据权利要求11所述的装置,其特征在于:
所述边缘空间具有分别位于所述处理区域的下侧和上侧的下侧空间和上侧空间中的一个,所述阻挡气体供给系统具有向所述边缘空间开口的供给口。
13.根据权利要求11所述的装置,其特征在于:
所述边缘空间具有分别位于所述处理区域的下侧和上侧的下侧空间和上侧空间,所述阻挡气体供给系统具有分别向所述下侧空间和所述上侧空间开口的下侧供给口和上侧供给口。
14.根据权利要求13所述的装置,其特征在于:
所述支承部件构成为在底板和顶板之间支承所述被处理基板,所述下侧供给口在所述底板的下侧开口,所述上侧供给口在所述顶板的上侧开口。
15.根据权利要求11所述的装置,其特征在于:
还包括配置在所述处理区域和所述等离子体发生区域之间、带有绝缘性表面的分隔板,所述分隔板具有横跨与所述处理区域对应的上下方向长度进行配置的气体流路。
16.根据权利要求11所述的装置,其特征在于:
所述排气口配置为横跨与所述处理区域对应的上下方向的长度。
17.根据权利要求16所述的装置,其特征在于:
为了在所述被处理基板上形成所述薄膜,所述控制部进行控制,使得交互地进行下述工序,
将所述第一处理气体供给所述处理区域的第一工序;
停止将第一和第二处理气体供给所述处理区域的第二工序;
将所述第二处理气体供给所述处理区域的第三工序;和
停止将第一和第二处理气体供给所述处理区域的第四工序,并且,
贯穿所述第一工序至第四工序,连续地从所述排气口对所述处理容器内进行排气。
18.根据权利要求17所述的装置,其特征在于:
贯穿所述第一工序至第四工序,所述控制部连续地从所述阻挡气体供给系统将所述阻挡气体供给至所述边缘空间。
19.根据权利要求17所述的装置,其特征在于:
所述控制部,在所述第二和第四工序中,停止从所述阻挡气体供给系统将所述阻挡气体供给至所述边缘空间。
20.根据权利要求17所述的装置,其特征在于:
所述控制部,在所述第二和第四工序中,设置有从所述阻挡气体供给系统将所述阻挡气体供给至所述边缘空间的前半期间和停止供给的后半期间。
CN200710095828XA 2006-04-05 2007-04-05 立式等离子体处理装置和半导体处理方法 Active CN101051606B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2006104730 2006-04-05
JP2006104730 2006-04-05
JP2006-104730 2006-04-05
JP2006116021 2006-04-19
JP2006-116021 2006-04-19
JP2006116021A JP4929811B2 (ja) 2006-04-05 2006-04-19 プラズマ処理装置

Publications (2)

Publication Number Publication Date
CN101051606A CN101051606A (zh) 2007-10-10
CN101051606B true CN101051606B (zh) 2010-05-26

Family

ID=38573786

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200710095828XA Active CN101051606B (zh) 2006-04-05 2007-04-05 立式等离子体处理装置和半导体处理方法

Country Status (5)

Country Link
US (2) US20070234961A1 (zh)
JP (1) JP4929811B2 (zh)
KR (1) KR101122964B1 (zh)
CN (1) CN101051606B (zh)
TW (1) TWI515763B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105821393A (zh) * 2015-01-22 2016-08-03 应用材料公司 使用空间上分开的注入器腔室进行的对膜的原子层沉积

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
WO2006093136A1 (ja) * 2005-03-01 2006-09-08 Hitachi Kokusai Electric Inc. 基板処理装置および半導体デバイスの製造方法
JPWO2007111348A1 (ja) * 2006-03-28 2009-08-13 株式会社日立国際電気 基板処理装置
JP5090097B2 (ja) * 2007-07-26 2012-12-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
JP5222652B2 (ja) * 2008-07-30 2013-06-26 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5099101B2 (ja) * 2009-01-23 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
JP5658463B2 (ja) * 2009-02-27 2015-01-28 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5136574B2 (ja) * 2009-05-01 2013-02-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20120156887A1 (en) * 2009-08-27 2012-06-21 Youhei Ono Vacuum processing apparatus and vacuum processing method
JP5655429B2 (ja) * 2009-08-28 2015-01-21 三菱マテリアル株式会社 多結晶シリコンの製造方法、製造装置及び多結晶シリコン
KR101458195B1 (ko) * 2009-09-25 2014-11-05 주식회사 티지오테크 배치식 에피택셜층 형성장치 및 그 형성방법
US8409352B2 (en) * 2010-03-01 2013-04-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, method of manufacturing substrate and substrate processing apparatus
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP5687547B2 (ja) * 2010-06-28 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5646984B2 (ja) * 2010-12-24 2014-12-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2012142386A (ja) * 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
JP2012174782A (ja) * 2011-02-18 2012-09-10 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
JP5723243B2 (ja) * 2011-08-11 2015-05-27 東京エレクトロン株式会社 成膜方法、これを含む半導体装置の製造方法、成膜装置、及び半導体装置
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5945430B2 (ja) * 2012-02-29 2016-07-05 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置、及びプログラム
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
CN103165497B (zh) * 2013-02-20 2015-09-30 上海华力微电子有限公司 一种氧化反应炉及利用该反应炉进行氧化反应的方法
JP6258657B2 (ja) * 2013-10-18 2018-01-10 東京エレクトロン株式会社 成膜方法および成膜装置
JP6113626B2 (ja) * 2013-10-21 2017-04-12 東京エレクトロン株式会社 プラズマ処理装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
KR20160026572A (ko) 2014-09-01 2016-03-09 삼성전자주식회사 기판 처리 장치
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
TWI611043B (zh) 2015-08-04 2018-01-11 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及記錄媒體
WO2017037937A1 (ja) * 2015-09-04 2017-03-09 株式会社日立国際電気 反応管、基板処理装置および半導体装置の製造方法
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
WO2017138087A1 (ja) * 2016-02-09 2017-08-17 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
CN105543955A (zh) * 2016-02-26 2016-05-04 上海华力微电子有限公司 多晶硅制备之立式炉管及其制备方法
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
JP6568508B2 (ja) * 2016-09-14 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10115607B2 (en) 2016-09-16 2018-10-30 Applied Materials, Inc. Method and apparatus for wafer outgassing control
JP6715739B2 (ja) * 2016-10-03 2020-07-01 株式会社アルバック ハースユニット、蒸発源および成膜装置
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10217630B2 (en) 2016-11-24 2019-02-26 Tokyo Electron Limited Method of forming silicon-containing film
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
KR102559965B1 (ko) 2018-03-23 2023-07-25 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP7064577B2 (ja) * 2018-03-30 2022-05-10 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
KR102034766B1 (ko) * 2018-04-12 2019-10-22 주식회사 유진테크 기판 처리 장치 및 기판 처리 방법
SG11202111962QA (en) 2019-05-01 2021-11-29 Lam Res Corp Modulated atomic layer deposition
WO2020247977A1 (en) 2019-06-04 2020-12-10 Lam Research Corporation Polymerization protective liner for reactive ion etch in patterning
JP6731527B2 (ja) * 2019-08-02 2020-07-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP2022544104A (ja) 2019-08-06 2022-10-17 ラム リサーチ コーポレーション シリコン含有膜の熱原子層堆積
CN110408912A (zh) * 2019-09-11 2019-11-05 光驰科技(上海)有限公司 一种多片式旋转等离子体增强原子层沉积成膜装置
JP7296855B2 (ja) * 2019-11-07 2023-06-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP7399260B2 (ja) * 2020-03-25 2023-12-15 株式会社Kokusai Electric 基板処理装置、基板処理方法、半導体装置の製造方法、プログラム、およびインナーチューブ
CN111690910B (zh) * 2020-08-04 2024-12-24 光驰科技(上海)有限公司 一种光学薄膜用等离子体增强原子层沉积设备的布气装置
KR102614922B1 (ko) * 2020-12-30 2023-12-20 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN116180051A (zh) * 2023-02-20 2023-05-30 厦门韫茂科技有限公司 一种用于批次式成膜的原子层沉积装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1497677A (zh) * 2002-10-16 2004-05-19 夏普株式会社 半导体器件及其制造方法以及等离子加工装置
CN1738922A (zh) * 2003-07-01 2006-02-22 东京毅力科创株式会社 利用等离子体cvd的成膜方法和成膜装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0645256A (ja) 1992-07-21 1994-02-18 Rikagaku Kenkyusho ガスパルスの供給方法およびこれを用いた成膜方法
JPH06136542A (ja) * 1992-10-21 1994-05-17 Sharp Corp プラズマcvd装置
US5939333A (en) * 1996-05-30 1999-08-17 Micron Technology, Inc. Silicon nitride deposition method
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
JP3529989B2 (ja) 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
KR100560867B1 (ko) * 2000-05-02 2006-03-13 동경 엘렉트론 주식회사 산화방법 및 산화시스템
JP2004095953A (ja) * 2002-09-02 2004-03-25 Canon Inc 窒化シリコンの堆積膜形成方法
CN100350574C (zh) 2003-01-24 2007-11-21 东京毅力科创株式会社 在被处理基板上形成硅氮化膜的cvd方法
US20050130448A1 (en) * 2003-12-15 2005-06-16 Applied Materials, Inc. Method of forming a silicon oxynitride layer
JP2005197541A (ja) * 2004-01-09 2005-07-21 Hitachi Kokusai Electric Inc 基板処理装置
JP4396547B2 (ja) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1497677A (zh) * 2002-10-16 2004-05-19 夏普株式会社 半导体器件及其制造方法以及等离子加工装置
CN1738922A (zh) * 2003-07-01 2006-02-22 东京毅力科创株式会社 利用等离子体cvd的成膜方法和成膜装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105821393A (zh) * 2015-01-22 2016-08-03 应用材料公司 使用空间上分开的注入器腔室进行的对膜的原子层沉积

Also Published As

Publication number Publication date
KR20070100125A (ko) 2007-10-10
US20070234961A1 (en) 2007-10-11
CN101051606A (zh) 2007-10-10
US20090181548A1 (en) 2009-07-16
TW200816265A (en) 2008-04-01
KR101122964B1 (ko) 2012-03-15
US7825039B2 (en) 2010-11-02
TWI515763B (zh) 2016-01-01
JP2007299776A (ja) 2007-11-15
JP4929811B2 (ja) 2012-05-09

Similar Documents

Publication Publication Date Title
CN101051606B (zh) 立式等离子体处理装置和半导体处理方法
CN101042992B (zh) 半导体处理用的立式等离子体处理装置
US7094708B2 (en) Method of CVD for forming silicon nitride film on substrate
US7351668B2 (en) Film formation method and apparatus for semiconductor process
US7507676B2 (en) Film formation method and apparatus for semiconductor process
KR101086588B1 (ko) 반도체 처리용의 성막 방법 및 장치와, 컴퓨터에서 판독가능한 매체
KR100954243B1 (ko) 반도체 처리용 성막 장치 및 방법과 컴퓨터로 판독 가능한 매체
US9177786B2 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
KR101140069B1 (ko) 반도체 처리용의 성막 방법 및 장치 및 컴퓨터로 판독가능한 매체
US7758920B2 (en) Method and apparatus for forming silicon-containing insulating film
US8257789B2 (en) Film formation method in vertical batch CVD apparatus
US7632757B2 (en) Method for forming silicon oxynitride film
US10224185B2 (en) Substrate processing apparatus
KR20080001646A (ko) 성막 장치 및 그 사용 방법
TW201347037A (zh) SiCN膜之形成方法及裝置
US7427572B2 (en) Method and apparatus for forming silicon nitride film
KR20070016071A (ko) 실리콘 함유 절연막의 성막 방법 및 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant