[go: up one dir, main page]

Academia.eduAcademia.edu
EUVL Reticle Defectivity Evaluation A. Tchikoulaeva*a, U. Okoroanyanwu b, O. Wood b, B. La Fontainec, C. Holfeldd, S. Kinie, M.Peikertf, C. Boyeg, C.-S. Koayg, K. Petrillog, H. Mizunoh a AMD Saxony LLC & Co. KG, Wilschdorfer Landstrasse 101, D-01109 Dresden, Germany; b Advanced Micro Devices, 255 Fuller Road, Albany, NY 12203, USA; c Advanced Micro Devices, One AMD Place, Sunnyvale CA 94088; dAdvanced Mask Technology Center, Raehnitzer Allee 9, D-01109 Dresden, Germany; e KLA-Tencor, 20 Corporate Park Drive, Hopewell Junction, NY 12533, USA; f KLA-Tencor GmbH, Moritzburger Weg 67, D-01109 Dresden, Germany; g IBM Corporation, 255 Fuller Road, Albany, NY 12203, USA; h Toshiba America Electronics Components, 255 Fuller Road, Albany, NY 12203, USA ABSTRACT Reticle defectivity was evaluated using two known approaches: direct reticle inspection and the inspection of the wafer prints. The primary test vehicle was a reticle with a design consisting of 45 nm and 60 nm comb and serpentine structures in different orientations. The reticle was inspected in reflected light on the KLA 587 in a die-todie and a die-to-database mode. Wafers were exposed on a 0.25 NA full-field EUV exposure tool and inspected on a KLA 2800. Both methods delivered two populations of defects which were correlated to identify coinciding detections and mismatches. In addition, reticle defects were reviewed using scanning electron microscopy (SEM) to assess the printability. Furthermore, some images of the defects found on the 45 nm reticle used in the previous study [1] were collected using actinic (EUV) microscopy. The results of the observed mask defects are presented and discussed together with a defect classification. Keywords: EUVL reticle, defectivity, wafer inspection, blank defects, multilayer defects 1. INTRODUCTION Defectivity control will continue to be a key issue for lithography as the technology moves from Deep Ultraviolet (DUV) lithography to Extreme Ultraviolet (EUV) lithography. It is important to identify and develop solutions for defectivity issues as early as possible. This enables a more accurate assessment of the EUV technology and brings forward areas which need attention before EUV lithography can be implemented for IC fabrication. Wafer defects can be divided into two groups. The first one is formed by repeater defects, resulting from defects on the reticle. The other one is commonly referred to as a random defectivity, coming directly from the scanner and wafer processing. Mask defects are considered the biggest challenge for EUVL technology and are the main focus of this study. Reticle defect classes include pattern defects, particles and blank defects (both absorber and multilayer). There is an urgent need to understand the nature of these defects and their printability to assess the readiness of EUV technology. In addition, the ability of current inspection tools to detect these defects needs to be investigated in detail and quantified where possible. 2. EXPERIMENTAL 2.1 Reticle Design and Manufacturing The reticle was manufactured using a Schott-Lithotec blank. The defectivity level for this generation of blanks is estimated to be around 30-60/cm², at a sensitivity of 80 nm polystyrene latex sphere (PSL) equivalent. It consists of a TaN absorber with anti-reflecting coating on top of a SiO2 buffer layer and a silicon capped multilayer. The antiAlternative Lithographic Technologies, edited by Frank M. Schellenberg, Bruno M. La Fontaine Proc. of SPIE Vol. 7271, 727117 · © 2009 SPIE · CCC code: 0277-786X/09/$18 · doi: 10.1117/12.815525 Proc. of SPIE Vol. 7271 727117-1 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 10/28/2015 Terms of Use: http://spiedigitallibrary.org/ss/TermsOfUse.aspx reflective coating of the absorber was optimized for the wavelength of the KLA mask inspection tool in order to enhance the pattern contrast during defect inspection. The mask substrate was a low-thermal expansion material coated with a conductive backside coating to allow chucking in the EUV exposure tool. The mask manufacturing uses process steps similar to those used in the production of masks for 193nm lithography. The pattern is inscribed into resist by an e-beam writer which after development prevents etching of the TaN absorber. The resist is then stripped off and the only 10nm buffer layer is wet-etched in the open areas. The mask pattern finally consists of the dark TaN structures on the EUV-reflecting multilayer. There are two types of defect monitor modules (A and B) on the mask (Fig. 1). Their design is based on trenches with sizes of 45 nm and 60 nm for modules A and B respectively. The test area on the reticle is composed of 5 Ablocks and 2 B-blocks. Each of these blocks is divided into 4 sections with serpentine and comb patterns in horizontal and vertical orientations, as shown in Fig. 2. The average pattern density of these blocks is about 50% for the top sections and 75% for the bottom sections. The corresponding areas covered by each of the A and B blocks at the wafer level are 0.0215 cm2 and 0.0375 cm2 respectively. - 1.87 mm t E E Lfl A area = 0.0215 cm2 CD=45nm 2.5 mm B area = 0.0375 cm2 CD=6Onm 9-nm_pitch defect monitors Fig. 1: Overview of the reticle layout and of the defect monitoring modules. The sub-field used for this study comprises 5 defect monitoring modules with 45 nm trench width and 2 other modules with 60 nm trench width. Proc. of SPIE Vol. 7271 727117-2 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 10/28/2015 Terms of Use: http://spiedigitallibrary.org/ss/TermsOfUse.aspx Fig. 2: Design details of the defect monitoring modules. Serpentine and comb structures are laid out in a very repetitive fashion, which allows cell-to-cell inspection of the printed wafers. They also allow electrical testing for open- or short-circuit conditions. The top portion has an absorber density of 50% while the bottom part has an absorber density of 75%. 2.2 Printing and Wafer Inspection The ASML Alpha Demo Tool (ADT) installed at the College of Nanoscale Science and Engineering in Albany NY was used for the exposures. The ADT is a 0.25 NA full–field (26mm × 33mm) step-and-scan system. There were two resist systems used for wafer exposure: Shinetsu-SEVR40 and TOK-P1123. Each wafer was either printed using a 5 × 7 a focus-exposure matrix (FEM) or using a 5 × 7 matrix exposed at best dose and best focus. All prints were made on bare Si wafers. Wafer inspection was performed at the same facility using a KLA-Tencor 2800 tool. The 2800 tool platform has multiple optical and spectral mode capabilities. After several iterations with the recipe optimization, G-line bright field with 90 nm pixel size was identified as an optimal inspection mode. For this specific layout, cell-to-cell mode had to be used to detect repeater defects. Within each of the monitor blocks there are four different test structures available, which requires the same number of cell-to-cell setups, because the cell size and patterns are different. As a result, there were eight test regions (T1-T8) set-ups for modules A and B, where different thresholds and repeater rules could be applied. The total inspection area amounted to 6.39 cm² on the wafer level per exposure field. 2.3 Reticle inspection It is important to note, that at the time of the reticle manufacturing no outgoing pattern inspection in the areas of interest could be performed. The reticle inspection data for this study has been generated right after the exposures at the ADT. For this purpose the reticle was shipped back to AMTC after it had been used at the Albany facility for an extended period of time. Reticle inspection was performed on a KLA587 tool at the AMTC facilities. The reticle was inspected in a die-to-die and die-to-database mode in reflected light. The die-to-database capability has recently become available and is absolutely critical during the technology development phase since most of the test masks are single-die layouts in this phase. In this particular case, module A could only be inspected in die-to-database mode, while module B was inspected both in die-to-die and die-to-database modes. A pixel size of 72 nm was used and it is expected to detect defects of interest without inspectability issues for the CD sizes considered in this analysis. Proc. of SPIE Vol. 7271 727117-3 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 10/28/2015 Terms of Use: http://spiedigitallibrary.org/ss/TermsOfUse.aspx 3. RESULTS AND DISCUSSION 3.1 Wafer inspection results There were 349 repeater defects detected on the wafer printed using the SEVR40 resist. A strong variation of the number of repeater defects is observed across the slit, with an increased defect count in blocks A1, A2 and A5, as can be seen in the bottom part of fig.3. This signature is believed to be caused by a non-uniform dose distribution across the slit with variations exceeding normal process variations and driving CD values out of the process window. For the rest of this study, we focus on defects found only in the A3, A4, B1 and B2 blocks. We also note that the data collected indicates that more defects are found in the top portion of the defectivity modules, which is consistent with a larger fraction of reflective area (trenches) on the top portion compared to the bottom portion. A3 A4 A2 Al 81 32 Fig. 3: Schematics of the wafer inspection test layout (top) and the stacked repeater map (bottom). 3.2 Reticle inspection results The results from the mask inspection are summarized in Table 1, which lists the defects found by the KLA 587, binned in 5 different classes. Every defect detected by the reticle inspection tool was reviewed on the mask using a SEM tool, to build a classification of the defect population. The corresponding repeater defects on the wafer were also reviewed in a SEM tool. We note here that most of the defects on this mask can be assigned to patterning or processing, and that blank defects detected by the reticle inspection tool are very few. A3 A4 B1 B2 Cleaning residue 17 22 14 27 Particle 8 4 9 8 Pattern defects 3 1 6 3 Blank Defects 1 0 0 1 Nuisance 19 45 29 46 Total 48 72 58 85 Tab. 1: Classification of mask defects based on SEM review of the mask. Proc. of SPIE Vol. 7271 727117-4 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 10/28/2015 Terms of Use: http://spiedigitallibrary.org/ss/TermsOfUse.aspx 3.3 Cross-correlation The next step in the study is to compare results from reticle and wafer inspection with SEM review of the detected defect locations on the wafer. This comparison shows that most of the defects found on the reticle were not printable on the wafer, as can be seen in figure 4. This figure displays Venn diagrams of the defects found with the reticle inspection tool, repeater defects found with the wafer inspection tool, and defects that were readily identifiable during wafer SEM review. Fig. 4 (a) corresponds to defect statistics from the A-blocks with 45 nm trenches on the wafer while fig. 4(b) corresponds to defect statistics from the B-blocks with 60 nm trenches on the wafer. For the smaller CD case (module A), it is interesting to note that the wafer inspection tool seems to detect far more ‘false defects’, which could be related to the need for a better optimization of the inspection recipe. Finally, there are real defects, confirmed through wafer SEM inspection, that are detected only by the wafer inspection tool but not by the reticle inspection and vice versa. This highlights the shortcomings of each of these tools but also their complementary nature. (a) – 45 nm trenches Wafer repeater defects: 29 total Wafer repeater defects: 13 total Mask defects: 56 total 1 17 (b) – 60 nm trenches 45 60 0 10 1 Mask defects: 96 total 10 11 3 26 Defects visible on wafer during SEM review: 39 total Defects visible on wafer during SEM review: 22 total Fig. 4: Defect statistics for modules A (45 nm trench) and B (60 nm trench). From these statistics, we can infer numbers for reticle and blank defectivity levels both for 45 nm and 60 nm trench patterns. These results are presented in Table 2 below. The total reticle defectivity numbers are rather high for this study. This is because the reticle was not patterned at AMTC but at a site with less stringent protocols for cleanliness, as well as final inspection and cleaning procedures. In contrast, the detected blank defectivity is rather low. Based on the blank defectivity estimates stated in section 2.1 and on the area covered by absorbers in the modules inspected, we would have expected a ‘printable’ blank defectivity on the wafer of 11-23/cm2. The actual number of printable blank defects that were detected in this study was approximately 10% of the expected number. Module A (45 nm trenches) Module B (60 nm trenches) Total detected reticle defectivity 32/cm2 33/cm2 Detected blank defectivity ~1/cm2 1-3/cm2 Tab. 2: Defect printability statistics for all detected reticle defects and for detected blank defects. The numbers are given at the wafer level. Proc. of SPIE Vol. 7271 727117-5 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 10/28/2015 Terms of Use: http://spiedigitallibrary.org/ss/TermsOfUse.aspx There are several possible reasons for this discrepancy in detected versus expected printable blank defect levels. First, it is reasonable to expect that blank defect would not be readily detectable in an optical reticle inspection tool when such defects might be covered by the multilayer reflective film on the mask. Second, detecting all relevant blank defects through wafer printing and inspection is challenging at this time and requires optimization. Finally, some of the reticle defect do not print or have marginal printability. This could be due, for instance, to the finite resolution of the resist process used. 3.4 Review of typical defects The first category of defects considered corresponds to those detected by all methods used in this study. Inspection and review results from a sample defect from this category are displayed in figure 5. While the defect is clearly visible at reticle inspection, reticle review, and at wafer review, the signal is much weaker in the wafer inspection tool. (a) I (b) (c) (d) Fig. 5: Sample defect found with all tools. (a) wafer-based inspection; (b) wafer SEM review; (c) reticle inspection; and (d) reticle SEM review. The defect location is encircled. The second category of defects that we discuss in this section is believed to consist of blank defects. These defects could not be detected by the reticle inspection tool but were detected by the inspection of printed wafers. Sample defects from this group are shown in figure 6. The wafer inspection signals from 3 different defects presented in figure 6(a) are clearly visible over the noise level, and the corresponding SEM images of the printed wafers (fig. 6(b)) offer a clear identification of these defects, despite the fact that they are not detected during reticle inspection nor are they readily visible during reticle SEM review. This makes these defects likely candidates for being blank defects. (a) U (b) JIIfb Fig. 6: Sample defects found only on wafers: (a) wafer-based inspection; (b) wafer SEM review. The defect locations are encircled. Proc. of SPIE Vol. 7271 727117-6 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 10/28/2015 Terms of Use: http://spiedigitallibrary.org/ss/TermsOfUse.aspx The third and final class of defects found during this current study comprises reticle defects that either do not print or else printed only marginally on the wafer. Two examples of such defects are presented in figure 7, where they can be clearly seen in the reticle SEM review image (fig.7(a)) but did not print on the wafer (fig.7(b)). Fig. 7: Sample defects found on the reticle that did not print on wafers: (a) Reticle SEM images; (b) Wafer SEM images. The defect locations on the reticle are encircled. 3.5 Comparison with the previously reported results In general, the results of the current study are consistent with the earlier defectivity evaluation performed using 45 nm test vehicle [1,2]. In addition to reticle inspection and inspection of the wafer prints, this 45-nm node study included SRAM yield tests. Since the publication of these results, imaging of the defects using actinic inspection tool (AIT) in Berkley was completed. (a) iirniiiiii (b) Fig. 8: Sample actinic review images acquired using the actinic inspection tool (AIT) at LBNL. SEM images of the reticle are shown at the top and AIT images appear on the lower part of the figure. Examples of (a) a non-printable defect, and (b) a defect that printed but did not matter electrically. Proc. of SPIE Vol. 7271 727117-7 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 10/28/2015 Terms of Use: http://spiedigitallibrary.org/ss/TermsOfUse.aspx Figure 8 shows two examples of the reticle defects imaged on the AIT. The first example is a non-printable defect, while the second example is a defect that printed but did not matter electrically. Even though these defects are irrelevant to device performance, both of them are clearly visible on the reticle SEM and on the AIT image. The two studies carried out so far indicate that fewer defects than expected seem to be printable; only a few defects were detected and an even smaller number of them had an impact on yield. Possible reasons for this discrepancy include marginal printability of some defects, low sensitivity of the inspection tools to detect such defects and finally electrical irrelevance of some other defects. 4. CONCLUSION The printability of EUVL blank and reticle defects is being investigated through a series of mask patterning and wafer printing exercises. A number of inspections of the reticle and of the printed wafers were performed using state-of-the-art tools currently used in 45 and 32 nm technologies. Both reticle inspection and wafer inspection tools are facing the challenges when used to assess EUV reticle defectivity. For wafer inspection, is the challenge lies mainly with the new resist and the imaging quality, which introduces an increased level of noise along with a requirement to detect small CD variations. For reticle inspection, tools have to deal with reflected signal only and the need to detect “buried” multilayer defects. Even though there is an overlapping region of the defect populations, there are still a number of defects detected only by one method. This fact drives the need for a combined approach until we find a reliable way to detect all defects that matter. In summary, the number of printable blank defects appears to be much lower than expected. While defect density reported for the generation of blanks used in this study is ~11-23/cm², the actual density of detected defects is accounts only for ~1-3/cm². The reasons for this apparent discrepancy are marginal printability of the most of defects and as a consequence, the difficulty to detect them on the wafer. The optimization of the inspections as well as alternative approaches for defect detections must be addressed in the further studies. 5. ACKNOWLEDGMENTS The authors gratefully acknowledge the contributions of the ASML team in Albany for all their help with wafer exposures and setting up processes. We are particularly indebted to Kevin Cummings, Robert Routh, Thomas Laursen, Bill Pierson, Sang-In Han, and Youri van Dommelen. REFERENCES [1] [2] A. Tchikoulaeva et al., A Practical Approach to EUV Reticle Inspection, International Symposium on Extreme Ultraviolet Lithography, Sep.28 – Oct. 1, 2008, Lake Tahoe, USA K. D. Cummings, T. Laursen, B. Pierson, S.-I. Han, R. Watso, Y. van Dommelen, B. Lee, Y. Deng, B. La Fontaine, T. Wallow, U. Okoroanyanwu, O. Wood, A. Tchikoulaeva, C. Holfeld, J. H. Peters, C.-S. Koay, K. Petrillo, T. DiBiase, S. Kini, H. Mizuno, An investigation of EUV lithography defectivity, Proc. SPIE 7122, 71222G (2008) Proc. of SPIE Vol. 7271 727117-8 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 10/28/2015 Terms of Use: http://spiedigitallibrary.org/ss/TermsOfUse.aspx