KR20110040933A - H₂플라즈마 처리법을 이용한 유기 선폭 조도의 개선 - Google Patents
H₂플라즈마 처리법을 이용한 유기 선폭 조도의 개선 Download PDFInfo
- Publication number
- KR20110040933A KR20110040933A KR1020117003635A KR20117003635A KR20110040933A KR 20110040933 A KR20110040933 A KR 20110040933A KR 1020117003635 A KR1020117003635 A KR 1020117003635A KR 20117003635 A KR20117003635 A KR 20117003635A KR 20110040933 A KR20110040933 A KR 20110040933A
- Authority
- KR
- South Korea
- Prior art keywords
- layer
- processing
- etching
- mask
- gas
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
- H01L21/0275—Photolithographic processes using lasers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Optics & Photonics (AREA)
- Drying Of Semiconductors (AREA)
- Plasma Technology (AREA)
Abstract
Description
도 1 은 본 발명의 실시형태에서 사용될 수도 있는 프로세스의 하이 레벨 플로우 차트이다.
도 2a 내지 도 2c 는 본 발명의 실시형태에 따라 에칭된 스택의 개략적인 횡단면도이다.
도 3 은 본 발명을 실시하는데 사용될 수도 있는 플라즈마 프로세싱 챔버의 개략적인 도면이다.
도 4a 및 도 4b 는 본 발명의 실시형태에서 사용된 제어기를 구현하는데 적합한 컴퓨터 시스템을 예시한다.
도 5a 내지 도 5f 는 본 발명의 실시형태의 예들에 의해 프로세싱된 웨이퍼의 CD-SEM 들이다.
도 6a 내지 도 6c 는 본 발명의 실시형태의 상기 예들로부터의 결과의 그래프이다.
도 7 은 LWR 를 예시하는 마스크를 갖는 웨이퍼의 CD-SEM (하향식) 이다.
도 8 은 LWR 대 검사 길이 곡선을 획득하기 위해 후속하는 통상적인 시퀀스를 도시한다.
Claims (32)
- 패터닝 유기 마스크 아래 배치된 에칭층에서 에칭된 피쳐들을 형성하는데 있어서 초저주파 선폭 조도 (line width roughness; LWR) 를 감소시키기 위한 방법으로서,
상기 패터닝 유기 마스크의 초저주파 선폭 조도를 감소시키기 위해 상기 패터닝 유기 마스크를 처리하는 단계; 및
상기 감소된 초저주파 LWR 을 갖는 상기 처리된 패터닝 유기 마스크를 통하여 상기 에칭층을 에칭하는 단계를 포함하며,
상기 패터닝 유기 마스크를 처리하는 단계는,
H2 를 포함하는 처리 가스를 흐르게 하는 단계로서, 상기 처리 가스는 유량을 갖고, H2 는 상기 처리 가스의 상기 유량의 적어도 50% 인 유량을 갖는, 상기 처리 가스를 흐르게 하는 단계;
상기 처리 가스로부터 플라즈마를 형성하는 단계; 및
상기 처리 가스의 상기 흐름을 중지시키는 단계를 포함하는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항에 있어서,
상기 플라즈마를 형성하는 단계는 낮은 바이어스를 사용하는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 2 항에 있어서,
상기 처리 가스는 무할로겐 (halogen free) 인, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 2 항에 있어서,
상기 처리 가스는 본질적으로 Ar 및 H2 로 이루어지는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 2 항에 있어서,
상기 처리 가스는 본질적으로 H2 로 이루어지는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 5 항에 있어서,
상기 플라즈마를 형성하는 단계는 1500 watt 이하의 RF 전력을 사용하는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 6 항에 있어서,
상기 낮은 바이어스는 0 내지 100 볼트 사이인, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 6 항에 있어서,
상기 낮은 바이어스는 0 내지 50 볼트 사이인, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 6 항에 있어서,
상기 낮은 바이어스는 0 볼트인, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 9 항에 있어서,
상기 초저주파 LWR 은 500 nm 보다 큰 조도 반복 길이를 가지는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 10 항에 있어서,
처리 이후의 상기 패터닝 유기 마스크의 상기 초저주파 LWR 은 처리 이전의 상기 초저주파 LWR 미만인, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 11 항에 있어서,
상기 패터닝 유기 마스크를 처리하는 단계 이전에 상기 에칭층 및 패터닝 유기 마스크를 갖는 웨이퍼를 프로세스 챔버에 배치하는 단계; 및
상기 에칭층을 에칭하는 단계 이후에 상기 프로세스 챔버로부터 상기 웨이퍼를 제거하는 단계를 더 포함하는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 12 항에 있어서,
상기 프로세스 챔버는 유도적으로 커플링된 TCP 프로세스 챔버인, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 13 항에 있어서,
상기 유기 마스크는 포토레지스트 마스크인, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 14 항에 있어서,
상기 에칭층 아래에 하드 마스크층이 있고, 상기 하드 마스크층 아래에 도전층이 있으며,
상기 하드 마스크층을 에칭하는 단계, 및
상기 프로세스 챔버로부터 상기 웨이퍼를 제거하는 단계 이전에 상기 도전층을 에칭하는 단계를 더 포함하여,
상기 패터닝 유기 마스크를 처리하는 단계, 상기 에칭층을 에칭하는 단계, 상기 하드 마스크층을 에칭하는 단계, 및 상기 도전층을 에칭하는 단계가 모두 동일한 프로세스 챔버에서 인 시츄로 행해지도록 하는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항에 있어서,
상기 처리 가스는 무할로겐인, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항에 있어서,
상기 처리 가스는 본질적으로 Ar 및 H2 로 이루어지는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항 또는 제 2 항에 있어서,
상기 처리 가스는 무할로겐인, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항 또는 제 2 항에 있어서,
상기 처리 가스는 본질적으로 Ar 또는 H2 로 이루어지는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항 또는 제 2 항에 있어서,
상기 처리 가스는 본질적으로 H2 로 이루어지는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항, 제 2 항 및 제 18 항 내지 제 20 항 중 어느 한 항에 있어서,
상기 플라즈마를 형성하는 단계는 1500 watt 이하의 RF 전력을 사용하는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항, 제 2 항 및 제 18 항 내지 제 21 항 중 어느 한 항에 있어서,
상기 낮은 바이어스는 0 내지 100 볼트 사이인, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항, 제 2 항 및 제 18 항 내지 제 21 항 중 어느 한 항에 있어서,
상기 낮은 바이어스는 0 내지 50 볼트 사이인, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항, 제 2 항 및 제 18 항 내지 제 21 항 중 어느 한 항에 있어서,
상기 낮은 바이어스는 0 볼트인, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항, 제 2 항 및 제 18 항 내지 제 24 항 중 어느 한 항에 있어서,
상기 초저주파 LWR 은 500 nm 보다 큰 조도 반복 길이를 가지는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항, 제 2 항 및 제 18 항 내지 제 25 항 중 어느 한 항에 있어서,
처리 이후의 상기 패터닝 유기 마스크의 상기 초저주파 LWR 은 처리 이전의 상기 초저주파 LWR 미만인, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항, 제 2 항 및 제 18 항 내지 제 26 항 중 어느 한 항에 있어서,
상기 패터닝 유기 마스크를 처리하는 단계 이전에 상기 에칭층 및 패터닝 유기 마스크를 갖는 웨이퍼를 프로세스 챔버에 배치하는 단계; 및
상기 에칭층을 에칭하는 단계 이후에 상기 프로세스 챔버로부터 상기 웨이퍼를 제거하는 단계를 더 포함하는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항, 제 2 항 및 제 18 항 내지 제 27 항 중 어느 한 항에 있어서,
상기 프로세스 챔버는 유도적으로 커플링된 TCP 프로세스 챔버인, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항, 제 2 항 및 제 18 항 내지 제 28 항 중 어느 한 항에 있어서,
상기 유기 마스크는 포토레지스트 마스크인, 초저주파 선폭 조도를 감소시키기 위한 방법. - 제 1 항, 제 2 항 및 제 18 항 내지 제 29 항 중 어느 한 항에 있어서,
상기 에칭층 아래에 하드 마스크층이 있고, 상기 하드 마스크층 아래에 도전층이 있으며,
상기 하드 마스크층을 에칭하는 단계, 및
상기 프로세스 챔버로부터 상기 웨이퍼를 제거하는 단계 이전에 상기 도전층을 에칭하는 단계를 더 포함하여,
상기 패터닝 유기 마스크를 처리하는 단계, 상기 에칭층을 에칭하는 단계, 상기 하드 마스크층을 에칭하는 단계, 및 상기 도전층을 에칭하는 단계가 모두 동일한 프로세스 챔버에서 인 시츄로 행해지도록 하는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 웨이퍼 상에 스택을 형성하는, 패터닝된 포토레지스트 마스크 아래에 배치되는 ARC 층, 상기 ARC 층 아래에 배치되는 하드 마스크층, 상기 하드 마스크층 아래에 배치된 도전층에서 에칭된 피쳐들을 형성하는데 있어서 초저주파 선폭 조도 (line width roughness; LWR) 을 감소시키기 위한 방법으로서,
상기 웨이퍼를 프로세스 챔버에 배치하는 단계;
상기 패터닝된 포토레지스트 마스크의 초저주파 선폭 조도를 감소시키기 위해 상기 패터닝된 포토레지스트 마스크를 처리하는 단계;
상기 처리된 패터닝된 포토레지스트 마스크를 통하여 상기 ARC 층을 에칭하는 단계;
상기 ARC 층을 통하여 상기 하드 마스크층을 에칭하는 단계;
상기 하드 마스크층을 통하여 상기 도전층을 에칭하는 단계; 및
상기 프로세스 챔버로부터 상기 웨이퍼를 제거하는 단계를 포함하여,
상기 패터닝 유기 마스크를 처리하는 단계, 상기 ARC 층을 에칭하는 단계, 상기 하드 마스크층을 에칭하는 단계, 및 상기 도전층을 에칭하는 단계가 모두 동일한 프로세스 챔버에서 인 시츄로 행해지도록 하고,
상기 패터닝된 포토레지스트 마스크를 처리하는 단계는,
H2 를 포함하는 처리 가스를 흐르게 하는 단계로서, 상기 처리 가스는 유량을 갖고, H2 는 상기 프로세스 챔버로의 상기 처리 가스의 유량의 적어도 50% 인 유량을 갖는, 상기 처리 가스를 흐르게 하는 단계;
상기 처리 가스로부터 플라즈마를 형성하는 단계; 및
상기 처리 가스의 상기 흐름을 중지시키는 단계를 포함하는, 초저주파 선폭 조도를 감소시키기 위한 방법. - 마스크 피쳐들을 갖는 패터닝 유기 마스크 아래에 배치된 에칭층에 에칭된 피쳐들을 형성하는데 있어서 초저주파 선폭 조도 (line width roughness; LWR) 를 감소시키기 위한 장치로서,
플라즈마 프로세싱 챔버 인클로저를 형성하는 챔버 벽,
상기 플라즈마 프로세싱 챔버 인클로저 내에서 웨이퍼를 지지하기 위한 기판 지지부,
상기 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조정하기 위한 압력 조정기,
플라즈마를 유지하기 위해 상기 플라즈마 프로세싱 챔버 인클로저에 유도적으로 커플링된 전력을 제공하기 위한 적어도 하나의 안테나,
상기 플라즈마 프로세싱 챔버 인클로저에 가스를 제공하기 위한 가스 인렛, 및
상기 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배기하기 위한 가스 아웃렛을 포함하는, 플라즈마 프로세싱 챔버;
에천트 가스 소스, 및
H2 처리 가스 소스를 포함하는, 상기 가스 인렛과 유체 연통하는 가스 소스; 및
적어도 하나의 프로세서, 및
컴퓨터 판독가능한 매체를 포함하는, 상기 가스 소스와 상기 적어도 하나의 안테나에 제어가능하게 접속된 제어기를 포함하며,
상기 컴퓨터 판독가능한 매체는,
상기 패터닝 유기 마스크의 초저주파 선폭 조도를 감소시키기 위해 상기 패터닝 유기 마스크를 처리하는 컴퓨터 판독가능한 코드; 및
상기 감소된 초저주파 LWR 을 갖는 상기 처리된 패터닝 유기 마스크를 통해 상기 에칭층을 에칭하는 컴퓨터 판독가능한 코드를 포함하고,
상기 패터닝 유기 마스크를 처리하는 컴퓨터 판독가능한 코드는,
H2 를 포함하는 처리 가스를 흐르게 하는 컴퓨터 판독가능한 코드로서, 상기 처리 가스는 유량을 갖고, H2 는 상기 처리 가스의 상기 유량의 적어도 50% 인 유량을 갖는, 상기 처리 가스를 흐르게 하는 컴퓨터 판독가능한 코드;
상기 처리 가스로부터 플라즈마를 형성하는 컴퓨터 판독가능한 코드; 및
상기 처리 가스의 상기 흐름을 중지시키는 컴퓨터 판독가능한 코드를 포함하는, 초저주파 선폭 조도 (LWR) 를 감소시키기 위한 장치.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/175,153 | 2008-07-17 | ||
US12/175,153 US8298958B2 (en) | 2008-07-17 | 2008-07-17 | Organic line width roughness with H2 plasma treatment |
PCT/US2009/049793 WO2010008967A2 (en) | 2008-07-17 | 2009-07-07 | Improvement of organic line width roughness with h2 plasma treatment |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20110040933A true KR20110040933A (ko) | 2011-04-20 |
KR101690337B1 KR101690337B1 (ko) | 2016-12-27 |
Family
ID=41530667
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020117003635A Active KR101690337B1 (ko) | 2008-07-17 | 2009-07-07 | H₂플라즈마 처리법을 이용한 유기 선폭 조도의 개선 |
Country Status (5)
Country | Link |
---|---|
US (2) | US8298958B2 (ko) |
KR (1) | KR101690337B1 (ko) |
CN (1) | CN102089868B (ko) |
TW (1) | TWI525693B (ko) |
WO (1) | WO2010008967A2 (ko) |
Families Citing this family (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8283255B2 (en) * | 2007-05-24 | 2012-10-09 | Lam Research Corporation | In-situ photoresist strip during plasma etching of active hard mask |
US8877641B2 (en) * | 2009-12-28 | 2014-11-04 | Spansion Llc | Line-edge roughness improvement for small pitches |
JP5916056B2 (ja) | 2010-08-23 | 2016-05-11 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US8435727B2 (en) | 2010-10-01 | 2013-05-07 | Varian Semiconductor Equipment Associates, Inc. | Method and system for modifying photoresist using electromagnetic radiation and ion implantation |
CN102915922B (zh) * | 2011-08-03 | 2015-04-22 | 中芯国际集成电路制造(北京)有限公司 | 一种制作半导体器件的方法 |
US20130267097A1 (en) * | 2012-04-05 | 2013-10-10 | Lam Research Corporation | Method and apparatus for forming features with plasma pre-etch treatment on photoresist |
US8999184B2 (en) | 2012-08-03 | 2015-04-07 | Lam Research Corporation | Method for providing vias |
JP6063264B2 (ja) * | 2012-09-13 | 2017-01-18 | 東京エレクトロン株式会社 | 被処理基体を処理する方法、及びプラズマ処理装置 |
US8828744B2 (en) | 2012-09-24 | 2014-09-09 | Lam Research Corporation | Method for etching with controlled wiggling |
CN104345568A (zh) * | 2013-08-07 | 2015-02-11 | 中芯国际集成电路制造(上海)有限公司 | 减小光刻胶图形线宽粗糙度的方法 |
CN104465333B (zh) * | 2013-09-17 | 2017-12-29 | 中芯国际集成电路制造(上海)有限公司 | 光刻胶图形的形成方法、晶体管栅极的形成方法 |
KR20150093618A (ko) * | 2014-02-07 | 2015-08-18 | 아이엠이씨 브이제트더블유 | 포스트-리소그래피 라인 폭 러프니스를 감소시키기 위한 플라즈마 방법 |
US9852924B1 (en) * | 2016-08-24 | 2017-12-26 | Lam Research Corporation | Line edge roughness improvement with sidewall sputtering |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6811956B1 (en) * | 2002-06-24 | 2004-11-02 | Advanced Micro Devices, Inc. | Line edge roughness reduction by plasma treatment before etch |
US20060094221A1 (en) * | 2004-11-01 | 2006-05-04 | Nec Electronics Corporation | Method for manufacturing electronic device |
KR20060123312A (ko) * | 2003-11-12 | 2006-12-01 | 램 리써치 코포레이션 | 트렌치 식각에 대한 라인 에지 러프니스 감소 |
US20080029483A1 (en) * | 2006-08-07 | 2008-02-07 | Tokyo Electron Limited | Method of treating a mask layer prior to performing an etching process |
Family Cites Families (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5834371A (en) * | 1997-01-31 | 1998-11-10 | Tokyo Electron Limited | Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof |
US6335292B1 (en) * | 1999-04-15 | 2002-01-01 | Micron Technology, Inc. | Method of controlling striations and CD loss in contact oxide etch |
US6326307B1 (en) * | 1999-11-15 | 2001-12-04 | Appllied Materials, Inc. | Plasma pretreatment of photoresist in an oxide etch process |
US6720247B2 (en) * | 2000-12-14 | 2004-04-13 | Texas Instruments Incorporated | Pre-pattern surface modification for low-k dielectrics using A H2 plasma |
US6630288B2 (en) | 2001-03-28 | 2003-10-07 | Advanced Micro Devices, Inc. | Process for forming sub-lithographic photoresist features by modification of the photoresist surface |
US6936398B2 (en) * | 2001-05-09 | 2005-08-30 | Massachusetts Institute Of Technology | Resist with reduced line edge roughness |
US7473377B2 (en) * | 2002-06-27 | 2009-01-06 | Tokyo Electron Limited | Plasma processing method |
US6923920B2 (en) * | 2002-08-14 | 2005-08-02 | Lam Research Corporation | Method and compositions for hardening photoresist in etching processes |
US7022611B1 (en) * | 2003-04-28 | 2006-04-04 | Lam Research Corporation | Plasma in-situ treatment of chemically amplified resist |
US7196017B2 (en) * | 2003-10-24 | 2007-03-27 | Avago Technologies Fiber Ip (Singapore) Pte. Ltd. | Method for etching smooth sidewalls in III-V based compounds for electro-optical devices |
US20050211668A1 (en) * | 2004-03-26 | 2005-09-29 | Lam Research Corporation | Methods of processing a substrate with minimal scalloping |
US7951262B2 (en) * | 2004-06-21 | 2011-05-31 | Tokyo Electron Limited | Plasma processing apparatus and method |
US7316785B2 (en) | 2004-06-30 | 2008-01-08 | Lam Research Corporation | Methods and apparatus for the optimization of etch resistance in a plasma processing system |
JP2006038779A (ja) * | 2004-07-30 | 2006-02-09 | Hitachi High-Technologies Corp | パターン形状評価方法、評価装置、及び半導体装置の製造方法 |
JP2006086500A (ja) * | 2004-08-18 | 2006-03-30 | Toshiba Corp | 半導体装置の製造方法 |
US7053003B2 (en) * | 2004-10-27 | 2006-05-30 | Lam Research Corporation | Photoresist conditioning with hydrogen ramping |
US7196014B2 (en) * | 2004-11-08 | 2007-03-27 | International Business Machines Corporation | System and method for plasma induced modification and improvement of critical dimension uniformity |
US7488689B2 (en) * | 2004-12-07 | 2009-02-10 | Tokyo Electron Limited | Plasma etching method |
WO2006073871A1 (en) * | 2004-12-30 | 2006-07-13 | Applied Materials, Inc. | Line edge roughness reduction compatible with trimming |
US20060154184A1 (en) * | 2005-01-12 | 2006-07-13 | International Business Machines Corporation | Method for reducing feature line edge roughness |
KR20070047624A (ko) * | 2005-11-02 | 2007-05-07 | 주성엔지니어링(주) | 박막 패턴 형성 방법 |
US20070181530A1 (en) * | 2006-02-08 | 2007-08-09 | Lam Research Corporation | Reducing line edge roughness |
JP4717658B2 (ja) * | 2006-02-17 | 2011-07-06 | ソニー株式会社 | パターン形成方法および半導体装置の製造方法 |
JP5362176B2 (ja) * | 2006-06-12 | 2013-12-11 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US8367303B2 (en) * | 2006-07-14 | 2013-02-05 | Micron Technology, Inc. | Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control |
US7642193B2 (en) * | 2006-08-07 | 2010-01-05 | Tokyo Electron Limited | Method of treating a mask layer prior to performing an etching process |
US7435671B2 (en) * | 2006-08-18 | 2008-10-14 | International Business Machines Corporation | Trilayer resist scheme for gate etching applications |
US7373216B1 (en) * | 2007-03-30 | 2008-05-13 | Tokyo Electron Limited | Method and apparatus for verifying a site-dependent wafer |
-
2008
- 2008-07-17 US US12/175,153 patent/US8298958B2/en active Active
-
2009
- 2009-07-07 CN CN200980127927.9A patent/CN102089868B/zh not_active Expired - Fee Related
- 2009-07-07 KR KR1020117003635A patent/KR101690337B1/ko active Active
- 2009-07-07 WO PCT/US2009/049793 patent/WO2010008967A2/en active Application Filing
- 2009-07-17 TW TW098124225A patent/TWI525693B/zh not_active IP Right Cessation
-
2012
- 2012-09-26 US US13/627,901 patent/US20130087284A1/en not_active Abandoned
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6811956B1 (en) * | 2002-06-24 | 2004-11-02 | Advanced Micro Devices, Inc. | Line edge roughness reduction by plasma treatment before etch |
KR20060123312A (ko) * | 2003-11-12 | 2006-12-01 | 램 리써치 코포레이션 | 트렌치 식각에 대한 라인 에지 러프니스 감소 |
US20060094221A1 (en) * | 2004-11-01 | 2006-05-04 | Nec Electronics Corporation | Method for manufacturing electronic device |
US20080029483A1 (en) * | 2006-08-07 | 2008-02-07 | Tokyo Electron Limited | Method of treating a mask layer prior to performing an etching process |
Also Published As
Publication number | Publication date |
---|---|
WO2010008967A3 (en) | 2010-03-25 |
CN102089868A (zh) | 2011-06-08 |
KR101690337B1 (ko) | 2016-12-27 |
US20130087284A1 (en) | 2013-04-11 |
TW201009931A (en) | 2010-03-01 |
TWI525693B (zh) | 2016-03-11 |
WO2010008967A2 (en) | 2010-01-21 |
CN102089868B (zh) | 2014-04-02 |
US20100015809A1 (en) | 2010-01-21 |
US8298958B2 (en) | 2012-10-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101690337B1 (ko) | H₂플라즈마 처리법을 이용한 유기 선폭 조도의 개선 | |
US8262920B2 (en) | Minimization of mask undercut on deep silicon etch | |
KR101392121B1 (ko) | 잔유물 없는 하드마스크 트림 | |
KR101433987B1 (ko) | 에칭 동안 라인 말단 단축의 감소 방법 | |
JP5632280B2 (ja) | 異なるアスペクト比の構成を誘電層内にエッチングするための方法、及びその方法によって作成される半導体デバイス、並びにそのための装置 | |
US20110117749A1 (en) | Method for reducing line width roughness with plasma pre-etch treatment on photoresist | |
US8986492B2 (en) | Spacer formation for array double patterning | |
KR101562408B1 (ko) | Arc 레이어 개방을 이용한 라인 폭 거칠기 제어 | |
US9263284B2 (en) | Line width roughness improvement with noble gas plasma | |
KR20120094856A (ko) | 의사-하드마스크에 대한 위글링 제어 | |
US8668805B2 (en) | Line end shortening reduction during etch | |
KR20070102938A (ko) | 피처 프로파일을 제어하기 위한 마스크 프로파일 제어 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PA0105 | International application |
Patent event date: 20110216 Patent event code: PA01051R01D Comment text: International Patent Application |
|
AMND | Amendment | ||
PG1501 | Laying open of application | ||
A201 | Request for examination | ||
AMND | Amendment | ||
PA0201 | Request for examination |
Patent event code: PA02012R01D Patent event date: 20140704 Comment text: Request for Examination of Application |
|
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20150720 Patent event code: PE09021S01D |
|
AMND | Amendment | ||
E601 | Decision to refuse application | ||
PE0601 | Decision on rejection of patent |
Patent event date: 20160127 Comment text: Decision to Refuse Application Patent event code: PE06012S01D Patent event date: 20150720 Comment text: Notification of reason for refusal Patent event code: PE06011S01I |
|
AMND | Amendment | ||
PX0901 | Re-examination |
Patent event code: PX09011S01I Patent event date: 20160127 Comment text: Decision to Refuse Application Patent event code: PX09012R01I Patent event date: 20150921 Comment text: Amendment to Specification, etc. Patent event code: PX09012R01I Patent event date: 20140704 Comment text: Amendment to Specification, etc. Patent event code: PX09012R01I Patent event date: 20110218 Comment text: Amendment to Specification, etc. |
|
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20160407 Patent event code: PE09021S01D |
|
AMND | Amendment | ||
PX0701 | Decision of registration after re-examination |
Patent event date: 20160923 Comment text: Decision to Grant Registration Patent event code: PX07013S01D Patent event date: 20160607 Comment text: Amendment to Specification, etc. Patent event code: PX07012R01I Patent event date: 20160226 Comment text: Amendment to Specification, etc. Patent event code: PX07012R01I Patent event date: 20160127 Comment text: Decision to Refuse Application Patent event code: PX07011S01I Patent event date: 20150921 Comment text: Amendment to Specification, etc. Patent event code: PX07012R01I Patent event date: 20140704 Comment text: Amendment to Specification, etc. Patent event code: PX07012R01I Patent event date: 20110218 Comment text: Amendment to Specification, etc. Patent event code: PX07012R01I |
|
X701 | Decision to grant (after re-examination) | ||
GRNT | Written decision to grant | ||
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20161221 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20161221 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration | ||
PR1001 | Payment of annual fee |
Payment date: 20191212 Start annual number: 4 End annual number: 4 |
|
PR1001 | Payment of annual fee |
Payment date: 20201210 Start annual number: 5 End annual number: 5 |
|
PR1001 | Payment of annual fee |
Payment date: 20211210 Start annual number: 6 End annual number: 6 |
|
PR1001 | Payment of annual fee |
Payment date: 20221207 Start annual number: 7 End annual number: 7 |
|
PR1001 | Payment of annual fee |
Payment date: 20231207 Start annual number: 8 End annual number: 8 |
|
PR1001 | Payment of annual fee |
Payment date: 20241205 Start annual number: 9 End annual number: 9 |