[go: up one dir, main page]

KR102721980B1 - 기판 정렬 장치 및 이를 이용한 기판 정렬 방법 - Google Patents

기판 정렬 장치 및 이를 이용한 기판 정렬 방법 Download PDF

Info

Publication number
KR102721980B1
KR102721980B1 KR1020220024592A KR20220024592A KR102721980B1 KR 102721980 B1 KR102721980 B1 KR 102721980B1 KR 1020220024592 A KR1020220024592 A KR 1020220024592A KR 20220024592 A KR20220024592 A KR 20220024592A KR 102721980 B1 KR102721980 B1 KR 102721980B1
Authority
KR
South Korea
Prior art keywords
substrate
image
loading area
sensor module
center
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
KR1020220024592A
Other languages
English (en)
Other versions
KR20230127027A (ko
Inventor
김대중
강민영
김성수
김소희
유용수
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020220024592A priority Critical patent/KR102721980B1/ko
Priority to US17/957,967 priority patent/US12172313B2/en
Priority to TW111149465A priority patent/TW202335154A/zh
Priority to CN202310155823.0A priority patent/CN116646293A/zh
Publication of KR20230127027A publication Critical patent/KR20230127027A/ko
Application granted granted Critical
Publication of KR102721980B1 publication Critical patent/KR102721980B1/ko
Priority to US18/961,702 priority patent/US20250091203A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1628Programme controls characterised by the control loop
    • B25J9/163Programme controls characterised by the control loop learning, adaptive, model based, rule based expert control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J13/00Controls for manipulators
    • B25J13/08Controls for manipulators by means of sensing devices, e.g. viewing or touching devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J19/00Accessories fitted to manipulators, e.g. for monitoring, for viewing; Safety devices combined with or specially adapted for use in connection with manipulators
    • B25J19/02Sensing devices
    • B25J19/021Optical sensing devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1679Programme controls characterised by the tasks executed
    • B25J9/1684Tracking a line or surface by means of sensors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1694Programme controls characterised by use of sensors other than normal servo-feedback from position, speed or acceleration sensors, perception control, multi-sensor controlled systems, sensor fusion
    • B25J9/1697Vision controlled systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Human Computer Interaction (AREA)

Abstract

본 발명의 일 실시예는, 기판을 챔버 내에 반입하여 로딩 영역에 배치하고 기판 처리 공정을 수행하는 단계; 상기 기판의 하면을 촬상하여 제1 이미지를 획득하고, 상기 제1 이미지로부터 상기 기판 처리 공정에서 상기 기판의 상기 하면에 부착되어 형성된 파티클 패턴들과 상기 기판의 에지(egde)를 식별하고, 상기 파티클 패턴들로부터 산출된 상기 로딩 영역의 중심의 개략 위치값과 상기 기판의 상기 에지로부터 산출된 상기 기판의 중심 간의 편차인 제1 정렬 오차값을 산출하여, 상기 챔버 내에 상기 로딩 영역의 상기 중심의 정밀 위치값을 측정하기 위한 센서 모듈을 반입하는 시점을 결정하는 단계; 상기 센서 모듈을 상기 로딩 영역에 배치하고 상기 로딩 영역을 촬상하여 제2 이미지를 획득하고, 상기 제2 이미지로부터 상기 로딩 영역의 에지를 추출하고, 상기 로딩 영역의 상기 에지로부터 상기 로딩 영역의 상기 중심의 상기 정밀 위치값을 산출하고, 상기 정밀 위치값과 기 저장된 기준 위치값과의 편차인 제2 정렬 오차값을 산출하여, 상기 기판을 상기 챔버 내에 배치하는 이송 로봇을 티칭하는 시점을 결정하는 단계를 포함하는 기판 정렬 방법을 제공한다.

Description

기판 정렬 장치 및 이를 이용한 기판 정렬 방법{SUBSTRATE ALIGNMENT APPARATUS AND ALIGHTMENT METOHD USGING THE SAME}
본 발명은 기판 정렬 장치 및 이를 이용한 기판 정렬 방법에 관한 것이다.
반도체 소자들은 소형화, 다기능화, 및/또는 낮은 제조 비용 등의 특성들로 인하여 전자 산업에서 널리 사용되고 있다. 반도체 소자들은 포토리소그라피 공정, 식각 공정, 증착 공정, 이온 주입 공정, 및 세정 공정과 같은 다양한 제조 공정들에 의해 제조될 수 있다.
일반적으로, 이러한 각각의 제조 공정들은 서로 다른 처리 모듈들에서 순차적으로 수행된다. 서로 다른 처리 모듈들 사이의 기판의 이송은 이송 로봇과 같은 이송 유닛에 의해 이루어진다. 이송 유닛에 의해 기판이 챔버의 정위치에 배치되지 않는 경우, 이송 유닛의 기준 위치를 보정하는 과정이 필요하나, 이를 위해서는 설비를 중단시켜야 하는 문제가 있었다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제 중 하나는, 설비의 중단 없이, 기판을 처리 모듈 내의 목표 위치에 안착시킬 수 있는 기판 정렬 장치 및 기판 정렬 방법을 제공하는 데 있다.
본 발명의 일 실시예는, 기판을 챔버 내에 반입하여 로딩 영역에 배치하고 기판 처리 공정을 수행하는 단계; 상기 기판의 하면을 촬상하여 제1 이미지를 획득하고, 상기 제1 이미지로부터 상기 기판 처리 공정에서 상기 기판의 상기 하면에 부착되어 형성된 파티클 패턴들과 상기 기판의 에지(egde)를 식별하고, 상기 파티클 패턴들로부터 산출된 상기 로딩 영역의 중심의 개략 위치값과 상기 기판의 상기 에지로부터 산출된 상기 기판의 중심 간의 편차인 제1 정렬 오차값을 산출하여, 상기 챔버 내에 상기 로딩 영역의 상기 중심의 정밀 위치값을 측정하기 위한 센서 모듈을 반입하는 시점을 결정하는 단계; 상기 센서 모듈을 상기 로딩 영역에 배치하고 상기 로딩 영역을 촬상하여 제2 이미지를 획득하고, 상기 제2 이미지로부터 상기 로딩 영역의 에지를 추출하고, 상기 로딩 영역의 상기 에지로부터 상기 로딩 영역의 상기 중심의 상기 정밀 위치값을 산출하고, 상기 정밀 위치값과 기 저장된 기준 위치값과의 편차인 제2 정렬 오차값을 산출하여, 상기 기판을 상기 챔버 내에 배치하는 이송 로봇을 티칭하는 시점을 결정하는 단계를 포함하는 기판 정렬 방법을 제공한다.
본 발명의 일 실시예는, 기판을 챔버 내에 반입하여 로딩 영역에 배치하고 기판 처리 공정을 수행하는 단계; 상기 기판의 하면을 촬상하여 제1 이미지를 획득하고, 상기 제1 이미지로부터 상기 기판 처리 공정에서 상기 하면에 형성된 파티클 패턴들과 상기 기판의 에지(edge)를 식별하고, 상기 파티클 패턴들로부터 산출된 상기 로딩 영역의 중심의 개략 위치값과 상기 기판의 상기 에지로부터 산출된 상기 기판의 중심간의 편차인 제1 정렬 오차값을 산출하는 단계; 및 상기 제1 정렬 오차값을 기초로, 상기 기판을 상기 챔버 내에 배치하는 이송 로봇을 티칭하는 시점을 결정하는 단계를 포함하는 기판 정렬 방법을 제공한다.
본 발명의 일 실시예는, 기판을 챔버 내의 로딩 영역에 배치하고 기판 처리 공정을 수행하고, 상기 기판의 하면을 촬상하여 제1 이미지를 획득하고, 상기 제1 이미지로부터 상기 하면에 형성된 파티클 패턴들과 상기 기판의 에지(edge)를 식별하고, 상기 파티클 패턴들과 상기 기판의 상기 에지로부터 각각 상기 로딩 영역의 중심의 개략 위치값과 상기 기판의 중심을 산출하고, 상기 로딩 영역의 상기 중심의 개략 위치값과 상기 기판의 상기 중심 간의 편차인 제1 정렬 오차값을 산출하고, 상기 제1 정렬 오차값을 기초로, 상기 챔버 내에 상기 로딩 영역의 상기 중심의 정밀 위치값을 측정하기 위한 센서 모듈을 반입하는 시점을 결정하고, 상기 센서 모듈을 상기 로딩 영역에 배치하고 상기 로딩 영역을 촬상하여 제2 이미지를 획득하고, 상기 제2 이미지로부터 상기 로딩 영역의 에지를 추출하고, 상기 로딩 영역의 상기 에지로부터 상기 로딩 영역의 상기 중심의 상기 정밀 위치값을 산출하고, 상기 정밀 위치값과 기 저장된 기준 위치값과의 편차인 제2 정렬 오차값을 산출하고, 상기 제2 정렬 오차값을 기초로, 상기 기판을 상기 챔버 내에 배치하는 이송 로봇을 티칭하는 시점을 결정하는 기판 정렬 방법을 제공한다.
본 발명의 일 실시예는, 기판을 처리하는 기판 처리 공정이 수행되는 내부 공간을 갖는 챔버; 상기 내부 공간 내에 배치되며, 상기 기판의 하면이 안착되고 기구물이 배치된 로딩 영역을 제공하는 기판 지지 유닛; 상기 기판 처리 공정이 수행된 상기 기판의 상기 하면을 촬상하여 제1 이미지를 획득하는 촬상부; 상기 내부 공간에 반입되어 상기 로딩 영역에 안착되며 상기 로딩 영역을 촬상하여 제2 이미지를 획득하는 센서 모듈; 상기 내부 공간에 상기 센서 모듈 및 상기 기판을 반입 및 반출하는 이송 로봇; 및 상기 제1 이미지에서, 상기 기판 처리 공정이 수행되는 과정에서 상기 기구물의 배치가 전사된 파티클 패턴들과 상기 기판의 에지를 식별하고 상기 파티클 패턴들과 상기 기판의 상기 에지(edge)로부터 각각 상기 로딩 영역의 개략적인 중심과 상기 기판의 중심 간의 편차인 제1 정렬 오차값을 산출하고, 상기 제1 정렬 오차값으로부터 상기 센서 모듈을 상기 내부 공간에 반입하는 시점을 결정하고, 상기 제2 이미지로부터 상기 로딩 영역의 에지를 검출하고 상기 로딩 영역의 상기 에지와 기준 위치값을 비교하여 상기 기판의 제2 정렬 오차값을 산출하고, 상기 이송 로봇을 티칭하여 상기 제2 정렬 오차값을 보정하는 제어부를 포함하는 기판 정렬 장치를 제공한다.
본 발명의 기술적 사상에 따른 기판 정렬 장치는 이송 로봇을 티칭할 시점을 예측하고, 이를 기초로 이송 로봇의 티칭을 실시간으로 수행함으로써, 설비의 중단 없이 이송 로봇을 티칭할 수 있는 기판 정렬 장치 및 기판 정렬 방법을 제공하는 데 있다.
본 발명의 다양하면서도 유익한 장점과 효과는 상술한 내용에 한정되지 않으며, 본 발명의 구체적인 실시예를 설명하는 과정에서 보다 쉽게 이해될 수 있을 것이다.
도 1은 본 발명의 일 실시예에 의한 기판 정렬 장치가 채용된 기판 처리 장치의 개략적인 평면도이다.
도 2는 도 1의 처리 모듈의 측면도이다.
도 3은 도 1의 이송 용기의 측면도이다.
도 4(a)는 기판을 처리 모듈에 반입되기 전에 촬상한 기판의 하면 이미지이다.
도 4(b)는 기판을 처리 모듈에 반입하여 기판 처리 공정이 수행된 후에 촬상된 기판의 하면 이미지이다.
도 5는 도 4(b)의 하면 이미지를 기초로, 기판의 중심을 식별하는 과정을 설명하기 위한 도면이다.
도 6은 기판 처리 장치의 가동 시간과 중심의 편차 간의 상관 관계를 도시한 도면이다.
도 7은 도 2의 처리 모듈에 센서 모듈을 반입하는 과정을 도시한 도면이다.
도 8은 도 7의 정전 척에 센서 모듈이 안착된 상태를 도시한 도면이다.
도 9은 도 8의 정전 척의 상부에서 바라본 평면도이다.
도 10(a)는 도 8의 센서 모듈에서 촬상된 정전 척의 상면 이미지이다.
도 10(b)는 정전 척의 기준 이미지이다.
도 11은 본 발명의 일 실시예에 의한 기판 정렬 방법의 흐름도이다.
도 12은 도 11의 S30 단계를 구체적으로 도시한 흐름도이다.
도 13는 도 11의 S40 단계를 구체적으로 도시한 흐름도이다.
도 14은 본 발명의 일 실시예에 의한 기판 정렬 방법의 흐름도이다.
도 15는 도 14의 S130 단계를 구체적으로 도시한 흐름도이다.
이하, 첨부된 도면을 참조하여 본 발명의 다양한 실시예들을 상세히 설명하기로 한다.
도 1 내지 도 3을 참조하여, 본 발명의 일 실시예에 의한 기판 정렬 장치에 대해 설명한다. 도 1은 본 발명의 일 실시예에 의한 기판 정렬 장치가 채용된 기판 처리 장치의 개략적인 평면도이다. 도 2는 도 1의 처리 모듈의 측면도이고, 도 3은 도 1의 이송 용기의 측면도이다.
도 1을 참조하면, 본 발명의 일 실시예에 따른 기판 처리 장치(10)는 반도체 장치의 제조에서 사용되는 웨이퍼(wafer)와 같은 기판(W) 상의 막을 식각하기 위한 식각 공정을 수행하기 위하여 사용될 수 있다. 다만, 이에 한정되는 것은 아니며, 기판(W)의 틀어짐의 보정이 필요한 다양한 공정에 적용될 수 있다.
기판 처리 장치(10)는 기판(W)의 처리를 위한 처리 모듈(100), 기판 이송 모듈(200), 로드 포트(400), 인터페이스 모듈(500), 로드락 챔버(600) 및 제어부(700)를 포함할 수 있다.
처리 모듈(100)은 기판(W)에 대해 소정의 공정을 수행할 수 있다. 예를 들어, 처리 모듈(100)은 화학기상증착, 식각, 포토, 세정 공정 등과 같은 공정을 수행할 수 있다. 일 실시예는 처리 모듈(100)에서 식각 공정이 수행되는 경우를 예로 들어 설명한다. 또한, 일 실시예는 하나의 기판 이송 모듈(200)의 둘레에 다수의 처리 모듈(100)이 배치될 수 있다. 그러나, 처리 모듈(100)의 수량은 기판(W)의 처리량에 따라 다양하게 변경될 수 있다.
도 2를 참조하면, 처리 모듈(100)은 측벽에 의해 형성된 반응 공간을 가지는 챔버(chamber)(110), 챔버(110)의 내의 상부에 배치되는 상부 전극(140) 및 상부 전극(140)과 대향하여 챔버(110)의 하부에 배치되고 기판(W)을 지지하는 정전 척(electrostatic chuck)(120)을 포함할 수 있다. 일 실시예의 처리 모듈(100)은 제1 내지 제4 처리 모듈(100A, 100B, 100C, 100D) 를 포함할 수 있으나, 이에 한정하는 것은 아니다.
정전 척(120)은 하부전극으로 기능하는 베이스 바디(121), 베이스 바디(121)의 상면에 부착되고 내부에 전극을 포함하는 플레이트(122), 플레이트(122) 상에 배치되는 포커스 링(focus ring)(124), 포커스 링(124)을 둘러싸는 절연 링(insulation ring)(125)을 포함할 수 있다. 플레이트(122)의 상면(122S)은 기판(W)이 안착되는 로딩 영역으로 제공될 수 있으며, 플레이트(122)의 상면(122s)에는 리트프 핀(123)과 같은 기구물이 배치될 수 있다.
상부 전극(140)은 정전 척(120)과 마주보도록 챔버(110) 내의 상부에 배치될 수 있다. 상부 전극(140)은 챔버(110)의 내부로 공정 가스를 분배해주는 샤워헤드일 수 있다. 샤워헤드는 기판(W)의 표면에 공정 가스를 분사할 수 있다.
정전 척(120)은 제1 전원(130)으로부터 전력을 공급받고, 상부 전극(140)은 제2 전원(150)으로부터 전력을 공급받을 수 있다. 정전 척(120)과 상부 전극(140)은 동기화되어 전기장을 형성할 수 있다. 이러한 전기장으로 통해 챔버(110)의 내부 공간(111)에 공급된 공정 가스를 플라즈마(P)로 여기시킬 수 있다.
기판 이송 모듈(200)은 둘레에 적어도 하나의 처리 모듈(100)이 배치될 수 있으며, 내부에는 기판(W)을 처리 모듈(100)로 로딩(loading) 및 언로딩(unloading)하기 위한 이송 장치인 이송 로봇(220)이 배치될 수 있다.
로드락 챔버(600)는 인터페이스 모듈(500)과 기판 이송 모듈(200)의 사이에 위치하며, 공정 진행을 위해 처리 모듈(100)에 로딩되기 위한 기판(W)과, 공정이 완료된 기판(W)을 임시 수납하기 위하여 구비될 수 있다. 로드락 챔버(600)는 대기압 상태와 진공 상태를 번갈아 유지할 수 있다. 일 실싱예의 로드락 챔버(600)는 제1 로드락 챔버(600A)와 제2 로드락 챔버(600B)를 포함할 수 있으나, 이에 한정하는 것은 아니다.
로드 포트(400)는 다수의 기판(W)을 수납하기 위한 이송 용기(420)를 지지할 수 있으며, 인터페이스 모듈(500)은 이송 용기(420) 내에 수납된 기판(W)을 처리 모듈(100)로 이송하고, 처리 모듈(100)에서 처리된 기판(W)을 다시 이송 용기(20) 내로 이송하기 위한 인터페이스 로봇(510)을 포함할 수 있다. 일 예로서, 도시된 바와 같이 기판 처리 장치(10)는 다수의 로드 포트(400)를 포함할 수 있다.
제어부(170)는 기판 처리 장치(10)의 전반적인 동작을 제어하기 위한 것으로, 예를 들어, 중앙처리장치(CPU), 그래픽처리장치(GPU), 마이크로프로세서, 주문형 반도체(Application Specific Integrated Circuit, ASIC), Field Programmable Gate Arrays(FPGA) 등의 프로세서로 구현될 수 있으며, 기판 처리 장치(10)의 동작에 필요한 각종 데이터를 저장하기 위한 메모리를 구비할 수 있으며, 메모리에는 후술하는 데이터 베이스가 미리 저장될 수 있다.
도 1 및 도 3을 참조하면, 이송 용기(420)는 내부 공간(422)에 복수의 기판(W)과 센서 모듈(WS)을 적재할 수 있으며, 복수의 기판(W) 중 적어도 하나는 이송 용기(420)의 최하부에 적재될 수 있다. 이송 용기(420)는 외부의 공기가 이송 용기(420) 내부로 유입되지 않도록 도어를 가지는 밀폐형 이송 용기일 수 있다. 예컨대, 이송 용기(420)는 풉(Front Opening Unified Pod, FOUP)일 수 있다. 이송 용기(420)는 기판 처리 장치(10)에서 처리가 완료된 기판(W)을 다른 기판 처리 장치(10)로 운송하는 데에 사용될 수 있다.
내부 공간(111)의 저면에는 기판(W)의 하면(W1)의 이미지를 획득하기 위한 촬상부(423)가 배치될 수 있다. 실시예에 따라서, 촬상부(423)는 이송 용기(420)의 하부가 아닌, 이송 용기(420)가 이송되는 과정에서 별도의 측정 설비에 배치될 수도 있다. 예를 들어, 촬상부(423)는 CCD(charge coupled device) 카메라 또는 CMOS(complementary metal-oxide semiconductor) 카메라를 포함할 수 있다. 촬상부(423)는 기판(W)의 하면(W1)을 촬상한 이미지의 데이터를 제어부(700)로 전송할 수 있다. 제어부(700)는 각각의 이송 용기(420)에 포함된 기판(W)의 하면(W1)을 모두 촬상하도록 이송 용기(420)의 촬상부(423)를 제어할 수 있으나, 실시예에 따라서, 일부 이송 용기(420)에 포함된 기판(W)의 하면(W1)을 촬상하도록 촬상부(423)를 제어할 수 있다.
이송 용기(420)에 적재된 기판(W)의 상면(W2)은 처리 모듈(100)에서 처리되는 면이며, 기판(W)의 하면(W1)은 정전 척(120)과 같은 기판 지지 유닛에 안착되는 면으로 이해될 수 있다. 기판 처리 과정에서, 기판(W)의 하면(W1)에는 파티클들이 부착될 수 있으며, 이러한 파티클들은 기판(W)이 로딩 영역에 설치된 기구물이 배치된 위치와 대응되는 위치에 부착되어, 패턴을 형성할 수 있다. 일 실시예에서, 기구물은 로딩 영역의 중심을 식별하는 기준점으로 사용될 수 있도록, 복수개가 로딩 영역에 노출된 것을 의미한다. 예를 들어, 기구물은 리프트 핀(123)일 수 있으며, 기판(W)의 하면(W1)에는 리프트 핀(132)이 배치된 위치와 대응되도록 파티클들로 이루어진 패턴이 형성될 수 있다.
이와 같이, 기판(W)의 하면(W1)에 부착된 파티클들이 형성하는 패턴에는 로딩 영역의 기구물이 배치된 위치가 전사되므로, 파티클들의 패턴을 분석하여 로딩 영역의 중심을 산출할 수 있다. 일 실시예의 경우, 기판(W)의 하면(W1)에는 플레이트(122)의 상면(122S)에 배치된 리프트 핀(123)이 배치된 위치와 대응되도록 파티클들이 패턴이 형성될 수 있다.
일반적으로, 이송 로봇(220)은 처리 모듈(100)의 중심과 기판(W)의 중심이 일치하도록 기판(W)을 로딩한다. 그러나, 이송 로봇(220)의 가동시간이 증가함에 따라, 처리 모듈(100)의 플레이트(122)의 상면(122S)의 중심과 기판(W)의 중심의 편차가 점점 증가하는 추세를 보인다. 이러한 편차를 감소시키기 위해, 이송 로봇(220)이 처리 모듈(100)에 기판(W)을 로딩하는 위치를 재설정하는 티칭(teaching)이 수행되고 있다. 그러나, 티칭을 수행하기 위해서는 기판 처리 장치(10)의 가동을 중지시켜야 하므로, 티칭을 수행할 경우, 단위 시간당 기판 처리량이 감소하여 생산 비용이 증가되는 문제가 있다.
도 6을 참조하면, 일 실시예의 기판 정렬 장치는, 기판(W)의 하면(W1)을 촬상한 제1 이미지(IMG1)를 기초로, 기판(W)의 중심과 플레이트(122)의 상면(122S)의 중심의 편차값이 기준값(DR)을 초과하게 되는 시점(t2)을 예측하고, 기준값을 초과하게 되는 시점이 도달하기 전(t1)에, 센서 모듈(WS)을 플레이트(122)에 로딩하고 기판(W)이 오긋난 정도를 정밀하게 측정할 수 있다. 다만, 이에 한정하는 것은 아니며, 산출된 중심과 기준 위치의 편차가 기준값을 초과할 것으로 예측된 시점에, 센서 모듈(WS)을 반입하지 않고, 편차값을 보정하기 위한 티칭을 곧바로 수행할 수도 있다. 센서 모듈(WS)을 로딩 영역에 안착하고 촬상한 이미지를 기초로 이송 로봇(220)이 기판을 안착하는 기준 위치를 산출할 경우, 높은 정밀도로 이송 로봇(220)을 티칭할 수 있으며, 기판 처리 장치(10)를 중단시키지 않고도 티칭을 진행할 수 있는 장점이 있다. 그러나, 센서 모듈(WS)을 처리 모듈(100)에 반입할 경우, 처리 모듈(100)에서 처리되는 기판(W)을 대체하여 반입되게 되므로, 처리 모듈(100)에서 처리되는 기판(W)의 처리량이 감소하게 된다. 따라서, 기판(W)의 처리량 감소를 최소화하기 위해서는 센서 모듈(WS)은 티칭이 필요한 시점에만 제한적으로 처리 모듈(100)에 반입될 필요가 있다. 즉, 일 실시예의 경우, 센서 모듈(WS)이 반입될 시점을 기판(W)의 하면(W1)을 촬상한 이미지를 기초로 판정할 수 있으므로, 기판 처리 장치(10)를 중단시키지 않으면서도 기판(W)의 처리량 감소를 최소화할 수 있다.
또한, 기판(W)의 하면(W1)은, 기판(W)을 처리 모듈(100)에서 처리하기 위해 이 이송 용기(420)에서 불출하기 전과, 기판(W)이 처리 모듈(100)에서 처리된 후에 각각 촬상될 수 있다. 제어부(700)는 처리 모듈(100)에 처리되기 전에 촬상된 이미지(이하, '제1 기준 이미지(RE_IMG1)' 라 함)와, 처리된 후에 촬상된 이미지(이하, '제1 이미지(IMG1)'라 함)를 기초로, 기판(W)이 목표 위치에 안착되어 있는지를 판별할 수 있다. 이에 대하여 도 4(a) 및 도 4(c)를 참조하여 설명한다.
도 4(a)는 기판(W)을 처리 모듈(100)에 반입하기 전에 촬상된 기판(W)의 하면(W1)을 촬상한 제1 기준 이미지(RE_IMG1) 이다. 기판(W)의 하면(W1)에 형성된 제1 패턴들(P1)은 이전 단계의 기판 처리 공정이 수행된 설비에서 부착된 것으로 이해될 수 있다. 도 4(b)는 기판(W)을 처리 모듈(100)에 반입하여 기판 처리 공정이 수행된 후에 촬상된 기판의 하면의 제1 이미지(IMG1) 이다. 기판(W)의 하면(W1)에 형성된 제2 패턴들(P2)은 처리 모듈(100)에서 기판 처리 공정이 수행되는 과정에서 부착된 것으로 이해될 수 있다. 제어부(700)는 제1 기준 이미지(RE_IMG1)에서 제1 패턴들(P1)을 식별하고, 제1 이미지(IMG1)에서 제1 패턴들(P1)과 제2 패턴들(P2)을 식별할 수 있다. 제어부(700)는 제1 패턴들(P1)을 공통 패턴으로 식별하고 제1 이미지(IMG1)에서 제1 패턴들(P1)을 제거함으로써, 이전의 단계의 설비에서 형성된 제1 패턴들(P1)을 제외한 제2 패턴들(P2)의 중심 위치를 산출할 수 있다. 제2 패턴들(P2)은 처리 공정이 수행된 처리 모듈(100) 내에 배치된 정전 척(120) 상에 배치된 기구물의 위치와 대응되므로, 제2 패턴들(P2)의 중심을 산출하여 정전 척(120)의 중심을 개략적으로 산출할 수 있다.
다만, 실시예에 따라서, 제1 기준 이미지(RE_IMG1)는 촬상되지 않을 수 있다. 이전 단계의 설비에서 형성된 제1 패턴들(P1)의 위치 정보는 미리 데이터 베이스화되어 저장되고, 제어부(700)는 데이터 베이스를 참조하여 제1 이미지(IMG1)에서 제2 패턴들(P2)을 식별할 수 있다.
또한, 제어부(700)는 제1 이미지(IMG1)로부터 기판(W)의 에지(WE)를 식별하고, 기판(W)의 에지(WE)로부터 기판(W)의 중심을 산출할 수 있다. 제어부(700)는 산출된 제2 패턴들(P2)의 중심과 기판(W)의 중심으로부터, 기판(W)이 정전 척(120)의 목표 위치에 로딩되고 있는지를 확인할 수 있다. 도 5를 참조하여, 제어부(700)가 기판(W)이 정전 척(120)의 목표 위치에 로딩되고 있는지를 확인하는 과정에 대해 설명한다.
도 5는 도 4(b)의 제1 이미지(IMG1)에서 제1 패턴들(P1)을 삭제하는 영상 처리가 이루어진 보정 이미지(IMG1_A)도시한 도면이다. 제어부(700)는 기판(W)의 하면에 형성된 제2 패턴들(P2)의 각각의 중심(C3)을 식별하고, 각 중심(C3)을 연결하는 자취(TR)를 산출할 수 있다. 제어부(700)는 자취(TR)의 중심(C2)을 산출할 수 있다. 자취(TR)의 중심(C2)은 정전 척(120)의 중심을 개략적으로 나타내는 것으로, 기판(W)의 중심(C1)과의 편차값을 산출하는 기준값으로 사용될 수 있다. 즉, 제어부(700)는 자취(TR))의 중심(C2)과 기판(W)의 중심(C1)을 비교하고, 편차값(D1, D2)를 산출할 수 있다. 산출된 편차값(D1, D2)은 센서 모듈(WS)을 처리 모듈(100)에 반입 여부를 판단하기 위한 자료로 사용될 수 있다. 즉, 제어부(700)는 편차값(D1, D2)이 허용된 오차 범위 내일 경우, 센서 모듈(WS)을 처리 모듈(100)에 반입하는 것을 보류할 수 있다. 반면에, 편차값(D1, D2)이 허용된 오차 범위를 초과하는 경우, 제어부(700)는 더욱 정확한 편차값을 산출하기 위해 센서 모듈(WS)을 처리 모듈(100)에 반입할 수 있다.
도 7 내지 도 9을 참조하여, 센서 모듈(WS)에 대해 설명한다. 도 7은 도 2의 처리 모듈에 센서 모듈을 반입하는 과정을 도시한 도면이다. 도 8은 도 7의 정전 척에 센서 모듈이 안착된 상태를 도시한 도면이고, 도 9은 도 8의 정전 척의 상부에서 바라본 평면도이다.
도 7을 참조하면, 센서 모듈(WS)은 이송 로봇(220)의 핸드(hand)(223)에 적재되어 챔버(110)의 도어(112)를 통해 내부 공간(111)에 반입될 수 있다. 내부 공간(111)에 반입된 센서 모듈(WS)은 플레이트(122)의 상면(122S) 상에 돌출된 리트프 핀(123)에 의해 지지된 후 하강되어, 로딩 영역을 제공하는 플레이트(122)의 상면(122S)에 안착될 수 있다. 센서 모듈(WS)은 기판(W)의 중심과 정전 척(120)의 중심과의 편차값을 정밀하게 측정하기 위한 측정 장치이다. 센서 모듈(WS)은 기판(W)과 유사하게 웨이퍼 형상를 가질 수 있으나, 이에 한정되지 않는다.
도 8 및 도 9을 참조하면, 센서 모듈(WS)은 웨이퍼 형상 외에도 십자 형상 등과 같이 다양한 형상를 가질 수 있다. 센서 모듈(WS)은 정전 척(120)의 상면(122S)에 안착되는 하면(WS1) 및 하면(WS1)과 반대되는 상면(WS2)을 가질 수 있다. 센서 모듈(WS)의 두께(T)는 기판(W)의 두께와 같거나 작을 수 있다. 예를 들어, 센서 모듈(WS)의 두께(T)는 약 5mm 이하일 수 있다. 센서 모듈(WS)의 상면(WS2)에는 이미지 스캐닝 모듈(S1, S2, S3)이 적어도 3개소에 배치될 수 있다. 이미지 스캐닝 모듈(S1, S2, S3)은 센서 모듈(WS)을 관통하는 홀(H)을 통해 관찰되는 정전 척(120)의 상면(122S)의 에지(E)의 이미지를 획득하기 위한 것이다. 이미지 스캐닝 모듈(S1, S2, S3)은 플레이트(122)의 에지(122E)가 화각에 들어오는 위치에 배치될 수 있다. 이미지 스캐닝 모듈(S1, S2, S3)은, CCD(charge coupled device) 카메라 또는 CMOS(complementary metal-oxide semiconductor) 카메라를 포함할 수 있다.
센서 모듈(WS)은 정전 척(120)의 상면(122S)의 에지(122E)가 포함된 이미지를 획득하고 제어부(700)로 전송할 수 있다. 제어부(700)는 센서 모듈(WS)에서 촬상된 이미지를 기초로, 플레이트(122)의 상면(122S)의 중심(C3)을 산출할 수 있다. 도 10(a) 및 도 10(b)를 참조하여 플레이트(122)의 상면(122S)의 중심(C3)을 산출하는 과정에 대해 설명한다.
도 10(a)을 참조하면, 제어부(700)는 센서 모듈(WS)에서 획득된 이미지(이하, '제2 이미지(IMG2)'라 함)에서 정전 척(120)의 상면(122S)에 배치된 기구물인 리프트 핀(123)의 위치값 및 에지(122E)를 추출할 수 있다. 제어부(700)는 리프트 핀(123)의 위치값 및 에지(122E)로부터 정전 척(120)의 상면(122S)의 중심을 산출할 수 있다. 또한, 도 10(b)를 참조하면, 제어부(700)는 메모리에 미리 저장된 이미지(이하 '제2 기준 이미지(RF_IMG2)'라 함)에서 정전 척(120)의 상면(122SR)과 에지(122ER)를 추출하고, 정전 척(120)의 상면(122S)의 기준 중심을 산출할 수 있다. 제어부(700)는 제2 이미지(IMG2)로부터 산출된 정전 척(120)의 중심과 제2 기준 이미지로부터 산출된 정전 척(120)의 중심을 비교하여, 기판(W)의 중심과 정전 척(120)의 중심 간의 편차값을 산출할 수 있다. 앞서 설명한 바와 같이, 제어부(700)는 산출된 편차값을 기초로, 이송 로봇(220)을 티칭하여 기판(W)의 위치를 보정할 수 있다. 제어부(700)는 산출된 기판(W)의 중심(C4)의 편차값을 데이터 베이스화하여 메모리에 저장할 수 있다.
다음으로, 도 11 내지 도 13를 참조하여, 본 발명의 일 실시예에 의한 기판 정렬 방법에 대해 설명한다. 도 11은 본 발명의 일 실시예에 의한 기판 정렬 방법의 흐름도이다. 도 12은 도 11의 S20 단계를 구체적으로 도시한 흐름도이고, 도 13는 도 11의 S30 단계를 구체적으로 도시한 흐름도이다. 일 실시예에 의한 기판 정렬 방법은 도 1 내지 도 3에 도시된 기판 정렬 장치가 채용된 기판 처리 장치에서 수행될 수 있다. 앞서, 기판 처리 장치의 각 구성에 대해서 구체적으로 설명하였으므로, 설명이 중복되는 것을 방지하기 위해, 기판 정렬 장치의 각 구성에 대해서는 구체적인 설명을 생략한다.
먼저, 제어부(700)는 기판(W)을 처리 모듈(100) 내의 로딩 영역에 로딩하고 기판 처리 공정을 수행할 수 있다(S10). 실시예에 따라, 로딩 영역은 정전 척(120)의 상면일 수 있다. 실시예에 따라서, 제어부(700)는 기판(W)을 처리 모듈(100) 내에 로딩 하기 전에, 기판(W)의 하면(W1)을 촬상한 제1 기준 이미지(RE_IMG1)를 획득할 수 있다. 제어부(700)는 미리 저장된 데이터 베이스의 데이터를 기초로, 기판(W)의 중심의 위치 편차가 발생하여 티칭이 필요할 설비를 예측할 수 있다(S20).
즉, 제어부(700)는 기판 처리 장치(10)가 복수개인 경우, 편차가 발생하여 티칭이 필요할 기판 처리 장치(10)를 예측하거나, 기판 처리 장치(10) 중 티칭이 필요할 처리 모듈(100)을 예측할 수 있다. 이러한 예측은, 데이터 베이스에 저장된 데이터에 머신 러닝(Machine Learning)을 적용하여 수행될 수 있다. 다만, 실시예에 따라서는, 이상이 발생할 설비를 예측하는 단계는 생략될 수 있다.
다음으로, 제어부(700)는 센서 모듈(WS)의 반입 여부를 결정할 수 있다(S30). 구체적으로, 제어부(700)는 촬상부(423)를 이용하여 기판(W)의 하면(W1)을 촬상한 제1 이미지(IMG1)를 획득하고(S31), 제1 이미지(IMG1)에서 기구물의 패턴과 기판(W)의 에지를 추출할 수 있다(S32). 제어부(700)는 기구물의 패턴으로부터 로딩 영역(즉, 정전 척)의 개략적인 중심(C2)의 위치값을 산출할 수 있다(S33). 제어부(700)는 기판(W)의 에지로부터 기판(W)의 중심을 산출할 수 있다(S34). 실시예에 따라서, S33 단계 S34 단계는 순서가 바뀌어 수행되거나 실질적으로 동시에 수행될 수도 있다. 실시예에 따라서, 제어부(700)는 앞서 획득한 제1 기준 이미지(RF_IMG1)와 제1 이미지(IMG1)를 비교하여, 제1 이미지(IMG1)에서 이전 기판 처리 공정에서 형성된 패턴을 삭제하는 단계가 더 수행될 수 있다.
제어부(700)는 로딩 영역의 개략적인 중심(C2)의 위치값과, 기판(W)의 중심을 비교하여, 로딩 영역의 개략적인 중심(C2)과 기판(W)의 중심의 편차인 제1 정렬 오차값을 산출할 수 있다(S35). 다음으로, 제어부(700)는 제1 정렬 오차값이 오차 범위 이내인지를 판별할 수 있다(S36). 제1 정렬 오차값이 오차 범위 이내가 아닌 경우(아니오), 즉, 제1 정렬 오차값이 오차 범위를 초과하는 경우, 제어부(700)는 센서 모듈(WS)을 처리 모듈(100)에 투입하는 것으로 결정할 수 있다(S37). 다음으로, 제어부(700)는 티칭 수행 여부를 결정하고(S40), 티칭을 수행하고(S50), 데이터를 저장하는(S50) 후속 단계를 수행할 수 있다.
반면에, 제1 정렬 오차값이 오차 범위 내인 경우(예)에는, 제어부(700)는 센서 모듈(WS)을 처리 모듈(100)에 투입하는 것을 보류하는 것으로 결정할 수 있다. 이 경우, 제어부(700)는 데이터를 데이터 베이스화하여 저장하는(S50) 후속 단계만 수행할 수 있다.
도 13을 참조하여, 티칭 수행 여부를 결정하는 단계(S40)에 대해 설명한다. 먼저, 제어부(700)는 처리 모듈(100) 내에 센서 모듈(WS)을 반입하고, 로딩 영역인 정전 척(120)의 상면을 촬상한 제2 이미지(IMG2)를 획득할 수 있다(S41). 제어부(700)는 제2 이미지(IMG2)로부터 정전 척(120)의 상면의 에지(122S)를 추출할 수 있다(S42). 제어부(700)는 추출된 에지(122S)로부터 정전 척(120)의 상면의 중심의 위치값을 산출할 수 있다(S43). 제어부(700)는 산출된 중심의 위치값과 미리 저장된 기준값을 비교하여 제2 정렬 오차값을 산출할 수 있다(S44). 제어부(700)는 제2 정렬 오차값이 오차 범위 이내가 아닌 경우(아니오), 즉, 제2 정렬 오차값이 오차 범위를 초과하는 경우, 티칭을 수행하는 것으로 결정할 수 있다(S46). 반면에, 제2 정렬 오차값이 오차 범위 내인 경우(예)에는, 티칭을 보류하는 것으로 결정할 수 있다(S47).
다음으로, 도 14 및 도 15를 참조하여, 본 발명의 일 실시예에 의한 기판 정렬 방법에 대해 설명한다. 도 14은 본 발명의 일 실시예에 의한 기판 정렬 방법의 흐름도이다. 도 15는 도 14의 S130 단계를 구체적으로 도시한 흐름도이다. 일 실시예는 앞서 설명한 실시예와 비교할 때, 센서 모듈(WS)을 반입하여 티칭 여부를 결정하는 단계가 삭제되고, 기판(W)의 하면을 촬상한 이미지를 기초로 티칭 여부를 결정하는 차이점이 있다. 앞서 설명한 실시예와 중복되는 단계를 설명을 생략한다.
먼저, 제어부(700)는 기판(W)을 처리 모듈(100) 내의 로딩 영역에 로딩하고 기판 처리 공정을 수행할 수 있다(S110).
다음으로, 제어부(700)는 미리 저장된 데이터 베이스의 데이터를 기초로 이상이 발생할 설비를 예측할 수 있다(S120).
다음으로, 제어부(700)는 기판(W)의 하면을 검사하여, 티칭 여부를 결정할 수 있다(S130). 구체적으로, 제어부(700)는 촬상부(423)를 이용하여 기판(W)의 하면을 촬상한 제1 이미지(IMG1)를 획득하고(S131), 제1 이미지(IMG1)에서 기구물의 패턴과 기판(W)의 에지를 추출할 수 있다(S132). 제어부(700)는 기구물의 패턴으로부터 로딩 영역의 개략적인 중심(C2)의 위치값을 산출할 수 있다(S133). 제어부(700)는 기판(W)의 에지로부터 기판(W)의 중심을 산출할 수 있다(S134). 제어부(700)는 로딩 영역의 개략적인 중심(C2)의 위치값과, 기판(W)의 중심을 비교하여, 로딩 영역의 개략적인 중심(C2)과 기판(W)의 중심의 편차인 제1 정렬 오차값을 산출할 수 있다(S135). 다음으로, 제어부(700)는 제1 정렬 오차값이 오차 범위 이내인지를 판별할 수 있다(S136). 제어부(700)는 제1 정렬 오차값이 오차 범위 이내가 아닌 경우(아니오), 즉, 제1 정렬 오차값이 오차 범위를 초과하는 경우, 티칭을 수행하는 것으로 결정할 수 있다(S137). 반면에, 제1 정렬 오차값이 오차 범위 내인 경우(예)에는, 티칭을 보류하는 것으로 결정할 수 있다(S138).
S130 단계에서의 티칭을 수행하는 것으로 결정된 경우, 제어부(700)는 티칭을 수행하고(S140), 데이터를 저장하는(S150) 후속 단계를 수행할 수 있다. 반면에, S130 단계에서의 티칭을 보류하는 것으로 결정된 경우, 제어부(700)는 데이터를 데이터 베이스화하여 저장하는(S150) 후속 단계만 수행할 수 있다.
본 발명은 상술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니며 첨부된 청구범위에 의해 한정하고자 한다. 따라서, 청구범위에 기재된 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 당 기술분야의 통상의 지식을 가진 자에 의해 다양한 형태의 치환, 변형 및 변경이 가능할 것이며, 이 또한 본 발명의 범위에 속한다고 할 것이다.
10: 기판 처리 장치
100: 처리 모듈
200: 기판 이송 모듈
220; 이송 로봇
400: 로드 포트
420: 이송 용기
500: 인터페이스 모듈
510: 인터페이스 로봇
600: 로드락 챔버
700: 제어부
W: 기판

Claims (20)

  1. 기판을 챔버 내에 반입하여 로딩 영역에 배치하고 기판 처리 공정을 수행하는 단계;
    상기 기판의 하면을 촬상하여 제1 이미지를 획득하고, 상기 제1 이미지로부터 상기 기판 처리 공정에서 상기 기판의 상기 하면에 부착되어 형성된 파티클 패턴들과 상기 기판의 에지(egde)를 식별하고, 상기 파티클 패턴들로부터 산출된 상기 로딩 영역의 중심의 개략 위치값과 상기 기판의 상기 에지로부터 산출된 상기 기판의 중심 간의 편차인 제1 정렬 오차값을 산출하여, 상기 챔버 내에 상기 로딩 영역의 상기 중심의 정밀 위치값을 측정하기 위한 센서 모듈을 반입하는 시점을 결정하는 단계;
    상기 센서 모듈을 상기 로딩 영역에 배치하고 상기 로딩 영역을 촬상하여 제2 이미지를 획득하고, 상기 제2 이미지로부터 상기 로딩 영역의 에지를 추출하고, 상기 로딩 영역의 상기 에지로부터 상기 로딩 영역의 상기 중심의 상기 정밀 위치값을 산출하고, 상기 정밀 위치값과 기 저장된 기준 위치값과의 편차인 제2 정렬 오차값을 산출하여, 상기 상기 기판을 상기 챔버 내에 배치하는 이송 로봇을 티칭하는 시점을 결정하는 단계를 포함하는 기판 정렬 방법.
  2. 제1항에 있어서,
    상기 센서 모듈을 반입하는 시점을 결정하는 단계는,
    상기 제1 정렬 오차값이 기 저장된 오차 범위를 초과하면, 상기 센서 모듈을 반입하는 것으로 결정하는 기판 정렬 방법.
  3. 제1항에 있어서,
    상기 기판 처리 공정을 수행하는 단계 전에, 상기 기판의 상기 하면을 촬상하여 제1 기준 이미지를 획득하는 단계를 더 포함하는 기판 정렬 방법.
  4. 제3항에 있어서,
    상기 센서 모듈을 반입하는 시점을 결정하는 단계는,
    상기 파티클 패턴들 중 상기 제1 기준 이미지와 상기 제1 이미지에 공통으로 배치된 공통 패턴을 식별하는 단계; 및
    상기 파티클 패턴들에서 상기 공통 패턴을 제거하고 상기 기판의 상기 중심의 상기 개략 위치값을 산출하는 단계를 더 포함하는 기판 정렬 방법.
  5. 제1항에 있어서,
    상기 센서 모듈을 반입하는 시점을 결정하는 단계는,
    상기 파티클 패턴들의 중심을 연결하는 자취를 산출하는 단계; 및
    상기 자취의 중심을 상기 기판의 상기 로딩 영역의 상기 중심의 개략 위치값으로 산출하는 단계를 더 포함하는 기판 정렬 방법.
  6. 제1항에 있어서,
    상기 로딩 영역은 상기 챔버 내에 배치된 정전 척의 상면인 기판 정렬 방법.
  7. 제1항에 있어서,
    상기 이송 로봇을 티칭하는 시점을 결정하는 단계 후에, 상기 제2 정렬 오차값을 저장하여 데이터 베이스를 작성하는 단계를 더 포함하는 기판 정렬 방법.
  8. 제7항에 있어서,
    상기 챔버는 복수의 챔버를 포함하며,
    상기 센서 모듈을 반입하는 시점을 결정하는 단계 전에, 상기 데이터 베이스에 머신 러닝을 적용하여, 상기 복수의 챔버 중 상기 이송 로봇의 티칭이 필요한 챔버를 예측하는 단계를 더 포함하고,
    상기 데이터 베이스에 머신 러닝을 적용하여, 상기 복수의 챔버 중 상기 이송 로봇의 티칭이 필요한 챔버를 예측하는 단계는,
    상기 데이터 베이스에 작성된 상기 제2 정렬 오차값이 기 지정된 오차 범위를 초과하게 되는 시점을, 상기 이송 로봇의 가동 시간을 기초로 예측하는 것을 포함하는 기판 정렬 방법.
  9. 기판을 챔버 내에 반입하여 로딩 영역에 배치하고 기판 처리 공정을 수행하는 단계;
    상기 기판의 하면을 촬상하여 제1 이미지를 획득하고, 상기 제1 이미지로부터 상기 기판 처리 공정에서 상기 하면에 형성된 파티클 패턴들과 상기 기판의 에지(edge)를 식별하고, 상기 파티클 패턴들로부터 산출된 상기 로딩 영역의 중심의 개략 위치값과 상기 기판의 상기 에지로부터 산출된 상기 기판의 중심간의 편차인 제1 정렬 오차값을 산출하는 단계; 및
    상기 제1 정렬 오차값을 기초로, 상기 기판을 상기 챔버 내에 배치하는 이송 로봇을 티칭하는 시점을 결정하는 단계를 포함하는 기판 정렬 방법.
  10. 제9항에 있어서,
    상기 이송 로봇을 티칭하는 단계 전에,
    상기 로딩 영역의 중심의 정밀 위치값을 측정하기 위한 센서 모듈을 상기 로딩 영역에 배치하고, 상기 로딩 영역을 촬상하여 제2 이미지를 획득하고, 상기 제2 이미지로부터 상기 로딩 영역의 에지를 추출하고, 상기 로딩 영역의 상기 에지로부터 상기 정밀 위치값을 산출하고, 상기 정밀 위치값과 기 저장된 기준 위치값과의 편차인 제2 정렬 오차값을 산출하는 단계를 더 포함하며,
    상기 이송 로봇을 티칭하는 시점을 결정하는 단계는, 상기 제2 정렬 오차값을 기초로, 상기 이송 로봇을 티칭하는 기판 정렬 방법.
  11. 제10항에 있어서,
    상기 기판은 웨이퍼이며,
    상기 센서 모듈은 상기 기판과 동일한 형상인 기판 정렬 방법.
  12. 제11항에 있어서,
    상기 센서 모듈의 두께는 5mm 이하인 기판 정렬 방법.
  13. 제9항에 있어서,
    상기 기판을 상기 챔버에 반입하기 전에, 상기 기판의 상기 하면의 이미지를 촬상하여 제1 기준 이미지를 획득하는 단계를 더 포함하는 기판 정렬 방법.
  14. 제9항에 있어서,
    상기 이송 로봇을 티칭하는 시점을 결정하는 단계 후에, 상기 제1 정렬 오차값을 저장하여 데이터 베이스를 작성하는 단계를 더 포함하는 기판 정렬 방법.
  15. 제14항에 있어서,
    상기 챔버는 복수의 챔버를 포함하며,
    상기 제1 정렬 오차값을 산출하는 단계 전에, 상기 데이터 베이스에 머신 러닝을 적용하여, 상기 복수의 챔버 중 상기 이송 로봇의 티칭이 필요한 챔버를 예측하는 단계를 더 포함하고,
    상기 데이터 베이스에 머신 러닝을 적용하여, 상기 복수의 챔버 중 상기 이송 로봇의 티칭이 필요한 챔버를 예측하는 단계는,
    상기 데이터 베이스에 작성된 상기 제1 정렬 오차값이 기 지정된 오차 범위를 초과하게 되는 시점을, 상기 이송 로봇의 가동 시간을 기초로 예측하는 것을 포함하는 기판 정렬 방법.
  16. 기판을 처리하는 기판 처리 공정이 수행되는 내부 공간을 갖는 챔버;
    상기 내부 공간 내에 배치되며, 상기 기판의 하면이 안착되고 기구물이 배치된 로딩 영역을 제공하는 기판 지지 유닛;
    상기 기판 처리 공정이 수행된 상기 기판의 상기 하면을 촬상하여 제1 이미지를 획득하는 촬상부;
    상기 내부 공간에 반입되어 상기 로딩 영역에 안착되며 상기 로딩 영역을 촬상하여 제2 이미지를 획득하는 센서 모듈;
    상기 내부 공간에 상기 센서 모듈 및 상기 기판을 반입 및 반출하는 이송 로봇; 및
    상기 제1 이미지에서, 상기 기판 처리 공정이 수행되는 과정에서 상기 기구물의 배치가 전사된 파티클 패턴들과 상기 기판의 에지(edge)를 식별하고 상기 파티클 패턴들과 상기 기판의 상기 에지로부터 각각 상기 로딩 영역의 개략적인 중심과 상기 기판의 중심 간의 편차인 제1 정렬 오차값을 산출하고, 상기 제1 정렬 오차값으로부터 상기 센서 모듈을 상기 내부 공간에 반입하는 시점을 결정하고, 상기 제2 이미지로부터 상기 로딩 영역의 에지를 검출하고 상기 로딩 영역의 상기 에지와 기준 위치값을 비교하여 상기 기판의 제2 정렬 오차값을 산출하고, 상기 이송 로봇을 티칭하여 상기 제2 정렬 오차값을 보정하는 제어부를 포함하는 기판 정렬 장치.
  17. 제16항에 있어서,
    상기 기판 지지 유닛은 정전 척을 포함하며,
    상기 센서 모듈은 상기 정전 척의 상면을 촬상하는 기판 정렬 장치.
  18. 제16항에 있어서,
    상기 센서 모듈은 복수의 이미지 스캐닝 모듈을 포함하며,
    상기 복수의 이미지 스캐닝 모듈은, 각각 상기 로딩 영역의 상기 에지를 촬상하는 기판 정렬 장치.
  19. 제16항에 있어서,
    상기 센서 모듈은 상기 기판과 동일한 형상인 기판 정렬 장치.
  20. 제19항에 있어서,
    상기 센서 모듈의 두께는 5mm 이하인 기판 정렬 장치.
KR1020220024592A 2022-02-24 2022-02-24 기판 정렬 장치 및 이를 이용한 기판 정렬 방법 Active KR102721980B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020220024592A KR102721980B1 (ko) 2022-02-24 2022-02-24 기판 정렬 장치 및 이를 이용한 기판 정렬 방법
US17/957,967 US12172313B2 (en) 2022-02-24 2022-09-30 Substrate processing apparatus and substrate alignment method using the same
TW111149465A TW202335154A (zh) 2022-02-24 2022-12-22 基底對位方法
CN202310155823.0A CN116646293A (zh) 2022-02-24 2023-02-23 衬底处理设备和利用其的衬底对准方法
US18/961,702 US20250091203A1 (en) 2022-02-24 2024-11-27 Substrate processing apparatus and substrate alignment method using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020220024592A KR102721980B1 (ko) 2022-02-24 2022-02-24 기판 정렬 장치 및 이를 이용한 기판 정렬 방법

Publications (2)

Publication Number Publication Date
KR20230127027A KR20230127027A (ko) 2023-08-31
KR102721980B1 true KR102721980B1 (ko) 2024-10-25

Family

ID=87573483

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220024592A Active KR102721980B1 (ko) 2022-02-24 2022-02-24 기판 정렬 장치 및 이를 이용한 기판 정렬 방법

Country Status (4)

Country Link
US (2) US12172313B2 (ko)
KR (1) KR102721980B1 (ko)
CN (1) CN116646293A (ko)
TW (1) TW202335154A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12172313B2 (en) 2022-02-24 2024-12-24 Samsung Electronics Co., Ltd. Substrate processing apparatus and substrate alignment method using the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102125839B1 (ko) 2017-09-27 2020-06-23 가부시키가이샤 알박 위치검출장치, 위치검출방법, 및 증착장치

Family Cites Families (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4364074A (en) 1980-06-12 1982-12-14 International Business Machines Corporation V-MOS Device with self-aligned multiple electrodes
US4326332A (en) 1980-07-28 1982-04-27 International Business Machines Corp. Method of making a high density V-MOS memory array
US4362486A (en) 1980-10-07 1982-12-07 International Business Machines Corporation Automatic multilayer ceramic (MLC) screening machine
JPS57113264A (en) 1980-12-29 1982-07-14 Fujitsu Ltd Manufacture of mis type capacitor
DE3121666A1 (de) 1981-05-30 1982-12-16 Ibm Deutschland Gmbh, 7000 Stuttgart Verfahren und einrichtung zur gegenseitigen ausrichtung von objekten bei roentgenstrahl- und korpuskularstrahl-belichtungsvorgaengen
US4399205A (en) 1981-11-30 1983-08-16 International Business Machines Corporation Method and apparatus for determining photomask alignment
US4668045A (en) 1983-01-03 1987-05-26 Gte Laboratories Incorporated Optical fiber centering device
US4835078A (en) 1987-07-06 1989-05-30 American Telephone And Telegraph Company Method for aligning photomasks
US4904087A (en) 1987-07-06 1990-02-27 American Telephone & Telegraph Co., At&T Bell Laboratories Method for aligning photomasks
JP2928331B2 (ja) 1990-05-14 1999-08-03 東京エレクトロン株式会社 プローバのアライメント装置及び方法
US5042709A (en) 1990-06-22 1991-08-27 International Business Machines Corporation Methods and apparatus for precise alignment of objects
JP3208734B2 (ja) 1990-08-20 2001-09-17 東京エレクトロン株式会社 プローブ装置
US5138429A (en) 1990-08-30 1992-08-11 Hewlett-Packard Company Precisely aligned lead frame using registration traces and pads
US5552916A (en) 1990-09-07 1996-09-03 Displaytech, Inc. Diffractive light modulator
US5093740A (en) 1991-02-28 1992-03-03 Raytheon Company Optical beam steerer having subaperture addressing
US5229331A (en) 1992-02-14 1993-07-20 Micron Technology, Inc. Method to form self-aligned gate structures around cold cathode emitter tips using chemical mechanical polishing technology
US5257336A (en) 1992-08-21 1993-10-26 At&T Bell Laboratories Optical subassembly with passive optical alignment
US5333166A (en) 1992-08-28 1994-07-26 Intel Corporation Self-apodizing collimator for x-ray lithography
JP3635684B2 (ja) 1994-08-23 2005-04-06 株式会社ニコン 反射屈折縮小投影光学系、反射屈折光学系、並びに投影露光方法及び装置
US5413489A (en) 1993-04-27 1995-05-09 Aptix Corporation Integrated socket and IC package assembly
JP3395801B2 (ja) 1994-04-28 2003-04-14 株式会社ニコン 反射屈折投影光学系、走査型投影露光装置、及び走査投影露光方法
US5469263A (en) 1994-07-01 1995-11-21 Motorola, Inc. Method for alignment in photolithographic processes
US5679125A (en) 1994-07-07 1997-10-21 Nikon Corporation Method for producing silica glass for use with light in a vacuum ultraviolet wavelength range
USRE38438E1 (en) 1994-08-23 2004-02-24 Nikon Corporation Catadioptric reduction projection optical system and exposure apparatus having the same
US5859947A (en) 1994-10-02 1999-01-12 Ramot University Authority For Applied Research & Industrial Development Ltd. Positioning devices and a method and positioning device for aligning an optical fiber with an optical beam
US6518210B1 (en) 1995-01-06 2003-02-11 Nikon Corporation Exposure apparatus including silica glass and method for producing silica glass
US6087283A (en) 1995-01-06 2000-07-11 Nikon Corporation Silica glass for photolithography
JP3454390B2 (ja) 1995-01-06 2003-10-06 株式会社ニコン 投影光学系、投影露光装置及び投影露光方法
US5610930A (en) 1995-03-02 1997-03-11 Hughes Aircraft Company Voltage adding diode laser array
JP3819048B2 (ja) 1995-03-15 2006-09-06 株式会社ニコン 投影光学系及びそれを備えた露光装置並びに露光方法
JP3064857B2 (ja) 1995-03-28 2000-07-12 株式会社ニコン 光リソグラフィー用光学部材および合成石英ガラスの製造方法
US5759867A (en) 1995-04-21 1998-06-02 International Business Machines Corporation Method of making a disposable corner etch stop-spacer for borderless contacts
US5573963A (en) 1995-05-03 1996-11-12 Vanguard International Semiconductor Corporation Method of forming self-aligned twin tub CMOS devices
US5631987A (en) 1995-06-07 1997-05-20 Reliaspeed, Inc. Low cost, mode-field matched, high performance laser transmitter optical subassembly
US5872042A (en) 1996-08-22 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for alignment mark regeneration
US5842300A (en) 1996-09-09 1998-12-01 Fss, Inc. Retrofittable laser and recoil system for a firearm
KR100246850B1 (ko) 1996-10-08 2000-03-15 윤종용 건식 식각 공정후 웨이퍼의 불량 로딩을 감지하기 위한 웨이퍼 캐리어 엘리베이터
US5792680A (en) 1996-11-25 1998-08-11 Vanguard International Semiconductor Corporation Method of forming a low cost DRAM cell with self aligned twin tub CMOS devices and a pillar shaped capacitor
US5723374A (en) 1996-12-27 1998-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming dielectric spacer to prevent poly stringer in stacked capacitor DRAM technology
US6690185B1 (en) 1997-01-15 2004-02-10 Formfactor, Inc. Large contactor with multiple, aligned contactor units
US5911108A (en) 1997-01-29 1999-06-08 Integrated Device Technology, Inc. Method for protecting an alignment mark on a semiconductor substrate during chemical mechanical polishing and the resulting structure
US6013954A (en) 1997-03-31 2000-01-11 Nec Corporation Semiconductor wafer having distortion-free alignment regions
US5940564A (en) 1997-08-05 1999-08-17 Picolight, Inc. Device for coupling a light source or receiver to an optical waveguide
US5972753A (en) 1997-12-04 1999-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of self-align cell edge implant to reduce leakage current and improve program speed in split-gate flash
US5998252A (en) 1997-12-29 1999-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of salicide and sac (self-aligned contact) integration
JPH11233397A (ja) 1998-02-13 1999-08-27 Mitsubishi Electric Corp アライメント方法及び半導体装置
US5995688A (en) 1998-06-01 1999-11-30 Lucent Technologies, Inc. Micro-opto-electromechanical devices and method therefor
US6184104B1 (en) 1998-09-10 2001-02-06 Chartered Semiconductor Manufacturing Ltd. Alignment mark strategy for oxide CMP
US6239485B1 (en) 1998-11-13 2001-05-29 Fujitsu Limited Reduced cross-talk noise high density signal interposer with power and ground wrap
US6206272B1 (en) 1999-04-08 2001-03-27 Intel Corporation Alignment weight for floating field pin design
US6243508B1 (en) 1999-06-01 2001-06-05 Picolight Incorporated Electro-opto-mechanical assembly for coupling a light source or receiver to an optical waveguide
US6140220A (en) 1999-07-08 2000-10-31 Industrial Technology Institute Reseach Dual damascene process and structure with dielectric barrier layer
US6045426A (en) 1999-08-12 2000-04-04 Industrial Technology Research Institute Method to manufacture field emission array with self-aligned focus structure
US6266472B1 (en) 1999-09-03 2001-07-24 Corning Incorporated Polymer gripping elements for optical fiber splicing
US6207532B1 (en) 1999-09-30 2001-03-27 Taiwan Semiconductor Manufacturing Company STI process for improving isolation for deep sub-micron application
US6204134B1 (en) 1999-11-01 2001-03-20 Taiwan Semiconductor Manufacturing Company Method for fabricating a self aligned contact plug
US6406994B1 (en) 1999-12-03 2002-06-18 Chartered Semiconductor Manufacturing Ltd. Triple-layered low dielectric constant dielectric dual damascene approach
US6509264B1 (en) 2000-03-30 2003-01-21 Chartered Semiconductor Manufacturing Ltd. Method to form self-aligned silicide with reduced sheet resistance
US6365059B1 (en) 2000-04-28 2002-04-02 Alexander Pechenik Method for making a nano-stamp and for forming, with the stamp, nano-size elements on a substrate
US20010035400A1 (en) 2000-05-05 2001-11-01 Andreas Gartner Method for sundering semiconductor materials
US6350680B1 (en) 2000-05-26 2002-02-26 Taiwan Semiconductor Manufacturing Company Pad alignment for AlCu pad for copper process
US6579407B1 (en) 2000-06-30 2003-06-17 Lam Research Corporation Method and apparatus for aligning and setting the axis of rotation of spindles of a multi-body system
JP4576694B2 (ja) 2000-10-11 2010-11-10 東京エレクトロン株式会社 被処理体の処理システムの搬送位置合わせ方法及び被処理体の処理システム
US6492269B1 (en) 2001-01-08 2002-12-10 Taiwan Semiconductor Manufacturing Company Methods for edge alignment mark protection during damascene electrochemical plating of copper
US6819426B2 (en) 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US20060174753A1 (en) 2001-02-15 2006-08-10 Thomas Aisenbrey Musical instruments and components manufactured from conductively doped resin-based materials
US6541346B2 (en) 2001-03-20 2003-04-01 Roger J. Malik Method and apparatus for a self-aligned heterojunction bipolar transistor using dielectric assisted metal liftoff process
US6623911B1 (en) 2001-09-17 2003-09-23 Taiwan Semiconductor Manufacturing Company Method to form code marks on mask ROM products
US6959024B2 (en) 2002-02-28 2005-10-25 Picarro, Inc. Laser Tuning by spectrally dependent spatial filtering
DE10219886B4 (de) 2002-05-03 2007-10-04 Chunghwa Telecom Co.Ltd. Selbstjustierendes Verfahren zur Herstellung eines Stegwellenleiter-Halbleiterlasers
US6907178B2 (en) 2002-06-13 2005-06-14 Steve Lerner Optoelectronic assembly with embedded optical and electrical components
JP4062983B2 (ja) 2002-06-20 2008-03-19 富士ゼロックス株式会社 表面発光型半導体レーザおよびその製造方法
US6735492B2 (en) 2002-07-19 2004-05-11 International Business Machines Corporation Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings
US20050074047A1 (en) 2002-08-07 2005-04-07 Richard Boggy Laser with life saver mode
US7508034B2 (en) 2002-09-25 2009-03-24 Sharp Kabushiki Kaisha Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device
AU2003287618A1 (en) 2002-11-12 2004-06-03 Nanoink, Inc. Methods and apparatus for ink delivery to nanolithographic probe systems
JP2004212221A (ja) 2002-12-27 2004-07-29 Toshiba Corp パターン検査方法及びパターン検査装置
US9574290B2 (en) 2003-01-13 2017-02-21 Nantero Inc. Methods for arranging nanotube elements within nanotube fabrics and films
US6933523B2 (en) 2003-03-28 2005-08-23 Freescale Semiconductor, Inc. Semiconductor alignment aid
US6955984B2 (en) 2003-05-16 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Surface treatment of metal interconnect lines
US7375809B2 (en) 2003-06-20 2008-05-20 International Business Machines Corporation Alignment routine for optically based tools
WO2005006002A2 (de) 2003-07-12 2005-01-20 Leica Microsystems Semiconductor Gmbh Verfahren zum einlernen einer wissensbasierten datenbasis für die automatische fehlerklassifikation
US7431705B2 (en) 2003-11-30 2008-10-07 Union Semiconductor Technology Corporation Die-first multi-chip modules and methods of manufacture
WO2005114095A2 (en) 2004-05-21 2005-12-01 Zetetic Institute Apparatus and methods for overlay, alignment mark, and critical dimension metrologies based on optical interferometry
WO2006023612A2 (en) 2004-08-19 2006-03-02 Zetetic Institute Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers
US7650029B2 (en) 2004-11-23 2010-01-19 Hewlett-Packard Development Company, L.P. Multiple layer alignment sensing
US20110311722A1 (en) 2005-04-07 2011-12-22 Faris Sadeg M Method of and system for forming nanostructures and nanotubes
US7522267B2 (en) 2005-07-11 2009-04-21 Brooks Automation, Inc. Substrate transport apparatus with automated alignment
US7644489B2 (en) 2005-08-31 2010-01-12 Massachusetts Institute Of Technology Thin membrane alignment method using patterned nanomagnets
US20070108638A1 (en) 2005-11-16 2007-05-17 International Business Machines Corporation Alignment mark with improved resistance to dicing induced cracking and delamination in the scribe region
JP2007251090A (ja) 2006-03-20 2007-09-27 Tokyo Electron Ltd 真空処理装置の搬送位置合わせ方法、真空処理装置及びコンピュータ記憶媒体
US20070228425A1 (en) 2006-04-04 2007-10-04 Miller Gayle W Method and manufacturing low leakage MOSFETs and FinFETs
US7486878B2 (en) 2006-09-29 2009-02-03 Lam Research Corporation Offset correction methods and arrangement for positioning and inspecting substrates
US20080203536A1 (en) 2007-02-28 2008-08-28 International Business Machines Corporation Bipolar transistor using selective dielectric deposition and methods for fabrication thereof
JP5266683B2 (ja) 2007-08-03 2013-08-21 村田機械株式会社 搬送システム、及び該搬送システムにおける教示方法
US8260461B2 (en) 2007-08-30 2012-09-04 Applied Materials, Inc. Method and system for robot calibrations with a camera
US20090078562A1 (en) 2007-09-20 2009-03-26 International Business Machines Corporation Method of system maintenance planning based on continual robot parameter monitoring
US8106349B2 (en) 2008-07-16 2012-01-31 Delta Design, Inc. Vision alignment with multiple cameras and common coordinate at contactor for IC device testing handlers
JP5367390B2 (ja) 2009-01-28 2013-12-11 ラピスセミコンダクタ株式会社 半導体装置及びその製造方法
US8289388B2 (en) 2009-05-14 2012-10-16 Asm Assembly Automation Ltd Alignment method for singulation system
US8207058B1 (en) 2009-06-29 2012-06-26 Georgia Tech Research Corporation Electron beam induced deposition of interface to carbon nanotube
US9098903B2 (en) * 2009-07-21 2015-08-04 R.R. Donnelley & Sons Company Systems and methods for detecting alignment errors
JP2011066185A (ja) 2009-09-17 2011-03-31 Ushio Inc ワークアライメントマークの検出方法および露光装置
WO2011034985A1 (en) 2009-09-17 2011-03-24 Sciaky, Inc. Electron beam layer manufacturing
US8459922B2 (en) 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
US20110297141A1 (en) 2010-02-12 2011-12-08 David Correia Tilt Sensor and Method of Use
CN102812347B (zh) 2010-01-04 2016-08-17 Bt成像股份有限公司 用于光伏电池和晶片的光致发光成像的照射系统和方法
JP5083339B2 (ja) 2010-02-04 2012-11-28 東京エレクトロン株式会社 基板搬送装置及び基板搬送方法並びに記憶媒体
US10661304B2 (en) 2010-03-30 2020-05-26 Nantero, Inc. Microfluidic control surfaces using ordered nanotube fabrics
WO2011123560A1 (en) 2010-03-30 2011-10-06 Nantero, Inc. Methods for arranging nanoscopic elements within networks, fabrics, and films
US20110245964A1 (en) 2010-04-06 2011-10-06 Sullivan Robert P Self Aligning Automated Material Handling System
JP5411049B2 (ja) 2010-04-07 2014-02-12 オムロン株式会社 画像処理装置
US20120034591A1 (en) 2010-08-04 2012-02-09 Academicmerit, Llc Student performance assessment
CN103119506A (zh) 2010-09-29 2013-05-22 夏普株式会社 液晶显示面板用基板、液晶显示面板、液晶显示面板用基板的制造方法以及基板检查方法
US8767199B2 (en) 2010-10-15 2014-07-01 Infrared Laboratories, Inc. Inspection system utilizing solid immersion lenses
US8515294B2 (en) 2010-10-20 2013-08-20 At&T Intellectual Property I, L.P. Method and apparatus for providing beam steering of terahertz electromagnetic waves
US20150212377A1 (en) 2011-06-27 2015-07-30 Sharp Kabushiki Kaisha Liquid crystal display panel and liquid crystal display device
US8967935B2 (en) 2011-07-06 2015-03-03 Tel Nexx, Inc. Substrate loader and unloader
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
US9988734B2 (en) 2011-08-15 2018-06-05 Lam Research Corporation Lipseals and contact elements for semiconductor electroplating apparatuses
US10066311B2 (en) 2011-08-15 2018-09-04 Lam Research Corporation Multi-contact lipseals and associated electroplating methods
US8755316B2 (en) 2011-08-15 2014-06-17 Broadcom Corporation Coordination of DRX and eICIC
CN103019052B (zh) 2011-09-23 2015-10-21 中芯国际集成电路制造(北京)有限公司 光刻对准标记以及包含其的掩模板和半导体晶片
US10065340B2 (en) 2011-11-10 2018-09-04 LatticeGear, LLC Device and method for cleaving
US20130119106A1 (en) 2011-11-10 2013-05-16 LatticeGear, LLC Device and Method for Cleaving.
WO2013106507A1 (en) 2012-01-10 2013-07-18 The Corporate Executive Board Company Computerized method and system for enhancing the sales performance of selected sales force professionals
US20130181339A1 (en) 2012-01-12 2013-07-18 Mars Technology Multi-chip self-alignment assembly which can be used with flip-chip bonding
US9543223B2 (en) 2013-01-25 2017-01-10 Qoniac Gmbh Method and apparatus for fabricating wafer by calculating process correction parameters
US10145026B2 (en) 2012-06-04 2018-12-04 Slt Technologies, Inc. Process for large-scale ammonothermal manufacturing of semipolar gallium nitride boules
JP6112314B2 (ja) 2012-07-10 2017-04-12 株式会社ニコン マーク形成方法及びデバイス製造方法
JP6269964B2 (ja) 2012-07-10 2018-01-31 株式会社ニコン マーク形成方法
JP6167622B2 (ja) 2013-04-08 2017-07-26 オムロン株式会社 制御システムおよび制御方法
US9547143B2 (en) 2013-05-09 2017-01-17 Laser Mechanisms, Inc. Fiber optic laser alignment tool
KR102174332B1 (ko) 2014-07-30 2020-11-04 삼성전자주식회사 반도체 제조 라인의 스토커 및 상기 스토커를 이용하여 웨이퍼를 이송하는 방법
JP6254929B2 (ja) * 2014-11-26 2017-12-27 東京エレクトロン株式会社 測定処理装置、基板処理システム、測定用治具、測定処理方法、及びその記憶媒体
US11569138B2 (en) 2015-06-16 2023-01-31 Kla Corporation System and method for monitoring parameters of a semiconductor factory automation system
WO2017011581A1 (en) 2015-07-13 2017-01-19 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
EP3712553B1 (en) 2015-09-14 2024-03-20 Thorlabs, Inc. Apparatus and methods for one or more wavelength swept lasers and the detection of signals thereof
US20210275129A1 (en) 2016-01-11 2021-09-09 Kambiz Behzadi In situ system and method for sensing or monitoring
US11399995B2 (en) 2016-02-23 2022-08-02 Deka Products Limited Partnership Mobility device
US10908045B2 (en) 2016-02-23 2021-02-02 Deka Products Limited Partnership Mobility device
US10926756B2 (en) 2016-02-23 2021-02-23 Deka Products Limited Partnership Mobility device
KR101977755B1 (ko) 2017-07-31 2019-05-15 세메스 주식회사 기판 처리 장치 및 기판 반송 방법
JP7021877B2 (ja) 2017-08-08 2022-02-17 株式会社Screenホールディングス 基板処理装置、位置合わせ装置および位置合わせ方法
JP2019036634A (ja) 2017-08-15 2019-03-07 東京エレクトロン株式会社 基板処理装置
JP7097691B2 (ja) * 2017-12-06 2022-07-08 東京エレクトロン株式会社 ティーチング方法
CA3089491A1 (en) 2017-12-14 2019-06-20 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Fabrication of high-temperature superconducting striated tape combinations
JP7126849B2 (ja) 2018-04-13 2022-08-29 株式会社ディスコ 加工装置
US10902350B2 (en) 2018-07-20 2021-01-26 Verizon Media Inc. System and method for relationship identification
US10847393B2 (en) 2018-09-04 2020-11-24 Applied Materials, Inc. Method and apparatus for measuring process kit centering
JP7446714B2 (ja) 2019-02-01 2024-03-11 株式会社荏原製作所 基板処理装置、および基板処理方法
WO2020163657A1 (en) 2019-02-08 2020-08-13 Yaskawa America, Inc. Through-beam auto teaching
US11237344B2 (en) 2019-03-12 2022-02-01 Analog Photonics LLC Photonic die alignment
KR102577156B1 (ko) 2019-05-02 2023-09-12 에스케이하이닉스 주식회사 반도체 메모리 장치의 제조방법
US11431357B2 (en) 2019-07-09 2022-08-30 Skyworks Solutions, Inc. Envelope controlled radio frequency switches
US11165514B2 (en) 2019-07-09 2021-11-02 Skyworks Solutions, Inc. Envelope alignment calibration in radio frequency systems
KR20210027647A (ko) * 2019-08-30 2021-03-11 세메스 주식회사 기판 처리 장치 및 핸드 위치 티칭 방법
US11733099B2 (en) 2019-10-30 2023-08-22 Datacolor Inc. System and method to calibrate color measurement devices
US11370114B2 (en) * 2019-12-09 2022-06-28 Applied Materials, Inc. Autoteach enclosure system
CN111640694B (zh) 2020-06-01 2021-09-28 上海精测半导体技术有限公司 一种晶圆上片系统的校准和监控方法及晶圆上片系统
JP7482689B2 (ja) 2020-06-03 2024-05-14 東京エレクトロン株式会社 基板処理装置および基板処理方法
KR102582696B1 (ko) 2020-06-15 2023-09-26 세메스 주식회사 기판 처리 장치, 리프트 핀 높이 편차 측정 방법 및 컴퓨터 판독 가능한 처리 프로그램을 기록한 기록 매체
KR102396431B1 (ko) * 2020-08-14 2022-05-10 피에스케이 주식회사 기판 처리 장치 및 기판 반송 방법
TW202224882A (zh) * 2020-11-12 2022-07-01 日商東京威力科創股份有限公司 偵測裝置、處理系統及搬運方法
KR102721980B1 (ko) 2022-02-24 2024-10-25 삼성전자주식회사 기판 정렬 장치 및 이를 이용한 기판 정렬 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102125839B1 (ko) 2017-09-27 2020-06-23 가부시키가이샤 알박 위치검출장치, 위치검출방법, 및 증착장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12172313B2 (en) 2022-02-24 2024-12-24 Samsung Electronics Co., Ltd. Substrate processing apparatus and substrate alignment method using the same

Also Published As

Publication number Publication date
US20230264350A1 (en) 2023-08-24
CN116646293A (zh) 2023-08-25
KR20230127027A (ko) 2023-08-31
US12172313B2 (en) 2024-12-24
US20250091203A1 (en) 2025-03-20
TW202335154A (zh) 2023-09-01

Similar Documents

Publication Publication Date Title
US11037810B2 (en) Teaching method
JP7018784B2 (ja) コンタクト精度保証方法および検査装置
CN110678962B (zh) 处理条件设定方法、存储介质和基板处理系统
CN114450780A (zh) 用于衬底处理系统的自动化控制及检测的集成式硬件-软件计算机视觉系统
KR20180015652A (ko) 기판의 검사 방법, 컴퓨터 기억 매체 및 기판 검사 장치
US20250091203A1 (en) Substrate processing apparatus and substrate alignment method using the same
CN110391153B (zh) 用于半导体工艺的检查设备和半导体工艺装置
US7406360B2 (en) Method for detecting transfer shift of transfer mechanism and semiconductor processing equipment
KR102329653B1 (ko) 기판의 편심 저감 방법 및 티칭 장치
KR20190008102A (ko) 기판 위치 조정 방법, 기억 매체 및 기판 처리 시스템
US20220406634A1 (en) Inspection method and etching system
KR20190122526A (ko) 반도체 공정의 검사 장치 및 반도체 공정 장비
US20220148857A1 (en) Detection device, processing system, and transfer method
US20240014060A1 (en) Sensor module and substrate processing apparatus using the same
KR102651649B1 (ko) 기판 처리 장치 및 이를 이용한 기판 처리 방법
KR102822787B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR102012208B1 (ko) 기판 검사 방법 및 기판 처리 장치
WO2025057905A1 (ja) 基板搬送ロボットシステム、および、基板検出方法
KR20230015816A (ko) 기판 이송 설비 및 기판 포지셔닝 방법
KR102304254B1 (ko) 영상 기법을 이용한 트레이 검사 장치
KR20100047389A (ko) 카세트 맵핑 장치 및 그 제어 방법

Legal Events

Date Code Title Description
PA0109 Patent application

Patent event code: PA01091R01D

Comment text: Patent Application

Patent event date: 20220224

PA0201 Request for examination
PG1501 Laying open of application
E902 Notification of reason for refusal
PE0902 Notice of grounds for rejection

Comment text: Notification of reason for refusal

Patent event date: 20240214

Patent event code: PE09021S01D

E701 Decision to grant or registration of patent right
PE0701 Decision of registration

Patent event code: PE07011S01D

Comment text: Decision to Grant Registration

Patent event date: 20240827

GRNT Written decision to grant
PR0701 Registration of establishment

Comment text: Registration of Establishment

Patent event date: 20241022

Patent event code: PR07011E01D

PR1002 Payment of registration fee

Payment date: 20241023

End annual number: 3

Start annual number: 1

PG1601 Publication of registration