KR102721980B1 - 기판 정렬 장치 및 이를 이용한 기판 정렬 방법 - Google Patents
기판 정렬 장치 및 이를 이용한 기판 정렬 방법 Download PDFInfo
- Publication number
- KR102721980B1 KR102721980B1 KR1020220024592A KR20220024592A KR102721980B1 KR 102721980 B1 KR102721980 B1 KR 102721980B1 KR 1020220024592 A KR1020220024592 A KR 1020220024592A KR 20220024592 A KR20220024592 A KR 20220024592A KR 102721980 B1 KR102721980 B1 KR 102721980B1
- Authority
- KR
- South Korea
- Prior art keywords
- substrate
- image
- loading area
- sensor module
- center
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 260
- 238000012545 processing Methods 0.000 claims abstract description 104
- 238000000034 method Methods 0.000 claims abstract description 79
- 230000008569 process Effects 0.000 claims abstract description 49
- 238000012546 transfer Methods 0.000 claims abstract description 37
- 239000002245 particle Substances 0.000 claims abstract description 26
- 230000007246 mechanism Effects 0.000 claims description 13
- 238000003384 imaging method Methods 0.000 claims description 6
- 238000010801 machine learning Methods 0.000 claims description 5
- 238000005530 etching Methods 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- 230000005856 abnormality Effects 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 238000012937 correction Methods 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
Images
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B25—HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
- B25J—MANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
- B25J9/00—Programme-controlled manipulators
- B25J9/16—Programme controls
- B25J9/1628—Programme controls characterised by the control loop
- B25J9/163—Programme controls characterised by the control loop learning, adaptive, model based, rule based expert control
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67748—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B25—HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
- B25J—MANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
- B25J11/00—Manipulators not otherwise provided for
- B25J11/0095—Manipulators transporting wafers
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B25—HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
- B25J—MANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
- B25J13/00—Controls for manipulators
- B25J13/08—Controls for manipulators by means of sensing devices, e.g. viewing or touching devices
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B25—HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
- B25J—MANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
- B25J19/00—Accessories fitted to manipulators, e.g. for monitoring, for viewing; Safety devices combined with or specially adapted for use in connection with manipulators
- B25J19/02—Sensing devices
- B25J19/021—Optical sensing devices
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B25—HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
- B25J—MANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
- B25J9/00—Programme-controlled manipulators
- B25J9/16—Programme controls
- B25J9/1679—Programme controls characterised by the tasks executed
- B25J9/1684—Tracking a line or surface by means of sensors
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B25—HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
- B25J—MANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
- B25J9/00—Programme-controlled manipulators
- B25J9/16—Programme controls
- B25J9/1694—Programme controls characterised by use of sensors other than normal servo-feedback from position, speed or acceleration sensors, perception control, multi-sensor controlled systems, sensor fusion
- B25J9/1697—Vision controlled systems
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67259—Position monitoring, e.g. misposition detection or presence detection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/68—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
- H01L21/681—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68707—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/10—Measuring as part of the manufacturing process
- H01L22/12—Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Robotics (AREA)
- Mechanical Engineering (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Human Computer Interaction (AREA)
Abstract
Description
도 2는 도 1의 처리 모듈의 측면도이다.
도 3은 도 1의 이송 용기의 측면도이다.
도 4(a)는 기판을 처리 모듈에 반입되기 전에 촬상한 기판의 하면 이미지이다.
도 4(b)는 기판을 처리 모듈에 반입하여 기판 처리 공정이 수행된 후에 촬상된 기판의 하면 이미지이다.
도 5는 도 4(b)의 하면 이미지를 기초로, 기판의 중심을 식별하는 과정을 설명하기 위한 도면이다.
도 6은 기판 처리 장치의 가동 시간과 중심의 편차 간의 상관 관계를 도시한 도면이다.
도 7은 도 2의 처리 모듈에 센서 모듈을 반입하는 과정을 도시한 도면이다.
도 8은 도 7의 정전 척에 센서 모듈이 안착된 상태를 도시한 도면이다.
도 9은 도 8의 정전 척의 상부에서 바라본 평면도이다.
도 10(a)는 도 8의 센서 모듈에서 촬상된 정전 척의 상면 이미지이다.
도 10(b)는 정전 척의 기준 이미지이다.
도 11은 본 발명의 일 실시예에 의한 기판 정렬 방법의 흐름도이다.
도 12은 도 11의 S30 단계를 구체적으로 도시한 흐름도이다.
도 13는 도 11의 S40 단계를 구체적으로 도시한 흐름도이다.
도 14은 본 발명의 일 실시예에 의한 기판 정렬 방법의 흐름도이다.
도 15는 도 14의 S130 단계를 구체적으로 도시한 흐름도이다.
100: 처리 모듈
200: 기판 이송 모듈
220; 이송 로봇
400: 로드 포트
420: 이송 용기
500: 인터페이스 모듈
510: 인터페이스 로봇
600: 로드락 챔버
700: 제어부
W: 기판
Claims (20)
- 기판을 챔버 내에 반입하여 로딩 영역에 배치하고 기판 처리 공정을 수행하는 단계;
상기 기판의 하면을 촬상하여 제1 이미지를 획득하고, 상기 제1 이미지로부터 상기 기판 처리 공정에서 상기 기판의 상기 하면에 부착되어 형성된 파티클 패턴들과 상기 기판의 에지(egde)를 식별하고, 상기 파티클 패턴들로부터 산출된 상기 로딩 영역의 중심의 개략 위치값과 상기 기판의 상기 에지로부터 산출된 상기 기판의 중심 간의 편차인 제1 정렬 오차값을 산출하여, 상기 챔버 내에 상기 로딩 영역의 상기 중심의 정밀 위치값을 측정하기 위한 센서 모듈을 반입하는 시점을 결정하는 단계;
상기 센서 모듈을 상기 로딩 영역에 배치하고 상기 로딩 영역을 촬상하여 제2 이미지를 획득하고, 상기 제2 이미지로부터 상기 로딩 영역의 에지를 추출하고, 상기 로딩 영역의 상기 에지로부터 상기 로딩 영역의 상기 중심의 상기 정밀 위치값을 산출하고, 상기 정밀 위치값과 기 저장된 기준 위치값과의 편차인 제2 정렬 오차값을 산출하여, 상기 상기 기판을 상기 챔버 내에 배치하는 이송 로봇을 티칭하는 시점을 결정하는 단계를 포함하는 기판 정렬 방법.
- 제1항에 있어서,
상기 센서 모듈을 반입하는 시점을 결정하는 단계는,
상기 제1 정렬 오차값이 기 저장된 오차 범위를 초과하면, 상기 센서 모듈을 반입하는 것으로 결정하는 기판 정렬 방법.
- 제1항에 있어서,
상기 기판 처리 공정을 수행하는 단계 전에, 상기 기판의 상기 하면을 촬상하여 제1 기준 이미지를 획득하는 단계를 더 포함하는 기판 정렬 방법.
- 제3항에 있어서,
상기 센서 모듈을 반입하는 시점을 결정하는 단계는,
상기 파티클 패턴들 중 상기 제1 기준 이미지와 상기 제1 이미지에 공통으로 배치된 공통 패턴을 식별하는 단계; 및
상기 파티클 패턴들에서 상기 공통 패턴을 제거하고 상기 기판의 상기 중심의 상기 개략 위치값을 산출하는 단계를 더 포함하는 기판 정렬 방법.
- 제1항에 있어서,
상기 센서 모듈을 반입하는 시점을 결정하는 단계는,
상기 파티클 패턴들의 중심을 연결하는 자취를 산출하는 단계; 및
상기 자취의 중심을 상기 기판의 상기 로딩 영역의 상기 중심의 개략 위치값으로 산출하는 단계를 더 포함하는 기판 정렬 방법.
- 제1항에 있어서,
상기 로딩 영역은 상기 챔버 내에 배치된 정전 척의 상면인 기판 정렬 방법.
- 제1항에 있어서,
상기 이송 로봇을 티칭하는 시점을 결정하는 단계 후에, 상기 제2 정렬 오차값을 저장하여 데이터 베이스를 작성하는 단계를 더 포함하는 기판 정렬 방법.
- 제7항에 있어서,
상기 챔버는 복수의 챔버를 포함하며,
상기 센서 모듈을 반입하는 시점을 결정하는 단계 전에, 상기 데이터 베이스에 머신 러닝을 적용하여, 상기 복수의 챔버 중 상기 이송 로봇의 티칭이 필요한 챔버를 예측하는 단계를 더 포함하고,
상기 데이터 베이스에 머신 러닝을 적용하여, 상기 복수의 챔버 중 상기 이송 로봇의 티칭이 필요한 챔버를 예측하는 단계는,
상기 데이터 베이스에 작성된 상기 제2 정렬 오차값이 기 지정된 오차 범위를 초과하게 되는 시점을, 상기 이송 로봇의 가동 시간을 기초로 예측하는 것을 포함하는 기판 정렬 방법.
- 기판을 챔버 내에 반입하여 로딩 영역에 배치하고 기판 처리 공정을 수행하는 단계;
상기 기판의 하면을 촬상하여 제1 이미지를 획득하고, 상기 제1 이미지로부터 상기 기판 처리 공정에서 상기 하면에 형성된 파티클 패턴들과 상기 기판의 에지(edge)를 식별하고, 상기 파티클 패턴들로부터 산출된 상기 로딩 영역의 중심의 개략 위치값과 상기 기판의 상기 에지로부터 산출된 상기 기판의 중심간의 편차인 제1 정렬 오차값을 산출하는 단계; 및
상기 제1 정렬 오차값을 기초로, 상기 기판을 상기 챔버 내에 배치하는 이송 로봇을 티칭하는 시점을 결정하는 단계를 포함하는 기판 정렬 방법.
- 제9항에 있어서,
상기 이송 로봇을 티칭하는 단계 전에,
상기 로딩 영역의 중심의 정밀 위치값을 측정하기 위한 센서 모듈을 상기 로딩 영역에 배치하고, 상기 로딩 영역을 촬상하여 제2 이미지를 획득하고, 상기 제2 이미지로부터 상기 로딩 영역의 에지를 추출하고, 상기 로딩 영역의 상기 에지로부터 상기 정밀 위치값을 산출하고, 상기 정밀 위치값과 기 저장된 기준 위치값과의 편차인 제2 정렬 오차값을 산출하는 단계를 더 포함하며,
상기 이송 로봇을 티칭하는 시점을 결정하는 단계는, 상기 제2 정렬 오차값을 기초로, 상기 이송 로봇을 티칭하는 기판 정렬 방법.
- 제10항에 있어서,
상기 기판은 웨이퍼이며,
상기 센서 모듈은 상기 기판과 동일한 형상인 기판 정렬 방법.
- 제11항에 있어서,
상기 센서 모듈의 두께는 5mm 이하인 기판 정렬 방법.
- 제9항에 있어서,
상기 기판을 상기 챔버에 반입하기 전에, 상기 기판의 상기 하면의 이미지를 촬상하여 제1 기준 이미지를 획득하는 단계를 더 포함하는 기판 정렬 방법.
- 제9항에 있어서,
상기 이송 로봇을 티칭하는 시점을 결정하는 단계 후에, 상기 제1 정렬 오차값을 저장하여 데이터 베이스를 작성하는 단계를 더 포함하는 기판 정렬 방법.
- 제14항에 있어서,
상기 챔버는 복수의 챔버를 포함하며,
상기 제1 정렬 오차값을 산출하는 단계 전에, 상기 데이터 베이스에 머신 러닝을 적용하여, 상기 복수의 챔버 중 상기 이송 로봇의 티칭이 필요한 챔버를 예측하는 단계를 더 포함하고,
상기 데이터 베이스에 머신 러닝을 적용하여, 상기 복수의 챔버 중 상기 이송 로봇의 티칭이 필요한 챔버를 예측하는 단계는,
상기 데이터 베이스에 작성된 상기 제1 정렬 오차값이 기 지정된 오차 범위를 초과하게 되는 시점을, 상기 이송 로봇의 가동 시간을 기초로 예측하는 것을 포함하는 기판 정렬 방법.
- 기판을 처리하는 기판 처리 공정이 수행되는 내부 공간을 갖는 챔버;
상기 내부 공간 내에 배치되며, 상기 기판의 하면이 안착되고 기구물이 배치된 로딩 영역을 제공하는 기판 지지 유닛;
상기 기판 처리 공정이 수행된 상기 기판의 상기 하면을 촬상하여 제1 이미지를 획득하는 촬상부;
상기 내부 공간에 반입되어 상기 로딩 영역에 안착되며 상기 로딩 영역을 촬상하여 제2 이미지를 획득하는 센서 모듈;
상기 내부 공간에 상기 센서 모듈 및 상기 기판을 반입 및 반출하는 이송 로봇; 및
상기 제1 이미지에서, 상기 기판 처리 공정이 수행되는 과정에서 상기 기구물의 배치가 전사된 파티클 패턴들과 상기 기판의 에지(edge)를 식별하고 상기 파티클 패턴들과 상기 기판의 상기 에지로부터 각각 상기 로딩 영역의 개략적인 중심과 상기 기판의 중심 간의 편차인 제1 정렬 오차값을 산출하고, 상기 제1 정렬 오차값으로부터 상기 센서 모듈을 상기 내부 공간에 반입하는 시점을 결정하고, 상기 제2 이미지로부터 상기 로딩 영역의 에지를 검출하고 상기 로딩 영역의 상기 에지와 기준 위치값을 비교하여 상기 기판의 제2 정렬 오차값을 산출하고, 상기 이송 로봇을 티칭하여 상기 제2 정렬 오차값을 보정하는 제어부를 포함하는 기판 정렬 장치.
- 제16항에 있어서,
상기 기판 지지 유닛은 정전 척을 포함하며,
상기 센서 모듈은 상기 정전 척의 상면을 촬상하는 기판 정렬 장치.
- 제16항에 있어서,
상기 센서 모듈은 복수의 이미지 스캐닝 모듈을 포함하며,
상기 복수의 이미지 스캐닝 모듈은, 각각 상기 로딩 영역의 상기 에지를 촬상하는 기판 정렬 장치.
- 제16항에 있어서,
상기 센서 모듈은 상기 기판과 동일한 형상인 기판 정렬 장치.
- 제19항에 있어서,
상기 센서 모듈의 두께는 5mm 이하인 기판 정렬 장치.
Priority Applications (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020220024592A KR102721980B1 (ko) | 2022-02-24 | 2022-02-24 | 기판 정렬 장치 및 이를 이용한 기판 정렬 방법 |
US17/957,967 US12172313B2 (en) | 2022-02-24 | 2022-09-30 | Substrate processing apparatus and substrate alignment method using the same |
TW111149465A TW202335154A (zh) | 2022-02-24 | 2022-12-22 | 基底對位方法 |
CN202310155823.0A CN116646293A (zh) | 2022-02-24 | 2023-02-23 | 衬底处理设备和利用其的衬底对准方法 |
US18/961,702 US20250091203A1 (en) | 2022-02-24 | 2024-11-27 | Substrate processing apparatus and substrate alignment method using the same |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020220024592A KR102721980B1 (ko) | 2022-02-24 | 2022-02-24 | 기판 정렬 장치 및 이를 이용한 기판 정렬 방법 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20230127027A KR20230127027A (ko) | 2023-08-31 |
KR102721980B1 true KR102721980B1 (ko) | 2024-10-25 |
Family
ID=87573483
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020220024592A Active KR102721980B1 (ko) | 2022-02-24 | 2022-02-24 | 기판 정렬 장치 및 이를 이용한 기판 정렬 방법 |
Country Status (4)
Country | Link |
---|---|
US (2) | US12172313B2 (ko) |
KR (1) | KR102721980B1 (ko) |
CN (1) | CN116646293A (ko) |
TW (1) | TW202335154A (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US12172313B2 (en) | 2022-02-24 | 2024-12-24 | Samsung Electronics Co., Ltd. | Substrate processing apparatus and substrate alignment method using the same |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102125839B1 (ko) | 2017-09-27 | 2020-06-23 | 가부시키가이샤 알박 | 위치검출장치, 위치검출방법, 및 증착장치 |
Family Cites Families (165)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4364074A (en) | 1980-06-12 | 1982-12-14 | International Business Machines Corporation | V-MOS Device with self-aligned multiple electrodes |
US4326332A (en) | 1980-07-28 | 1982-04-27 | International Business Machines Corp. | Method of making a high density V-MOS memory array |
US4362486A (en) | 1980-10-07 | 1982-12-07 | International Business Machines Corporation | Automatic multilayer ceramic (MLC) screening machine |
JPS57113264A (en) | 1980-12-29 | 1982-07-14 | Fujitsu Ltd | Manufacture of mis type capacitor |
DE3121666A1 (de) | 1981-05-30 | 1982-12-16 | Ibm Deutschland Gmbh, 7000 Stuttgart | Verfahren und einrichtung zur gegenseitigen ausrichtung von objekten bei roentgenstrahl- und korpuskularstrahl-belichtungsvorgaengen |
US4399205A (en) | 1981-11-30 | 1983-08-16 | International Business Machines Corporation | Method and apparatus for determining photomask alignment |
US4668045A (en) | 1983-01-03 | 1987-05-26 | Gte Laboratories Incorporated | Optical fiber centering device |
US4835078A (en) | 1987-07-06 | 1989-05-30 | American Telephone And Telegraph Company | Method for aligning photomasks |
US4904087A (en) | 1987-07-06 | 1990-02-27 | American Telephone & Telegraph Co., At&T Bell Laboratories | Method for aligning photomasks |
JP2928331B2 (ja) | 1990-05-14 | 1999-08-03 | 東京エレクトロン株式会社 | プローバのアライメント装置及び方法 |
US5042709A (en) | 1990-06-22 | 1991-08-27 | International Business Machines Corporation | Methods and apparatus for precise alignment of objects |
JP3208734B2 (ja) | 1990-08-20 | 2001-09-17 | 東京エレクトロン株式会社 | プローブ装置 |
US5138429A (en) | 1990-08-30 | 1992-08-11 | Hewlett-Packard Company | Precisely aligned lead frame using registration traces and pads |
US5552916A (en) | 1990-09-07 | 1996-09-03 | Displaytech, Inc. | Diffractive light modulator |
US5093740A (en) | 1991-02-28 | 1992-03-03 | Raytheon Company | Optical beam steerer having subaperture addressing |
US5229331A (en) | 1992-02-14 | 1993-07-20 | Micron Technology, Inc. | Method to form self-aligned gate structures around cold cathode emitter tips using chemical mechanical polishing technology |
US5257336A (en) | 1992-08-21 | 1993-10-26 | At&T Bell Laboratories | Optical subassembly with passive optical alignment |
US5333166A (en) | 1992-08-28 | 1994-07-26 | Intel Corporation | Self-apodizing collimator for x-ray lithography |
JP3635684B2 (ja) | 1994-08-23 | 2005-04-06 | 株式会社ニコン | 反射屈折縮小投影光学系、反射屈折光学系、並びに投影露光方法及び装置 |
US5413489A (en) | 1993-04-27 | 1995-05-09 | Aptix Corporation | Integrated socket and IC package assembly |
JP3395801B2 (ja) | 1994-04-28 | 2003-04-14 | 株式会社ニコン | 反射屈折投影光学系、走査型投影露光装置、及び走査投影露光方法 |
US5469263A (en) | 1994-07-01 | 1995-11-21 | Motorola, Inc. | Method for alignment in photolithographic processes |
US5679125A (en) | 1994-07-07 | 1997-10-21 | Nikon Corporation | Method for producing silica glass for use with light in a vacuum ultraviolet wavelength range |
USRE38438E1 (en) | 1994-08-23 | 2004-02-24 | Nikon Corporation | Catadioptric reduction projection optical system and exposure apparatus having the same |
US5859947A (en) | 1994-10-02 | 1999-01-12 | Ramot University Authority For Applied Research & Industrial Development Ltd. | Positioning devices and a method and positioning device for aligning an optical fiber with an optical beam |
US6518210B1 (en) | 1995-01-06 | 2003-02-11 | Nikon Corporation | Exposure apparatus including silica glass and method for producing silica glass |
US6087283A (en) | 1995-01-06 | 2000-07-11 | Nikon Corporation | Silica glass for photolithography |
JP3454390B2 (ja) | 1995-01-06 | 2003-10-06 | 株式会社ニコン | 投影光学系、投影露光装置及び投影露光方法 |
US5610930A (en) | 1995-03-02 | 1997-03-11 | Hughes Aircraft Company | Voltage adding diode laser array |
JP3819048B2 (ja) | 1995-03-15 | 2006-09-06 | 株式会社ニコン | 投影光学系及びそれを備えた露光装置並びに露光方法 |
JP3064857B2 (ja) | 1995-03-28 | 2000-07-12 | 株式会社ニコン | 光リソグラフィー用光学部材および合成石英ガラスの製造方法 |
US5759867A (en) | 1995-04-21 | 1998-06-02 | International Business Machines Corporation | Method of making a disposable corner etch stop-spacer for borderless contacts |
US5573963A (en) | 1995-05-03 | 1996-11-12 | Vanguard International Semiconductor Corporation | Method of forming self-aligned twin tub CMOS devices |
US5631987A (en) | 1995-06-07 | 1997-05-20 | Reliaspeed, Inc. | Low cost, mode-field matched, high performance laser transmitter optical subassembly |
US5872042A (en) | 1996-08-22 | 1999-02-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for alignment mark regeneration |
US5842300A (en) | 1996-09-09 | 1998-12-01 | Fss, Inc. | Retrofittable laser and recoil system for a firearm |
KR100246850B1 (ko) | 1996-10-08 | 2000-03-15 | 윤종용 | 건식 식각 공정후 웨이퍼의 불량 로딩을 감지하기 위한 웨이퍼 캐리어 엘리베이터 |
US5792680A (en) | 1996-11-25 | 1998-08-11 | Vanguard International Semiconductor Corporation | Method of forming a low cost DRAM cell with self aligned twin tub CMOS devices and a pillar shaped capacitor |
US5723374A (en) | 1996-12-27 | 1998-03-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming dielectric spacer to prevent poly stringer in stacked capacitor DRAM technology |
US6690185B1 (en) | 1997-01-15 | 2004-02-10 | Formfactor, Inc. | Large contactor with multiple, aligned contactor units |
US5911108A (en) | 1997-01-29 | 1999-06-08 | Integrated Device Technology, Inc. | Method for protecting an alignment mark on a semiconductor substrate during chemical mechanical polishing and the resulting structure |
US6013954A (en) | 1997-03-31 | 2000-01-11 | Nec Corporation | Semiconductor wafer having distortion-free alignment regions |
US5940564A (en) | 1997-08-05 | 1999-08-17 | Picolight, Inc. | Device for coupling a light source or receiver to an optical waveguide |
US5972753A (en) | 1997-12-04 | 1999-10-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of self-align cell edge implant to reduce leakage current and improve program speed in split-gate flash |
US5998252A (en) | 1997-12-29 | 1999-12-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of salicide and sac (self-aligned contact) integration |
JPH11233397A (ja) | 1998-02-13 | 1999-08-27 | Mitsubishi Electric Corp | アライメント方法及び半導体装置 |
US5995688A (en) | 1998-06-01 | 1999-11-30 | Lucent Technologies, Inc. | Micro-opto-electromechanical devices and method therefor |
US6184104B1 (en) | 1998-09-10 | 2001-02-06 | Chartered Semiconductor Manufacturing Ltd. | Alignment mark strategy for oxide CMP |
US6239485B1 (en) | 1998-11-13 | 2001-05-29 | Fujitsu Limited | Reduced cross-talk noise high density signal interposer with power and ground wrap |
US6206272B1 (en) | 1999-04-08 | 2001-03-27 | Intel Corporation | Alignment weight for floating field pin design |
US6243508B1 (en) | 1999-06-01 | 2001-06-05 | Picolight Incorporated | Electro-opto-mechanical assembly for coupling a light source or receiver to an optical waveguide |
US6140220A (en) | 1999-07-08 | 2000-10-31 | Industrial Technology Institute Reseach | Dual damascene process and structure with dielectric barrier layer |
US6045426A (en) | 1999-08-12 | 2000-04-04 | Industrial Technology Research Institute | Method to manufacture field emission array with self-aligned focus structure |
US6266472B1 (en) | 1999-09-03 | 2001-07-24 | Corning Incorporated | Polymer gripping elements for optical fiber splicing |
US6207532B1 (en) | 1999-09-30 | 2001-03-27 | Taiwan Semiconductor Manufacturing Company | STI process for improving isolation for deep sub-micron application |
US6204134B1 (en) | 1999-11-01 | 2001-03-20 | Taiwan Semiconductor Manufacturing Company | Method for fabricating a self aligned contact plug |
US6406994B1 (en) | 1999-12-03 | 2002-06-18 | Chartered Semiconductor Manufacturing Ltd. | Triple-layered low dielectric constant dielectric dual damascene approach |
US6509264B1 (en) | 2000-03-30 | 2003-01-21 | Chartered Semiconductor Manufacturing Ltd. | Method to form self-aligned silicide with reduced sheet resistance |
US6365059B1 (en) | 2000-04-28 | 2002-04-02 | Alexander Pechenik | Method for making a nano-stamp and for forming, with the stamp, nano-size elements on a substrate |
US20010035400A1 (en) | 2000-05-05 | 2001-11-01 | Andreas Gartner | Method for sundering semiconductor materials |
US6350680B1 (en) | 2000-05-26 | 2002-02-26 | Taiwan Semiconductor Manufacturing Company | Pad alignment for AlCu pad for copper process |
US6579407B1 (en) | 2000-06-30 | 2003-06-17 | Lam Research Corporation | Method and apparatus for aligning and setting the axis of rotation of spindles of a multi-body system |
JP4576694B2 (ja) | 2000-10-11 | 2010-11-10 | 東京エレクトロン株式会社 | 被処理体の処理システムの搬送位置合わせ方法及び被処理体の処理システム |
US6492269B1 (en) | 2001-01-08 | 2002-12-10 | Taiwan Semiconductor Manufacturing Company | Methods for edge alignment mark protection during damascene electrochemical plating of copper |
US6819426B2 (en) | 2001-02-12 | 2004-11-16 | Therma-Wave, Inc. | Overlay alignment metrology using diffraction gratings |
US20060174753A1 (en) | 2001-02-15 | 2006-08-10 | Thomas Aisenbrey | Musical instruments and components manufactured from conductively doped resin-based materials |
US6541346B2 (en) | 2001-03-20 | 2003-04-01 | Roger J. Malik | Method and apparatus for a self-aligned heterojunction bipolar transistor using dielectric assisted metal liftoff process |
US6623911B1 (en) | 2001-09-17 | 2003-09-23 | Taiwan Semiconductor Manufacturing Company | Method to form code marks on mask ROM products |
US6959024B2 (en) | 2002-02-28 | 2005-10-25 | Picarro, Inc. | Laser Tuning by spectrally dependent spatial filtering |
DE10219886B4 (de) | 2002-05-03 | 2007-10-04 | Chunghwa Telecom Co.Ltd. | Selbstjustierendes Verfahren zur Herstellung eines Stegwellenleiter-Halbleiterlasers |
US6907178B2 (en) | 2002-06-13 | 2005-06-14 | Steve Lerner | Optoelectronic assembly with embedded optical and electrical components |
JP4062983B2 (ja) | 2002-06-20 | 2008-03-19 | 富士ゼロックス株式会社 | 表面発光型半導体レーザおよびその製造方法 |
US6735492B2 (en) | 2002-07-19 | 2004-05-11 | International Business Machines Corporation | Feedback method utilizing lithographic exposure field dimensions to predict process tool overlay settings |
US20050074047A1 (en) | 2002-08-07 | 2005-04-07 | Richard Boggy | Laser with life saver mode |
US7508034B2 (en) | 2002-09-25 | 2009-03-24 | Sharp Kabushiki Kaisha | Single-crystal silicon substrate, SOI substrate, semiconductor device, display device, and manufacturing method of semiconductor device |
AU2003287618A1 (en) | 2002-11-12 | 2004-06-03 | Nanoink, Inc. | Methods and apparatus for ink delivery to nanolithographic probe systems |
JP2004212221A (ja) | 2002-12-27 | 2004-07-29 | Toshiba Corp | パターン検査方法及びパターン検査装置 |
US9574290B2 (en) | 2003-01-13 | 2017-02-21 | Nantero Inc. | Methods for arranging nanotube elements within nanotube fabrics and films |
US6933523B2 (en) | 2003-03-28 | 2005-08-23 | Freescale Semiconductor, Inc. | Semiconductor alignment aid |
US6955984B2 (en) | 2003-05-16 | 2005-10-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Surface treatment of metal interconnect lines |
US7375809B2 (en) | 2003-06-20 | 2008-05-20 | International Business Machines Corporation | Alignment routine for optically based tools |
WO2005006002A2 (de) | 2003-07-12 | 2005-01-20 | Leica Microsystems Semiconductor Gmbh | Verfahren zum einlernen einer wissensbasierten datenbasis für die automatische fehlerklassifikation |
US7431705B2 (en) | 2003-11-30 | 2008-10-07 | Union Semiconductor Technology Corporation | Die-first multi-chip modules and methods of manufacture |
WO2005114095A2 (en) | 2004-05-21 | 2005-12-01 | Zetetic Institute | Apparatus and methods for overlay, alignment mark, and critical dimension metrologies based on optical interferometry |
WO2006023612A2 (en) | 2004-08-19 | 2006-03-02 | Zetetic Institute | Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers |
US7650029B2 (en) | 2004-11-23 | 2010-01-19 | Hewlett-Packard Development Company, L.P. | Multiple layer alignment sensing |
US20110311722A1 (en) | 2005-04-07 | 2011-12-22 | Faris Sadeg M | Method of and system for forming nanostructures and nanotubes |
US7522267B2 (en) | 2005-07-11 | 2009-04-21 | Brooks Automation, Inc. | Substrate transport apparatus with automated alignment |
US7644489B2 (en) | 2005-08-31 | 2010-01-12 | Massachusetts Institute Of Technology | Thin membrane alignment method using patterned nanomagnets |
US20070108638A1 (en) | 2005-11-16 | 2007-05-17 | International Business Machines Corporation | Alignment mark with improved resistance to dicing induced cracking and delamination in the scribe region |
JP2007251090A (ja) | 2006-03-20 | 2007-09-27 | Tokyo Electron Ltd | 真空処理装置の搬送位置合わせ方法、真空処理装置及びコンピュータ記憶媒体 |
US20070228425A1 (en) | 2006-04-04 | 2007-10-04 | Miller Gayle W | Method and manufacturing low leakage MOSFETs and FinFETs |
US7486878B2 (en) | 2006-09-29 | 2009-02-03 | Lam Research Corporation | Offset correction methods and arrangement for positioning and inspecting substrates |
US20080203536A1 (en) | 2007-02-28 | 2008-08-28 | International Business Machines Corporation | Bipolar transistor using selective dielectric deposition and methods for fabrication thereof |
JP5266683B2 (ja) | 2007-08-03 | 2013-08-21 | 村田機械株式会社 | 搬送システム、及び該搬送システムにおける教示方法 |
US8260461B2 (en) | 2007-08-30 | 2012-09-04 | Applied Materials, Inc. | Method and system for robot calibrations with a camera |
US20090078562A1 (en) | 2007-09-20 | 2009-03-26 | International Business Machines Corporation | Method of system maintenance planning based on continual robot parameter monitoring |
US8106349B2 (en) | 2008-07-16 | 2012-01-31 | Delta Design, Inc. | Vision alignment with multiple cameras and common coordinate at contactor for IC device testing handlers |
JP5367390B2 (ja) | 2009-01-28 | 2013-12-11 | ラピスセミコンダクタ株式会社 | 半導体装置及びその製造方法 |
US8289388B2 (en) | 2009-05-14 | 2012-10-16 | Asm Assembly Automation Ltd | Alignment method for singulation system |
US8207058B1 (en) | 2009-06-29 | 2012-06-26 | Georgia Tech Research Corporation | Electron beam induced deposition of interface to carbon nanotube |
US9098903B2 (en) * | 2009-07-21 | 2015-08-04 | R.R. Donnelley & Sons Company | Systems and methods for detecting alignment errors |
JP2011066185A (ja) | 2009-09-17 | 2011-03-31 | Ushio Inc | ワークアライメントマークの検出方法および露光装置 |
WO2011034985A1 (en) | 2009-09-17 | 2011-03-24 | Sciaky, Inc. | Electron beam layer manufacturing |
US8459922B2 (en) | 2009-11-13 | 2013-06-11 | Brooks Automation, Inc. | Manipulator auto-teach and position correction system |
US20110297141A1 (en) | 2010-02-12 | 2011-12-08 | David Correia | Tilt Sensor and Method of Use |
CN102812347B (zh) | 2010-01-04 | 2016-08-17 | Bt成像股份有限公司 | 用于光伏电池和晶片的光致发光成像的照射系统和方法 |
JP5083339B2 (ja) | 2010-02-04 | 2012-11-28 | 東京エレクトロン株式会社 | 基板搬送装置及び基板搬送方法並びに記憶媒体 |
US10661304B2 (en) | 2010-03-30 | 2020-05-26 | Nantero, Inc. | Microfluidic control surfaces using ordered nanotube fabrics |
WO2011123560A1 (en) | 2010-03-30 | 2011-10-06 | Nantero, Inc. | Methods for arranging nanoscopic elements within networks, fabrics, and films |
US20110245964A1 (en) | 2010-04-06 | 2011-10-06 | Sullivan Robert P | Self Aligning Automated Material Handling System |
JP5411049B2 (ja) | 2010-04-07 | 2014-02-12 | オムロン株式会社 | 画像処理装置 |
US20120034591A1 (en) | 2010-08-04 | 2012-02-09 | Academicmerit, Llc | Student performance assessment |
CN103119506A (zh) | 2010-09-29 | 2013-05-22 | 夏普株式会社 | 液晶显示面板用基板、液晶显示面板、液晶显示面板用基板的制造方法以及基板检查方法 |
US8767199B2 (en) | 2010-10-15 | 2014-07-01 | Infrared Laboratories, Inc. | Inspection system utilizing solid immersion lenses |
US8515294B2 (en) | 2010-10-20 | 2013-08-20 | At&T Intellectual Property I, L.P. | Method and apparatus for providing beam steering of terahertz electromagnetic waves |
US20150212377A1 (en) | 2011-06-27 | 2015-07-30 | Sharp Kabushiki Kaisha | Liquid crystal display panel and liquid crystal display device |
US8967935B2 (en) | 2011-07-06 | 2015-03-03 | Tel Nexx, Inc. | Substrate loader and unloader |
US9228270B2 (en) | 2011-08-15 | 2016-01-05 | Novellus Systems, Inc. | Lipseals and contact elements for semiconductor electroplating apparatuses |
US9988734B2 (en) | 2011-08-15 | 2018-06-05 | Lam Research Corporation | Lipseals and contact elements for semiconductor electroplating apparatuses |
US10066311B2 (en) | 2011-08-15 | 2018-09-04 | Lam Research Corporation | Multi-contact lipseals and associated electroplating methods |
US8755316B2 (en) | 2011-08-15 | 2014-06-17 | Broadcom Corporation | Coordination of DRX and eICIC |
CN103019052B (zh) | 2011-09-23 | 2015-10-21 | 中芯国际集成电路制造(北京)有限公司 | 光刻对准标记以及包含其的掩模板和半导体晶片 |
US10065340B2 (en) | 2011-11-10 | 2018-09-04 | LatticeGear, LLC | Device and method for cleaving |
US20130119106A1 (en) | 2011-11-10 | 2013-05-16 | LatticeGear, LLC | Device and Method for Cleaving. |
WO2013106507A1 (en) | 2012-01-10 | 2013-07-18 | The Corporate Executive Board Company | Computerized method and system for enhancing the sales performance of selected sales force professionals |
US20130181339A1 (en) | 2012-01-12 | 2013-07-18 | Mars Technology | Multi-chip self-alignment assembly which can be used with flip-chip bonding |
US9543223B2 (en) | 2013-01-25 | 2017-01-10 | Qoniac Gmbh | Method and apparatus for fabricating wafer by calculating process correction parameters |
US10145026B2 (en) | 2012-06-04 | 2018-12-04 | Slt Technologies, Inc. | Process for large-scale ammonothermal manufacturing of semipolar gallium nitride boules |
JP6112314B2 (ja) | 2012-07-10 | 2017-04-12 | 株式会社ニコン | マーク形成方法及びデバイス製造方法 |
JP6269964B2 (ja) | 2012-07-10 | 2018-01-31 | 株式会社ニコン | マーク形成方法 |
JP6167622B2 (ja) | 2013-04-08 | 2017-07-26 | オムロン株式会社 | 制御システムおよび制御方法 |
US9547143B2 (en) | 2013-05-09 | 2017-01-17 | Laser Mechanisms, Inc. | Fiber optic laser alignment tool |
KR102174332B1 (ko) | 2014-07-30 | 2020-11-04 | 삼성전자주식회사 | 반도체 제조 라인의 스토커 및 상기 스토커를 이용하여 웨이퍼를 이송하는 방법 |
JP6254929B2 (ja) * | 2014-11-26 | 2017-12-27 | 東京エレクトロン株式会社 | 測定処理装置、基板処理システム、測定用治具、測定処理方法、及びその記憶媒体 |
US11569138B2 (en) | 2015-06-16 | 2023-01-31 | Kla Corporation | System and method for monitoring parameters of a semiconductor factory automation system |
WO2017011581A1 (en) | 2015-07-13 | 2017-01-19 | Brooks Automation, Inc. | On the fly automatic wafer centering method and apparatus |
EP3712553B1 (en) | 2015-09-14 | 2024-03-20 | Thorlabs, Inc. | Apparatus and methods for one or more wavelength swept lasers and the detection of signals thereof |
US20210275129A1 (en) | 2016-01-11 | 2021-09-09 | Kambiz Behzadi | In situ system and method for sensing or monitoring |
US11399995B2 (en) | 2016-02-23 | 2022-08-02 | Deka Products Limited Partnership | Mobility device |
US10908045B2 (en) | 2016-02-23 | 2021-02-02 | Deka Products Limited Partnership | Mobility device |
US10926756B2 (en) | 2016-02-23 | 2021-02-23 | Deka Products Limited Partnership | Mobility device |
KR101977755B1 (ko) | 2017-07-31 | 2019-05-15 | 세메스 주식회사 | 기판 처리 장치 및 기판 반송 방법 |
JP7021877B2 (ja) | 2017-08-08 | 2022-02-17 | 株式会社Screenホールディングス | 基板処理装置、位置合わせ装置および位置合わせ方法 |
JP2019036634A (ja) | 2017-08-15 | 2019-03-07 | 東京エレクトロン株式会社 | 基板処理装置 |
JP7097691B2 (ja) * | 2017-12-06 | 2022-07-08 | 東京エレクトロン株式会社 | ティーチング方法 |
CA3089491A1 (en) | 2017-12-14 | 2019-06-20 | The Government Of The United States Of America, As Represented By The Secretary Of The Navy | Fabrication of high-temperature superconducting striated tape combinations |
JP7126849B2 (ja) | 2018-04-13 | 2022-08-29 | 株式会社ディスコ | 加工装置 |
US10902350B2 (en) | 2018-07-20 | 2021-01-26 | Verizon Media Inc. | System and method for relationship identification |
US10847393B2 (en) | 2018-09-04 | 2020-11-24 | Applied Materials, Inc. | Method and apparatus for measuring process kit centering |
JP7446714B2 (ja) | 2019-02-01 | 2024-03-11 | 株式会社荏原製作所 | 基板処理装置、および基板処理方法 |
WO2020163657A1 (en) | 2019-02-08 | 2020-08-13 | Yaskawa America, Inc. | Through-beam auto teaching |
US11237344B2 (en) | 2019-03-12 | 2022-02-01 | Analog Photonics LLC | Photonic die alignment |
KR102577156B1 (ko) | 2019-05-02 | 2023-09-12 | 에스케이하이닉스 주식회사 | 반도체 메모리 장치의 제조방법 |
US11431357B2 (en) | 2019-07-09 | 2022-08-30 | Skyworks Solutions, Inc. | Envelope controlled radio frequency switches |
US11165514B2 (en) | 2019-07-09 | 2021-11-02 | Skyworks Solutions, Inc. | Envelope alignment calibration in radio frequency systems |
KR20210027647A (ko) * | 2019-08-30 | 2021-03-11 | 세메스 주식회사 | 기판 처리 장치 및 핸드 위치 티칭 방법 |
US11733099B2 (en) | 2019-10-30 | 2023-08-22 | Datacolor Inc. | System and method to calibrate color measurement devices |
US11370114B2 (en) * | 2019-12-09 | 2022-06-28 | Applied Materials, Inc. | Autoteach enclosure system |
CN111640694B (zh) | 2020-06-01 | 2021-09-28 | 上海精测半导体技术有限公司 | 一种晶圆上片系统的校准和监控方法及晶圆上片系统 |
JP7482689B2 (ja) | 2020-06-03 | 2024-05-14 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法 |
KR102582696B1 (ko) | 2020-06-15 | 2023-09-26 | 세메스 주식회사 | 기판 처리 장치, 리프트 핀 높이 편차 측정 방법 및 컴퓨터 판독 가능한 처리 프로그램을 기록한 기록 매체 |
KR102396431B1 (ko) * | 2020-08-14 | 2022-05-10 | 피에스케이 주식회사 | 기판 처리 장치 및 기판 반송 방법 |
TW202224882A (zh) * | 2020-11-12 | 2022-07-01 | 日商東京威力科創股份有限公司 | 偵測裝置、處理系統及搬運方法 |
KR102721980B1 (ko) | 2022-02-24 | 2024-10-25 | 삼성전자주식회사 | 기판 정렬 장치 및 이를 이용한 기판 정렬 방법 |
-
2022
- 2022-02-24 KR KR1020220024592A patent/KR102721980B1/ko active Active
- 2022-09-30 US US17/957,967 patent/US12172313B2/en active Active
- 2022-12-22 TW TW111149465A patent/TW202335154A/zh unknown
-
2023
- 2023-02-23 CN CN202310155823.0A patent/CN116646293A/zh active Pending
-
2024
- 2024-11-27 US US18/961,702 patent/US20250091203A1/en active Pending
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102125839B1 (ko) | 2017-09-27 | 2020-06-23 | 가부시키가이샤 알박 | 위치검출장치, 위치검출방법, 및 증착장치 |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US12172313B2 (en) | 2022-02-24 | 2024-12-24 | Samsung Electronics Co., Ltd. | Substrate processing apparatus and substrate alignment method using the same |
Also Published As
Publication number | Publication date |
---|---|
US20230264350A1 (en) | 2023-08-24 |
CN116646293A (zh) | 2023-08-25 |
KR20230127027A (ko) | 2023-08-31 |
US12172313B2 (en) | 2024-12-24 |
US20250091203A1 (en) | 2025-03-20 |
TW202335154A (zh) | 2023-09-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11037810B2 (en) | Teaching method | |
JP7018784B2 (ja) | コンタクト精度保証方法および検査装置 | |
CN110678962B (zh) | 处理条件设定方法、存储介质和基板处理系统 | |
CN114450780A (zh) | 用于衬底处理系统的自动化控制及检测的集成式硬件-软件计算机视觉系统 | |
KR20180015652A (ko) | 기판의 검사 방법, 컴퓨터 기억 매체 및 기판 검사 장치 | |
US20250091203A1 (en) | Substrate processing apparatus and substrate alignment method using the same | |
CN110391153B (zh) | 用于半导体工艺的检查设备和半导体工艺装置 | |
US7406360B2 (en) | Method for detecting transfer shift of transfer mechanism and semiconductor processing equipment | |
KR102329653B1 (ko) | 기판의 편심 저감 방법 및 티칭 장치 | |
KR20190008102A (ko) | 기판 위치 조정 방법, 기억 매체 및 기판 처리 시스템 | |
US20220406634A1 (en) | Inspection method and etching system | |
KR20190122526A (ko) | 반도체 공정의 검사 장치 및 반도체 공정 장비 | |
US20220148857A1 (en) | Detection device, processing system, and transfer method | |
US20240014060A1 (en) | Sensor module and substrate processing apparatus using the same | |
KR102651649B1 (ko) | 기판 처리 장치 및 이를 이용한 기판 처리 방법 | |
KR102822787B1 (ko) | 기판 처리 장치 및 기판 처리 방법 | |
KR102012208B1 (ko) | 기판 검사 방법 및 기판 처리 장치 | |
WO2025057905A1 (ja) | 基板搬送ロボットシステム、および、基板検出方法 | |
KR20230015816A (ko) | 기판 이송 설비 및 기판 포지셔닝 방법 | |
KR102304254B1 (ko) | 영상 기법을 이용한 트레이 검사 장치 | |
KR20100047389A (ko) | 카세트 맵핑 장치 및 그 제어 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PA0109 | Patent application |
Patent event code: PA01091R01D Comment text: Patent Application Patent event date: 20220224 |
|
PA0201 | Request for examination | ||
PG1501 | Laying open of application | ||
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20240214 Patent event code: PE09021S01D |
|
E701 | Decision to grant or registration of patent right | ||
PE0701 | Decision of registration |
Patent event code: PE07011S01D Comment text: Decision to Grant Registration Patent event date: 20240827 |
|
GRNT | Written decision to grant | ||
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20241022 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20241023 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration |