[go: up one dir, main page]

KR101650416B1 - 비평면 게이트 올어라운드 장치 및 그의 제조 방법 - Google Patents

비평면 게이트 올어라운드 장치 및 그의 제조 방법 Download PDF

Info

Publication number
KR101650416B1
KR101650416B1 KR1020157030021A KR20157030021A KR101650416B1 KR 101650416 B1 KR101650416 B1 KR 101650416B1 KR 1020157030021 A KR1020157030021 A KR 1020157030021A KR 20157030021 A KR20157030021 A KR 20157030021A KR 101650416 B1 KR101650416 B1 KR 101650416B1
Authority
KR
South Korea
Prior art keywords
region
channel
substrate
lattice constant
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
KR1020157030021A
Other languages
English (en)
Other versions
KR20150122270A (ko
Inventor
윌리 라츠마디
라비 필라리세티
반 에이치. 레
잭 티. 카발리에로스
로버트 에스. 차우
제시카 세반느 카치안
Original Assignee
인텔 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코포레이션 filed Critical 인텔 코포레이션
Publication of KR20150122270A publication Critical patent/KR20150122270A/ko
Application granted granted Critical
Publication of KR101650416B1 publication Critical patent/KR101650416B1/ko
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • H01L29/42392
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/791Arrangements for exerting mechanical stress on the crystal lattice of the channel regions
    • H01L29/0669
    • H01L29/0673
    • H01L29/165
    • H01L29/66795
    • H01L29/7842
    • H01L29/7848
    • H01L29/785
    • H01L29/78696
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/01Manufacture or treatment
    • H10D30/014Manufacture or treatment of FETs having zero-dimensional [0D] or one-dimensional [1D] channels, e.g. quantum wire FETs, single-electron transistors [SET] or Coulomb blockade transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/01Manufacture or treatment
    • H10D30/021Manufacture or treatment of FETs having insulated gates [IGFET]
    • H10D30/024Manufacture or treatment of FETs having insulated gates [IGFET] of fin field-effect transistors [FinFET]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/40FETs having zero-dimensional [0D], one-dimensional [1D] or two-dimensional [2D] charge carrier gas channels
    • H10D30/43FETs having zero-dimensional [0D], one-dimensional [1D] or two-dimensional [2D] charge carrier gas channels having 1D charge carrier gas channels, e.g. quantum wire FETs or transistors having 1D quantum-confined channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/62Fin field-effect transistors [FinFET]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/62Fin field-effect transistors [FinFET]
    • H10D30/6211Fin field-effect transistors [FinFET] having fin-shaped semiconductor bodies integral with the bulk semiconductor substrates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/67Thin-film transistors [TFT]
    • H10D30/6704Thin-film transistors [TFT] having supplementary regions or layers in the thin films or in the insulated bulk substrates for controlling properties of the device
    • H10D30/6713Thin-film transistors [TFT] having supplementary regions or layers in the thin films or in the insulated bulk substrates for controlling properties of the device characterised by the properties of the source or drain regions, e.g. compositions or sectional shapes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/67Thin-film transistors [TFT]
    • H10D30/6729Thin-film transistors [TFT] characterised by the electrodes
    • H10D30/673Thin-film transistors [TFT] characterised by the electrodes characterised by the shapes, relative sizes or dispositions of the gate electrodes
    • H10D30/6735Thin-film transistors [TFT] characterised by the electrodes characterised by the shapes, relative sizes or dispositions of the gate electrodes having gates fully surrounding the channels, e.g. gate-all-around
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/67Thin-film transistors [TFT]
    • H10D30/6757Thin-film transistors [TFT] characterised by the structure of the channel, e.g. transverse or longitudinal shape or doping profile
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/791Arrangements for exerting mechanical stress on the crystal lattice of the channel regions
    • H10D30/797Arrangements for exerting mechanical stress on the crystal lattice of the channel regions being in source or drain regions, e.g. SiGe source or drain
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/10Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
    • H10D62/117Shapes of semiconductor bodies
    • H10D62/118Nanostructure semiconductor bodies
    • H10D62/119Nanowire, nanosheet or nanotube semiconductor bodies
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/10Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
    • H10D62/117Shapes of semiconductor bodies
    • H10D62/118Nanostructure semiconductor bodies
    • H10D62/119Nanowire, nanosheet or nanotube semiconductor bodies
    • H10D62/121Nanowire, nanosheet or nanotube semiconductor bodies oriented parallel to substrates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/10Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
    • H10D62/17Semiconductor regions connected to electrodes not carrying current to be rectified, amplified or switched, e.g. channel regions
    • H10D62/213Channel regions of field-effect devices
    • H10D62/221Channel regions of field-effect devices of FETs
    • H10D62/235Channel regions of field-effect devices of FETs of IGFETs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/10Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
    • H10D62/17Semiconductor regions connected to electrodes not carrying current to be rectified, amplified or switched, e.g. channel regions
    • H10D62/351Substrate regions of field-effect devices
    • H10D62/357Substrate regions of field-effect devices of FETs
    • H10D62/364Substrate regions of field-effect devices of FETs of IGFETs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/40Crystalline structures
    • H10D62/405Orientations of crystalline planes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/80Semiconductor bodies, or regions thereof, of devices having potential barriers characterised by the materials
    • H10D62/82Heterojunctions
    • H10D62/822Heterojunctions comprising only Group IV materials heterojunctions, e.g. Si/Ge heterojunctions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/62Fin field-effect transistors [FinFET]
    • H10D30/6219Fin field-effect transistors [FinFET] characterised by the source or drain electrodes

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

비평면 게이트 올어라운드 장치 및 그의 제조 방법이 설명된다. 일 실시예에서, 장치는 제1 격자 상수를 갖는 상면을 가진 기판을 포함한다. 내장된 에피 소스 및 드레인 영역들이 기판의 상면에 형성된다. 내장된 에피 소스 및 드레인 영역들은 제1 격자 상수와 다른 제2 격자 상수를 갖는다. 제3 격자 상수를 갖는 채널 나노와이어들이 내장된 에피 소스 및 드레인 영역들 사이에 형성되고 그에 결합된다. 일 실시예에서, 제2 격자 상수 및 제3 격자 상수는 제1 격자 상수와 다르다. 채널 나노와이어들은 가장 바닥의 채널 나노와이어를 포함하며, 기판의 상면에 가장 바닥의 채널 나노와이어 아래에 바닥 게이트 분리가 형성된다. 게이트 유전층이 각각의 채널 나노와이어 상에 그리고 전면에 형성된다. 게이트 전극이 게이트 유전층 상에 형성되고, 채널 나노와이어를 둘러싼다.

Description

비평면 게이트 올어라운드 장치 및 그의 제조 방법{NON-PLANAR GATE ALL-AROUND DEVICE AND METHOD OF FABRICATION THEREOF}
본 발명의 실시예들은 반도체 장치의 분야에 관한 것으로서, 구체적으로는 비평면 게이트 올어라운드 장치 및 그의 제조 방법에 관한 것이다.
집적 장치 제조자들이 더 큰 회로 밀도 및 더 높은 성능을 달성하기 위해 트랜지스터 장치들의 피처 사이즈를 계속 줄임에 따라, 차세대 장치들에 대한 기생 용량 및 오프 상태 누설과 같은 단채널 효과들을 줄이면서 트랜지스터 구동 전류를 증가시키기 위한 요구가 존재한다. 트랜지스터 구동 전류를 증가시키는 한 가지 방법은 더 높은 캐리어 이동도의 반도체 재료를 사용하여 채널을 형성하는 것이다. 채널에서의 더 높은 캐리어 이동도는 더 높은 트랜지스터 구동 전류를 지원한다. 캐리어 이동도는 캐리어들이 외부 단위 전기장 하에서 반도체 재료 내에서 흐르는 속도의 척도이다. 반도체 본체 상의 프로세스 유도 스트레스(때때로 스트레스라고 함)는 구동 전류를 증가시키는 다른 하나의 방법이다. 반도체 본체 상에 스트레스를 유도하는 것은 캐리어 이동도를 증가시키며, 따라서 트랜지스터 장치에서의 구동 전류를 증가시킨다.
트리-게이트 트랜지스터와 같은 비평면 트랜지스터들은 반도체 가공에 있어서 단채널 효과들을 제어하기 위한 최근의 개발이다. 트리-게이트 트랜지스터들의 경우, 게이트는 채널 영역의 3개의 면에 인접한다. 게이트 구조가 3개의 표면 상에서 핀을 둘러싸므로, 트랜지스터는 본질적으로 핀 또는 채널 영역을 통해 전류를 제어하는 3개의 게이트를 갖는다. 이러한 3개의 게이트는 핀 내의 더 완전한 공핍을 가능하게 하며, 결과적으로 더 가파른 하위 임계 전류 스윙(SS) 및 더 작은 드레인 유도 장벽 저하(DIBL)로 인해 단채널 효과를 줄인다. 불행하게도, 네 번째 면, 즉 채널의 바닥 부분은 게이트 전극으로부터 멀리 떨어져 있으며, 따라서 면밀한 게이트 제어하에 있지 않다. 트랜지스터 치수들이 20-25 nm 이하 기술 노드들로 계속 축소됨에 따라, 트리-게이트 트랜지스터들에 대해 소스와 드레인 사이의 기생 누설 경로들이 문제가 되고 있다.
비평면 게이트 올어라운드 장치 및 그의 제조 방법이 설명된다. 일 실시예에서, 장치는 제1 격자 상수를 갖는 상면을 가진 기판을 포함한다. 내장된 에피 소스 및 드레인 영역들이 기판의 상면에 형성된다. 내장된 에피 소스 및 드레인 영역들은 제1 격자 상수와 다른 제2 격자 상수를 갖는다. 제3 격자 상수를 갖는 채널 나노와이어들이 내장된 에피 소스 및 드레인 영역들 사이에 형성되고 그에 결합된다. 일 실시예에서, 제2 격자 상수 및 제3 격자 상수는 제1 격자 상수와 다르다. 채널 나노와이어들은 가장 바닥의 채널 나노와이어를 포함하며, 기판의 상면에 가장 바닥의 채널 나노와이어 아래에 바닥 게이트 분리가 형성된다. 게이트 유전층이 각각의 채널 나노와이어 상에 그리고 전면에 형성된다. 게이트 전극이 게이트 유전층 상에 형성되고, 채널 나노와이어를 둘러싼다.
비평면 게이트 올어라운드 장치 및 그의 제조 방법을 제공할 수 있다.
본 발명의 실시예들이 첨부 도면들에 한정이 아니라 예시적으로 도시된다. 도면들에서:
도 1a 내지 1d는 본 발명의 일 실시예에 따른, 내장된 에피(epi) 소스 및 드레인 영역들을 갖는 비평면 올어라운드 장치를 나타낸다.
도 1e는 내장된 소스 및 드레인 영역들을 갖지 않는 비평면 게이트 올어라운드 장치의 도면이다.
도 2는 본 발명의 일 실시예에 따른, 비평면 게이트 올어라운드 장치를 제조하는 방법에서의 단계들을 나타내는 흐름도이다.
도 3a 내지 3m은 본 발명의 일 실시예에 따른, 비평면 게이트 올어라운드 장치를 제조하는 방법에서의 단계들을 나타내는 삼차원 및 이차원 도면들을 나타낸다.
도 4는 본 발명의 일 구현에 따른 컴퓨팅 장치(400)를 나타낸다.
본 발명은 새로운 게이트 올어라운드 장치 및 제조 방법이다. 아래의 설명에서는 본 발명의 충분한 이해를 제공하기 위해 다양한 상세들이 설명된다. 그러나 본 발명은 이러한 특정 상세들 없이도 실시될 수 있다는 것이 이 분야의 기술자에게 명백할 것이다. 다른 예들에서는 본 발명을 불명확하게 하지 않기 위해 공지 반도체 프로세스들 및 제조 기술들은 상세히 설명되지 않았다. 본 명세서 전반에서 "일 실시예"에 대한 참조는 그 실시예와 관련하여 설명되는 특정 특징, 구조 또는 특성이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반의 다양한 곳에서의 "일 실시예에서"라는 문구의 출현들은 반드시 본 발명의 동일 실시예를 지칭하지는 않는다. 더구나, 특정 특징들, 구조들, 기능들 또는 특성들은 하나 이상의 실시예에서 임의의 적절한 방식으로 결합될 수 있다. 예를 들어, 제1 실시예와 제2 실시예가 서로 배타적이 아닌 경우, 제1 실시예는 제2 실시예와 결합될 수 있다.
본 발명의 실시예들은 게이트 유전층 및 게이트 전극에 의해 전면에서(all around) 둘러싸인 채널 나노와이어들을 갖는 비평면 게이트 올어라운드 트랜지스터 장치를 포함한다. 채널 나노와이어들을 완전히 둘러싸는 게이트 전극을 갖는 것은 게이트 제어를 향상시키며, 결과적으로 기생 누설 경로들이 완전히 차단됨으로 인해 단채널 효과들을 개선한다. 채널 나노와이어들은 소스 및 드레인 영역들 사이에 배치된다. 본 발명의 하나 이상의 실시예에서, 채널 나노와이어들은 도핑되지 않은 게르마늄으로 구성되며, 단축 방향으로(uniaxially) 격자 스트레스를 받는다. 도핑되지 않은 게르마늄은 전통적인 실리콘보다 높은 캐리어 이동도를 제공하며, 단축 방향의 격자 스트레스는 채널 나노와이어들에서의 캐리어 이동도를 더 향상시키고, 따라서 매우 높은 트랜지스터 장치 구동 전류를 달성한다. 본 발명의 일 실시예에서, 소스 및 드레인 영역들은 채널 나노와이어들에 인접한 핀의 부분들을 에칭하여 제거한 후에 기판으로부터 반도체 재료를 에피택시 방식으로 성장시켜 "내장된 에피" 소스 및 드레인 영역들을 형성함으로써 형성된다. 내장된 에피 소스 및 드레인 영역들은 채널 나노와이어들에서의 단축 방향 격자 스트레스를 유지하거나, 향상시키거나, 유지는 물론, 향상시키는 것을 돕는 추가적인 힘 또는 앵커들을 채널 나노와이어들에 제공한다. 게다가, 본 발명의 일 실시예에서, 게이트 올어라운드 트랜지스터는 기판과 바닥 채널 나노와이어 사이에 형성된 바닥 게이트 분리층을 포함하며, 따라서 게이트 전극은 기판에 대한 용량성 결합 없이 바닥 채널 나노와이어 전면에 형성될 수 있다. 본 발명의 하나 이상의 실시예는 내장된 에피 소스 및 드레인 영역들 또는 기판과 바닥 채널 나노와이어 사이에 형성된 바닥 게이트 분리층 중 하나 또는 양자를 갖는 비평면 게이트 올어라운드 트랜지스터 장치를 포함할 수 있다.
도 1a 내지 1d는 본 발명의 일 실시예에 따른 비평면 게이트 올어라운드 장치(100)를 나타낸다. 도 1a는 유전층(101) 내의 장치(100)의 삼차원 오버헤드/측면도이고, 도 1b는 내장된 에피 소스(106) 및 드레인(107)을 통해 취해진 단면도이고, 도 1c는 게이트 전극(118)을 통해 취해진 단면도이다. 도 1d는 유전층(101)을 갖지 않는 장치(100)의 삼차원 오버헤드/측면도이다. 장치(100)는 상면(104)을 갖는 기판(102)을 포함한다. 내장된 에피 소스(106) 및 드레인(107) 영역들은 기판(102)의 상면(104)에 배치되며, 채널 나노와이어들(110)이 내장된 에피 소스(106) 및 드레인(107) 영역들 사이에 결합된다. 내장된 에피 소스(106) 및 드레인(107) 영역들은 공동으로 내장된 에피 소스/드레인 쌍으로 지칭될 수 있다. 채널 나노와이어들(110)이 내장된 에피 소스(106) 및 드레인(107) 영역들에 결합되는 채널 나노와이어들(110)의 단부들을 제외하고, 각각의 채널 나노와이어(110) 상에 그리고 전면에 게이트 유전층(116)이 형성된다. 게이트 전극(118)이 게이트 유전층(116) 상에 형성되고, 각각의 채널 나노와이어(110)를 완전히 둘러싼다.
일 실시예에서, 기판(102)의 상면(104), 내장된 에피 소스(106) 및 드레인(107) 영역들 및 채널 나노와이어들(110) 각각은 격자 상수를 갖는 재료를 포함한다. 상면(104)의 격자 상수는 내장된 에피 소스(106) 및 드레인(107) 영역들 및 채널 나노와이어들(110)의 격자 상수들과 다르다. 특정 실시예에서, 내장된 에피 소스(106) 및 드레인(107) 영역들 및 채널 나노와이어들(110)의 격자 상수들은 상면(104)의 격자 상수보다 크다. 하나의 그러한 실시예에서, 기판(102)의 상면(104)은 실리콘 게르마늄이고, 채널 나노와이어들(110)은 도핑된 게르마늄이며, 내장된 에피 소스(106) 및 드레인(107) 영역들은 게르마늄이다. 내장된 에피 소스(106) 및 드레인(107) 영역들, 채널 나노와이어들(110) 및 상면(104) 사이의 격자 미스매치(예로서, 격자 상수 미스매치)는 채널 나노와이어들(110)에서 그리고 내장된 에피 소스(106) 및 드레인(107) 영역들에서 격자 스트레스를 유발한다. 일 실시예에서, 채널 나노와이어들(110) 및 내장된 에피 소스(106) 및 드레인(107) 영역들은 채널 나노와이어들(110)의 길이(120)와 평행한 방향에서 단축 방향으로 격자 스트레스를 받으며, 채널 나노와이어들(110)의 길이(120)에 수직이 방향에서 격자 이완된다. 일 실시예에서, 상면(104)과 내장된 에피 소스(106) 및 드레인(107) 영역들 간의 격자 미스매치는 또한 내장된 에피 소스(106) 및 드레인(107) 영역들이 채널 나노와이어들(110) 상에 힘을 제공하게 한다. 힘은 채널 나노와이어들(110)에서의 단축 방향 격자 스트레스를 유지하는 것을 도울 수 있다.
일 실시예에서, 채널 나노와이어들(110)은 단결정 벌크 실리콘보다 큰 캐리어 이동도를 갖는 단결정 재료를 포함할 수 있다. 더 높은 캐리어 이동도는 장치(100)가 더 높은 구동 전류 및 더 큰 성능을 달성할 수 있게 해준다. 특정 실시예에서, 채널 나노와이어들(110)은 도핑되지 않은 게르마늄(Ge)이다. 도펀트들의 부재는 전하 캐리어들의 산란을 최소화하며, 채널 나노와이어들(110)에서의 캐리어 이동도를 최대화하는 것을 돕는다.
본 발명의 일 실시예에서, 도 1a 및 1b에 도시된 바와 같이, 내장된 에피 소스(106) 및 드레인(107) 영역들은 소스/드레인 트렌치(108) 내에 배치될 수 있으며, 기판(102)의 상면(104)은 얕은 트렌치 분리층(105)의 상면 아래로 리세스(recess)된다. 내장된 에피 소스(106) 및 드레인(107) 영역들을 소스/드레인 트렌치(108) 내에 형성하는 것은 내장된 에피 소스(106) 및 드레인(107) 영역들의 성장을 제한하는 것을 돕는다. 그러나, 내장된 소스(106) 및 드레인(107) 영역들은 반드시 트렌치 내에 형성될 필요가 없으며, 분리층(103)과 평면을 이루거나 그보다 높은 기판(102)의 상면(104)에 위치할 수 있다. 내장된 에피 소스(106) 및 드레인(107) 영역들은 <111> 면을 가질 수 있으며, 바닥에서의 폭(122)은 내장된 에피 소스(106) 및 드레인(107) 영역들의 상부에서의 폭(124)보다 크다. 그러한 실시예에서, 측벽들(126, 128)에 대응하는 평면은 내장된 에피 소스(106) 및 드레인(107) 영역들의 <111> 격자 배향이다.
일 실시예에서, 장치(100)는 기판(102)의 상면(104)에 그리고 가장 바닥의 채널 나노와이어(115) 아래에 배치되는 바닥 게이트 분리(114)를 포함한다. 바닥 게이트 분리(114)는 게이트 전극(118)에 의한 기판(102)의 상면(104)의 기생 결합을 방지하기 위한 용량성 분리 장벽으로 사용된다. 용량성 분리 장벽으로서의 바닥 게이트 분리(114)의 효과는 그를 형성하는 재료 및 그의 두께에 의존한다. 일 실시예에서, 바닥 게이트 분리(114)는 게이트 전극(118)에 의한 기판(102)의 상면(104)의 기생 결합을 방지하는 임의의 유전성 재료(예로서, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 낮은 k의 유전성 재료 등)로 형성된다. 특정 실시예에서, 바닥 게이트 분리(114)는 실리콘 산화물 층으로 구성된다. 일 실시예에서, 바닥 게이트 분리의 두께는 게이트 전극(118)에 의한 용량성 결합으로부터 기판(102)의 상면(104)을 분리할 만큼 충분히 두껍다. 특정 실시예에서, 바닥 게이트 분리(114)는 약 100-300Å 사이이다. 바닥 게이트 분리(114)는 가장 바닥의 채널 나노와이어(115)가 게이트 전극(118)에 의해 완전히 둘러싸이는 것을 가능하게 한다. 바닥 게이트 분리(114)가 존재하지 않는 경우, 장치가 "턴온"될 때 바람직하지 않은 도전성 채널이 기판 내에 형성되는 것을 방지하기 위해 게이트 전극(118)과 기판(102)의 상면(104) 간의 용량성 결합을 방지하기 위해 바닥 채널 나노와이어(115)는 트리게이트 또는 유사한 구조에 의해 제어되는 것이 필요할 것이다.
본 발명의 일 실시예에서, 기판(102)은 상이한 결정 기판(실리콘, 게르마늄, 갈륨 비화물, 사파이어 등) 위에 성장된 하나 이상의 에피택시 단결정 반도체 층(예로서, 실리콘, 게르마늄, 실리콘 게르마늄, 갈륨 비화물, 인듐 인화물, 인듐 갈륨 비화물, 알루미늄 갈륨 비화물 등)을 포함할 수 있다. 하나의 그러한 실시예에서, 에피택시 방식으로 성장된 반도체 층들은 상이한 결정 기판과 다른 격자 상수들을 갖는 하나 이상의 버퍼층(109)이다. 버퍼층들(109)은 격자 상수를 상이한 결정 기판으로부터 상면(104)까지 그레이딩(grading)하는 데 사용될 수 있다. 예를 들어, 기판(102)은 상이한 결정 실리콘 기판 상에 에피택시 방식으로 성장된 실리콘 게르마늄(SiGe) 버퍼층들(109)을 포함할 수 있다. SiGe 버퍼층들(109)의 게르마늄 농도는 가장 바닥의 버퍼층에서의 30% 게르마늄으로부터 가장 상부의 버퍼층에서의 70% 게르마늄까지 증가할 수 있으며, 따라서 격자 상수를 점진적으로 증가시킬 수 있다.
얕은 트렌치 분리(STI) 영역들(103)은 일 실시예에서 기판(102) 상에 배치될 수 있다. STI 영역들(103)은 서로 인접 형성된 장치들(100) 간의 누설 전류를 줄이는 데 사용된다. STI 층(105)이 STI 영역들(103) 내에 배치될 수 있다. STI 층(105)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 낮은 k의 유전체 및 이들의 임의 조합과 같은, 그러나 이에 한정되지 않는 임의의 공지된 유전성 재료를 포함할 수 있다.
도 1b에 도시된 바와 같이, 채널 나노와이어들(110)은 기판(102)의 상면(104) 위에 그리고 내장된 에피 소스(106) 및 드레인(107) 영역들 사이에 형성된다. 채널 나노와이어들(110)은 Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, InP 및 탄소 나노튜브들과 같은, 그러나 이에 한정되지 않는 임의의 공지된 재료로 형성될 수 있다. 채널 나노와이어들(110)은 외부 전기장을 인가함으로써 절연 상태로부터 도전 상태로 역으로 변경될 수 있는 임의의 공지된 재료로 형성될 수 있다. 이상적으로는, 더 높은 장치 성능을 위해, 채널 나노와이어들(110)은 일 실시예에서 단결정 실리콘보다 큰 캐리어 이동도를 갖는 도핑되지 않은, 격자 스트레스를 받는 단결정 반도체 재료로 형성된다. 전술한 바와 같이, 채널 나노와이어들(110) 내의 도펀트들의 부재는 전하 캐리어들의 산란을 최소화하고, 캐리어 이동도를 최대화하여 구동 전류를 증가시키는 것을 돕는다. 채널 나노와이어들(110)에서의 격자 스트레스는 또한 캐리어 이동도를 향상시키고, 장치 성능을 개선한다. 통상적으로, 채널 나노와이어들은 p형 트랜지스터 장치들에서의 향상된 정공 이동도를 위해 압축 스트레스를 받으며, n형 트랜지스터 장치들에서의 향상된 전자 이동도를 위해 인장 스트레스를 받는다. 일 실시예에서, 채널 나노와이어들(110)은 채널 나노와이어들(110)의 길이(120)와 평행한 방향에서 단축 방향으로 격자 스트레스를 받지만, 채널 나노와이어들(110)의 길이(120)에 수직인 방향에서 격자 이완된다. 다른 실시예에서, 채널 나노와이어들(110)은 도핑된 단결정 반도체 재료일 수 있다. 예를 들어, 채널 나노와이어들(110)은 도핑된 단결정 실리콘으로 형성될 수 있다. 채널 나노와이어들(110)이 도핑될 때, 이들은 통상적으로 NMOS 트랜지스터 장치를 형성할 때는 p형 도전성으로 도핑되고, PMOS 트랜지스터 장치를 형성할 때는 n형 도전성으로 도핑된다.
도 1b에 도시된 바와 같이, 채널 나노와이어들(110)은 상면(104)과 평행하게 연장하고, 채널 나노와이어들(110)의 수직 어레이를 형성할 수 있다. 일 실시예에서, 내장된 에피 소스(106) 및 드레인(107) 영역들 간의 채널 나노와이어들의 수는 3 내지 6개이다. 더 많은 수의 채널 나노와이어들(110)은 장치(100)를 통한 더 큰 구동 전류를 가능하게 한다. 채널 나노와이어들(110)은 두께(130), 폭(132) 및 길이(120)를 갖는다. 본 발명의 일 실시예에서, 두께(130)는 약 5-30 nm 사이이고, 폭(132)은 약 5-50 nm 사이이며, 길이(120)는 10-100 nm 사이이다. 일 실시예에서, 채널 나노와이어들(110)은 리본 형상의 나노와이어들일 수 있으며, 폭(132)은 채널 나노와이어들의 두께(130)보다 크다. 추가 실시예에서, 채널 나노와이어들(110)의 단면은 직사각형이 아니라 원형 또는 타원형일 수 있다. 채널 나노와이어들의 길이(120)는 본질적으로 트랜지스터 장치(100)의 게이트 길이(Lg)를 정의한다. 채널 나노와이어(110)의 유효 게이트 "폭"(Wg)은 그 채널 나노와이어(110)의 둘레이다. 예를 들어, 직사각 단면을 갖는 채널 나노와이어의 경우, 채널 나노와이어(110)의 유효 게이트 "폭"은 채널 나노와이어(110)의 폭(132)의 2배와 두께(130)의 2배의 합이다. 트랜지스터 장치(100)의 유효 게이트 "폭"(Wg)은 채널 나노와이어들(110)에 대한 둘레들의 합이다.
도 1b에 도시된 바와 같이, 내장된 에피 소스(106) 및 드레인(107) 영역들은 채널 나노와이어들(110)의 대향 단부들 상에 형성되며, 채널 나노와이어들(110)에 결합된다. 내장된 에피 소스(106) 및 드레인(107) 영역들은 격자 상수를 갖는 임의의 공지된 재료로 형성될 수 있다. 이상적으로는, 내장된 에피 소스(106) 및 드레인(107) 영역들은 Si, Ge, GeSn, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, GaN, GaP 및 InP와 같은, 그러나 이에 한정되지 않는 에피택시 방식으로 성장된 단결정 반도체로 형성된다. 일 실시예에서, 내장된 에피 소스(106) 및 드레인(107) 영역들은 기판(102)의 상면(104)과 다른 격자 상수를 갖는 단결정 반도체 재료로 형성된다. 전술한 바와 같이, 내장된 에피 소스(106) 및 드레인(107) 영역들과 기판(102)의 상면(104) 간의 격자 상수 미스매치는 내장된 에피 소스(106) 및 드레인(107) 영역들 내에 격자 스트레스를 생성하며, 따라서 전자 이동도 및 트랜지스터 성능을 개선한다. 일 실시예에서, 내장된 에피 소스(106) 및 드레인(107) 영역들은 길이(120)와 평행한 방향에서 단축 방향으로 격자 스트레스를 받지만, 길이(120)에 수직인 방향에서는 격자 이완된다. 내장된 에피 소스(106) 및 드레인(107) 영역들과 기판(102)의 상면(104) 간의 격자 상수 미스매치는 또한 내장된 에피 소스(106) 및 드레인(107) 영역들이 채널 나노와이어들(110) 상에 힘을 가하게 하며, 이는 채널 나노와이어들(110) 내에 격자 스트레스를 유지하는 것을 도울 수 있다. 일 실시예에서, 내장된 에피 소스(106) 및 드레인(107) 영역들은 채널 나노와이어들(110)을 형성하는 데 사용되는 것과 동일한 단결정 반도체 재료로 형성된다.
특정 실시예에서, 내장된 에피 소스(106) 및 드레인(107) 영역들의 격자 상수는 기판(102)의 상면(104)의 격자 상수보다 크다. 그러한 실시예에서, 내장된 에피 소스(106) 및 드레인(107) 영역들은 압축 스트레스를 받으며, 채널 나노와이어들(110) 상에 압축력을 제공한다. 특정 실시예에서, 내장된 에피 소스(106) 및 드레인(107) 영역들은 에피택시 단결정 게르마늄이며, 기판(102)의 상면(104)은 에피택시 단결정 실리콘 게르마늄이다. 게르마늄 소스(106) 및 드레인(107) 영역들은 채널 나노와이어(110) 상에 압축력을 가한다. 일 실시예에서, 반도체 기판(102)의 상면(104)은 제1 격자 상수를 갖는 반도체 재료(예로서, 실리콘 게르마늄)로 형성될 수 있고, 채널 나노와이어들(110)은 제1 격자 상수보다 큰 제2 격자 상수를 갖는 제2 반도체 재료(예로서, 게르마늄)로 형성될 수 있으며, 내장된 에피 소스(106) 및 드레인(107) 영역들은 채널 나노와이어들(110) 내의 압축 스트레스를 더 증가시키기 위해 채널 나노와이어들(110)의 격자 상수(제2 격자 상수)보다 큰 제3 격자 상수를 갖는 제3 반도체 재료(예로서, 갈륨 비화물(GaAs))로 형성될 수 있다.
다른 실시예에서, 내장된 에피 소스(106) 및 드레인(107) 영역들의 격자 상수는 기판(102)의 상면(104)의 격자 상수보다 작다. 그러한 실시예에서, 내장된 에피 소스(106) 및 드레인(107) 영역들은 인장 스트레스를 받으며, 채널 나노와이어들(110) 상에 인장력을 제공한다. 일 실시예에서, 반도체 기판(102)의 상면(104)은 제1 격자 상수를 갖는 단결정 반도체 재료로 형성될 수 있고, 채널 나노와이어들(110)은 제1 격자 상수보다 작은 제2 격자 상수를 갖는 제2 반도체 재료로 형성될 수 있으며, 내장된 소스(106) 및 드레인(107) 영역들은 채널 나노와이어들 내의 인장 스트레스를 더 증가시키기 위해 채널 나노와이어들(110)의 격자 상수(제2 격자 상수)보다 작은 제3 격자 상수를 갖는 제3 반도체 재료로 형성될 수 있다.
통상적으로, 내장된 에피 소스(106) 및 드레인(107) 영역들은 NMOS 트랜지스터 장치를 형성할 때는 n형 도전형으로 형성되며, PMOS 트랜지스터 장치를 형성할 때는 p형 도전형으로 형성된다. 본 발명의 일 실시예에서, 내장된 에피 소스(106) 및 드레인(107) 영역들은 1E18 원자/cm3 내지 1E21 원자/cm3의 도핑 농도를 갖는다. 내장된 에피 소스(106) 및 드레인(107) 영역들은 균일한 도핑 농도를 갖도록 형성될 수 있거나, 상이한 농도들 또는 도펀트 프로필들의 하위 영역들을 포함할 수 있다. 일 실시예에서, 장치(100)가 대칭 트랜지스터로서 형성될 때, 내장된 에피 소스(106) 및 드레인(107) 영역들은 동일한 도핑 농도 및 프로필을 갖는다. 다른 실시예에서, 장치(100)는 비대칭 트랜지스터로서 형성되며, 내장된 에피 소스(106) 및 드레인(107) 영역들의 도핑 농도 프로필은 이 분야에 공지된 바와 같은 임의의 특정한 전기적 특성을 달성하기 위해 변경될 수 있다.
소스(106) 및 드레인(107) 영역들은 "내장된 에피" 소스 및 드레인 영역들로서 지칭되는데, 그 이유는 그들이 아래에 더 상세히 설명되는 바와 같이 스트레스를 받은 채널 나노와이어들(110)을 생성하는 데 사용되는 핀의 부분들을 먼저 제거한 후에 소스 및 드레인 쌍을 에피택시 방식으로 성장시킴으로써 형성되기 때문이다. 예를 들어, 일 실시예에서, 스트레스를 받은 채널 나노와이어들(110)을 생성하는 데 사용되는 핀의 부분들이 제거된 후에, 기판(102)의 상면(104)으로부터 소스 및 드레인 쌍이 에피택시 방식으로 성장된다. 에피택시 방식으로 퇴적된 소스 및 드레인 쌍의 격자는 기판의 상면(104)의 격자로부터 계속된다. 즉, 하부의 기판의 격자는 그 위의 내장된 에피 소스(106) 및 드레인(107) 영역들의 격자 방향 및 성장을 지시한다. 내장된 에피 소스(106) 및 드레인(107) 영역들의 사용은 채널 나노와이어들에 추가적인 힘을 제공함으로써 그리고 핀 패터닝과 같은 이전의 제조 프로세스들로부터 이미 존재하는 채널 나노와이어들(110) 내의 단축 방향 스트레스의 유지를 돕기 위해 채널 나노와이어들에 앵커들을 제공함으로써 장치 성능을 향상시킨다. 내장된 에피 소스 및 드레인 영역들은 스트레스를 받으며, 따라서 인접하는 나노와이어 채널들에 스트레스를 더 준다. 채널 나노와이어들 내의 스트레스는 채널 나노와이어들을 형성하는 데 사용되는 반도체 재료와 다른 격자 상수를 갖는 반도체 재료를 사용함으로써 더 증가될 수 있다.
게다가, 반도체 장치(100)는 이상적으로는 채널 나노와이어들(110)에서의 변형을 증가시키기 위해 내장된 에피 소스(106) 및 드레인(107) 영역들을 포함하지만, 실시예들은 내장된 소스 및 드레인 영역들을 반드시 포함하지는 않는다. 본 발명의 일 실시예에서, 도 1e에 도시된 바와 같이, 트랜지스터(150)는 단축 방향으로 스트레스를 받은 채널 나노와이어들(110)을 생성하는 데 사용되는 핀 필름 스택으로부터 형성된 소스(156) 및 드레인(157) 영역을 포함할 수 있다. 예를 들어, 소스(156) 및 드레인(157) 영역들은 반도체 재료(160)와 희생 재료(170)(예로서, 게르마늄 및 실리콘 게르마늄 각각)의 교대 층들, 및 스트레스 받은 채널 나노와이어들(110)을 생성하는 데 사용되는 기판(102)으로부터 형성될 수 있다. 이 경우, 소스(156) 및 드레인(157) 영역들은 단결정 반도체 필름들의 이종 스택으로 형성된다. 소스(156) 및 드레인(157) 영역은 이 분야에 공지된 바와 같은 원하는 도전형 및 레벨로 도핑될 수 있다. 게다가, 원할 경우에, 소스 및 드레인 영역들의 두께를 증가시키고, 전류 밀집을 감소시켜 장치의 콘택 저항을 줄이기 위해, 소스(156) 및 드레인(157) 영역들 상에 추가적인 에피택시 반도체 재료(도시되지 않음)를 퇴적시킴으로써 상승된 소스 및 드레인 영역들이 형성될 수 있다. 트랜지스터(150)는 가장 바닥의 나노와이어(115) 아래의 게이트(118)를 기판(102)에 대한 용량성 결합으로부터 분리하기 위한 게이트 분리(114)를 포함한다.
도 1b 및 1c에 도시된 바와 같이, 게이트 유전층(116)이 각각의 채널 나노와이어(110) 상에 전면적으로 형성된다. 게이트 유전층(116)은 SiO2, SiON 및 SiN과 같은, 그러나 이에 한정되지 않는 임의의 공지된 게이트 유전층일 수 있다. 일 실시예에서, 게이트 유전층(116)은 금속 산화물 유전체(예로서, Ta2O5, TiO2, HfO2, HfSiOx, ZrO2 등)와 같은 높은 k의 게이트 유전층이다. 게이트 유전층(116)은 또한 PZT 및 BST와 같은, 그러나 이에 한정되지 않는 다른 타입의 높은 k의 유전층들일 수 있다. 게이트 유전층은 또한 전술한 유전성 재료들의 임의의 조합일 수 있다. 게이트 유전층(116)은 약 10-60 Å의 두께로 형성될 수 있다. 특정 실시예에서, 게이트 유전층(116)은 HfO2이며, 약 1-6 나노미터의 두께로 형성된다.
게이트 전극(118)이 게이트 유전층(116) 상에 형성되며, 각각의 채널 나노와이어(110)를 완전히 둘러싼다. 게이트 전극(118)은 채널 나노와이어들(110)의 길이(120)에 수직인 방향으로 연장한다. 게이트 전극(118)은 임의의 적절한 게이트 전극 재료로 형성될 수 있다. 일 실시예에서, 게이트 전극(118)은 Ti, TiN, TaN, W, Ru, TiAl 및 이들의 임의 조합과 같은, 그러나 이에 한정되지 않는 금속 게이트 전극일 수 있다. 장치(100)가 NMOS 트랜지스터 장치인 실시예에서, 게이트 전극(118)은 3.9-4.2eV의 일함수를 갖는 재료로 형성될 수 있다. 장치(100)가 PMOS 트랜지스터 장치인 실시예에서, 게이트 전극(118)은 4.8 내지 5.2 eV의 일함수를 갖는 재료로 형성될 수 있다. 장치(100) 내의 채널 나노와이어들(110)이 도핑되지 않거나 매우 낮은 농도로 도핑되는 실시예에서, 게이트 전극(118)은 4.3-4.7 eV의 중간 갭 일함수를 갖는 재료로 형성될 수 있다. 특정 실시예에서, 게이트 전극(118)은 TiAl이다.
게이트 전극(118) 및 게이트 유전층(116)은 각각의 채널 나노와이어(110)를 완전히 둘러싸므로, 장치(100)는 완전 공핍 방식으로 동작하는 트랜지스터일 수 있으며, 장치가 "턴온"될 때, 채널 나노와이어들(110)이 완전히 공핍되어, 완전 공핍 트랜지스터 장치의 유리한 전기적 특성 및 성능을 제공한다. 장치(100)가 "턴온"될 때, 각각의 채널 나노와이어(110)에서는 각각의 채널 나노와이어의 표면에서의 반전 층과 함께 공핍 영역이 형성된다. 반전 층은 내장된 에피 소스(106) 및 드레인(107) 영역들과 동일한 도전형을 가지며, 내장형 에피 소스(106) 및 드레인(107) 영역들 사이에 도전성 채널을 형성하여 그들 사이로 전류가 흐를 수 있게 한다. 공핍 영역은 반전 층들 아래로부터 자유 캐리어들을 공핍시킨다. 각각의 채널 나노와이어(110)는 반전 층을 제외하고는 캐리어들이 공핍되며, 따라서 트랜지스터는 "완전 공핍" 트랜지스터로서 지칭될 수 있다. 완전 공핍 트랜지스터들은 완전히 공핍되지 않은 또는 부분적으로 공핍된 트랜지스터들에 비해 향상된 전기적 성능 특성을 갖는다. 트랜지스터를 완전 공핍 방식으로 동작시키는 것은 트랜지스터에 이상적인 또는 매우 가파른 하위 임계 기울기를 제공한다. 매우 가파른 하위 임계 기울기는 개선된 드레인 유도 장벽 저하(DIBL)와 같은 개선된 단채널 효과들을 제공한다.
도 2는 본 발명의 일 실시예에 따른, 비평면 게이트 올어라운드 장치를 제조하는 방법을 나타내는 흐름도(200)이다. 도 3a 내지 3m은 본 발명의 일 실시예에 따른, 비평면 게이트 올어라운드 장치를 제조하는 방법에서의 단계들을 나타내는 삼차원 및 이차원 단면도들을 도시한다. 방법은 상부에 핀(304)이 형성된 기판(301)을 제공함으로써 흐름도(200)의 단계 202에서 시작된다. 기판(301)은 상부에 비평면 게이트 올어라운드 장치가 형성되는 재료이다. 기판(301)은 격자 상수를 갖는 상면(303)을 갖는다. 일 실시예에서, 기판(301)은 격자 상수를 갖는 상부 단결정 층을 포함한다. 하나의 그러한 실시예에서, 기판(301)은 상이한 단결정 기판과 상부 단결정 층 사이에 성장된 하나 이상의 버퍼층(311)을 포함할 수 있다. 버퍼층들(311)은 상이한 결정 기판의 격자 상수로부터 상부 단결정 층의 격자 상수까지 격자 상수를 점진적으로 변경하는 데 사용될 수 있다. 버퍼층들(311)은 Si, Ge, GeSn, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, GaN, GaP 및 InP와 같은, 그러나 이에 한정되지 않는 에피택시 방식으로 성장된 단결정 반도체 재료로 형성될 수 있다. 버퍼층들(311)이 상부에 형성되는 상이한 결정 기판은 격자 상수를 갖는 임의의 단결정 재료(예로서, 실리콘, 게르마늄, 갈륨 비화물, 사파이어 등)일 수 있다. 특정 실시예에서, 기판(301)은 상이한 단결정 실리콘 기판 상에 에피택시 방식으로 성장된 실리콘 게르마늄(SiGe) 버퍼층들을 포함할 수 있다. SiGe 버퍼층들의 게르마늄 농도는 가장 바닥의 버퍼층에 대한 30% 게르마늄으로부터 가장 상부의 버퍼층에 대한 완화된 70% 게르마늄까지 증가할 수 있다.
일 실시예에서, 핀(304)은 반도체 재료(308)와 희생 재료(310)의 교대 층들을 갖도록 형성된다. 이어서, 반도체 재료의 층들(308)은 채널 나노와이어들(343)로 형성된다. 희생 재료의 층들(310)은 반도체 재료의 층들(308)에 격자 상수 미스매칭됨으로써 반도체 재료의 층들(308)에 대해 격자 스트레스를 유발한다. 일 실시예에서, 반도체 재료의 층들(308) 및 희생 재료의 층들(310)은 격자 상수를 가진 임의의 공지된 재료로 형성될 수 있다. 이상적으로는, 반도체 재료의 층들(308) 및 희생 재료의 층들(310)은 Si, Ge, SiGe, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb 및 InP와 같은, 그러나 이에 한정되지 않는 단결정 반도체 재료로 형성된다. 일 실시예에서, 반도체 재료의 층들(308)은 희생 재료의 층들(310) 및 기판(301)의 상면(303)의 격자 상수들과 다른 격자 상수를 갖는다. 핀(304)은 상면(303), 반도체 재료의 층들(308) 및 희생 재료의 층들(310) 간의 격자 미스매치의 결과로서 격자 스트레스를 받는다. 특정 실시예에서, 반도체 재료의 층들(308)의 격자 상수는 희생 재료의 층들(310) 및 상면(303)의 격자 상수들 양자보다 크다. 예를 들어, 반도체 재료의 층들(308)은 도핑되지 않은 게르마늄일 수 있고, 상면(303)은 70% 게르마늄 농도를 가진 실리콘 게르마늄일 수 있으며, 희생 재료의 층들(310)은 70% 게르마늄 농도를 가진 실리콘 게르마늄일 수 있다. 그러한 실시예의 경우, 재료들 간의 격자 미스매치는 반도체 재료의 층들(308)이 핀(304)에서 압축 격자 스트레스를 받게 한다. 다른 실시예에서, 반도체 재료의 층들(308)의 격자 상수는 희생 재료의 층들(310) 및 상면(303)의 격자 상수들 양자보다 작다. 예를 들어, 반도체 재료의 층들(308)은 실리콘일 수 있고, 상면(303)은 실리콘 게르마늄일 수 있으며, 희생 재료의 층들(310)은 실리콘 게르마늄일 수 있다. 그러한 실시예의 경우, 재료들 간의 격자 미스매치는 반도체 재료의 층들(308)이 핀(304)에서 인장 격자 스트레스를 받게 한다. 희생 재료 층(310) 및 반도체 재료 층(308)은 상이한 격자 상수들과 함께 교대하므로, 반도체 재료 층들은 하부의 희생 재료 층(310)에 의해 양축 방향으로 스트레스를 받는다.
핀(304)은 먼저 전통적인 에피택시 화학 기상 퇴적 방법들을 이용하여 기판(301)의 상면(303)에 반도체 재료(308) 및 희생 재료(310)의 교대 층들을 전면 퇴적함으로써 형성될 수 있다. 이어서, 전통적인 포토리소그라피 및 에칭 방법들을 이용하여 반도체 재료(308) 및 희생 재료(310)의 전면 층들을 패터닝하여 핀(304)을 정의한다. 본 발명의 일 실시예에서, 기판(301)도 에칭되며, 따라서 핀(304)의 바닥 부분은 도 3a에 도시된 바와 같은 기판 부분(309)을 포함한다. 이러한 방식으로, 핀의 기판 부분(309)은 핀(304)의 바닥 희생 재료(310)로서 작용한다. 일 실시예에서, 핀(304)의 기판 부분(309)은 기판과 바닥 채널 나노와이어들 사이에 바닥 게이트 분리막 및 게이트 전극/게이트 유전체가 형성될 수 있도록 기판과 가장 바닥의 채널 나노와이어 사이에 추가적인 공간을 제공하기 위해 희생 재료 층들(310)보다 두껍게 된다. 일 실시예에서, 패터닝 동안, 기판(301)도 패터닝하여, 핀(304) 및 얕은 트렌치 분리(STI) 영역들(315)과 연속하는 기판 영역(312)을 형성할 수 있다. STI 영역들(315)은 서로 인접 형성된 비평면 게이트 올어라운드 장치들 간의 누설 전류를 줄이는 데 사용된다. 일 실시예에서, 핀(304)과 연속하는 기판 영역(312)의 적어도 일부는 기판(301)의 버퍼층들(311)을 포함할 수 있다. 일 실시예에서, STI 영역들(315)은 STI 유전층(305)으로 채워진다. STI 유전층(305)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 낮은 k의 유전체 및 이들의 임의 조합과 같은, 그러나 이에 한정되지 않는 임의의 공지된 유전층일 수 있다. STI 유전층(305)은 먼저 전통적인 화학 기상 퇴적 방법들을 이용하여 기판(301) 상에 그리고 핀(304) 위에 STI 유전층(305)을 전면 퇴적함으로써 형성된다. STI 유전층(305)은 처음에 핀(304)과 기판 영역(312)의 결합된 두께들보다 큰 두께로 퇴적된다. 이어서, STI 유전층(305)은 전통적인 화학 기계 평탄화 방법을 이용하여 평탄화된다. 이어서, STI 유전층(305)은 전통적인 에치 방법을 이용하여 도 3a에 도시된 바와 같은 노출된 핀(304)으로 리세스된다. 일 실시예에서, STI 유전체는 기판(301)의 상면(303) 아래로 리세스되며, 따라서 도 3a에 도시된 바와 같이 기판(301)으로부터 핀(304)의 바닥 부분이 형성된다. 이러한 방식으로, 핀(304)은 핀(304)의 바닥 희생 재료(310)로서 작용하는 기판 부분(309)을 포함한다. 일 실시예에서, 핀(304)의 기판 부분(309)은 기판과 바닥 채널 나노와이어 사이에 바닥 게이트 분리막 및 게이트 전극/게이트 유전체가 형성될 수 있도록 기판과 가장 바닥의 채널 나노와이어 사이에 추가 공간을 제공하기 위해 위의 희생 재료 층들(310)보다 두껍다. 대안으로서, 상이한 희생층이 상면(303)과 가장 바닥의 반도체 재료층(308) 사이에 형성될 수 있다.
핀(304)은 측벽들(302, 306), 핀 높이(316), 핀 폭(318) 및 핀 길이(320)를 갖는다. 핀(304)의 형성에 있어서, 측벽들(302, 306)은 제한되지 않은 평면들이며, 이는 핀(304)이 핀 길이(320)에 수직인 방향에서 격자 이완되는 것을 가능하게 한다. 즉, 전술한 양축 방향으로 스트레스를 받은 층들은 핀 형성시에 본질적으로 단축 방향으로 스트레스를 받은 층들로 변환된다. 일 실시예에서, 핀(304)은 핀 길이(320)와 평행한 방향에서 단축 방향으로 격자 스트레스를 받고, 핀 길이(320)에 수직인 방향에서 격자 이완된다. 일 실시예에서, 핀(304)은 30nm 미만, 이상적으로는 25nm 미만의 핀 폭(318)을 갖도록 형성된다. 일 실시예에서, 핀 높이(316)는 핀 와해, 핀 프로필 왜곡, 및 핀 임계 치수들의 열악한 균일성과 같은 집적 문제들이 발생하기 시작하는 높이보다 낮다. 특정 실시예에서, 핀 높이(316)는 30-75nm 사이이다.
반도체 재료의 층들(308) 및 희생 재료의 층들(310)의 두께들은 채널 나노와이어들(343)의 전기적 특성들 및 장치(100)의 집적 및 성능에 영향을 준다. 일 실시예에서, 반도체 재료의 층들(308)은 과도한 표면 산란, 따라서 높은 채널 저항 및 낮은 캐리어 이동도를 갖는 채널 나노와이어들(343)의 형성을 방지할 만큼 충분히 두껍다. 반도체 재료의 층들(308)은 또한 장치(100)가 완전 공핍 방식으로 동작할 수 있게 하는 채널 나노와이어들(343)을 형성할 만큼 충분히 얇다. 희생 재료의 층들(310)의 두께들은 채널 나노와이어들(343) 간의 후속 간격, 따라서 각각의 채널 나노와이어(343)를 전면적으로 형성하기 위한 게이트 유전층(350) 및 게이트 전극(352)의 능력에 영향을 준다. 일 실시예에서, 희생 재료의 층들(310)은 충분히 두꺼워서, 게이트 유전층(350)은 채널 나노와이어들(343)을 전면적으로 후속 형성할 수 있고, 게이트 전극(352)은 게이트 유전층(350) 상에 형성되어 채널 나노와이어들(343)을 완전히 둘러쌀 수 있다. 반도체 재료의 층들(308) 및 희생 재료의 층들(310)의 두께들은 또한 핀 높이(316)에 영향을 준다. 일 실시예에서, 반도체 재료의 층들(308) 및 희생 재료의 층들(310)은 집적 문제들이 발생하기 시작할 때의 높이보다 낮은 핀 높이(316)를 달성할 만큼 충분히 얇다. 특정 실시예에서, 반도체 재료의 층들(308)은 약 5-50nm 사이의 두께로 형성되며, 희생 재료의 층들(310)은 약 5-30nm 사이의 두께로 형성된다.
반도체 재료(308) 및 희생 재료(310)의 교대 층들의 전체 수는 장치의 핀 높이(316) 및 구동 전류 능력에 영향을 준다. 반도체 재료의 층들(308)의 수는 후속 형성되는 채널 나노와이어들(343)의 수에 대응한다. 더 많은 수의 채널 나노와이어들(343)은 장치(100)의 더 큰 구동 전류 능력을 가능하게 한다. 그러나, 너무 많은 반도체 재료(308) 및 희생 재료(310)의 층들은 집적이 불가능한 핀 높이(316)를 유발한다. 일 실시예에서, 층들(308, 310)의 수는 집적 가능한 핀 높이(316)를 달성할 만큼 충분히 낮다. 특정 실시예에서, 핀(304)은 약 3-6개의 반도체 재료의 층(308) 및 약 3-6개의 희생 재료의 층(310)을 갖는다.
흐름도(200)의 단계 204 및 대응하는 도 3b 및 3c를 참조하면, 희생 게이트 전극(352)이 핀(304)의 채널 영역(328) 위에 형성된다. 희생 게이트 전극(352)은 트랜지스터 장치의 채널 영역을 정의한다. 희생 게이트 전극(352)은 먼저 핀(304) 상에 희생 게이트 유전층(322)을 전면 퇴적시킴으로써 형성된다. 희생 게이트 유전층(322)은 핀(304)의 상부 및 측벽들(302, 306) 상에 퇴적된다. 희생 게이트 유전층(322)은 약 10-50Å 사이의 두께로 퇴적될 수 있다. 이어서, 도 3b에 도시된 바와 같이, 희생 게이트 층(324)이 희생 게이트 유전층(322) 상에 그리고 핀(304) 위에 전면 퇴적된다. 희생 게이트 층(324)은 핀 두께(316)를 초과하는 두께로 퇴적된다. 희생 게이트 층(324)은 전통적인 화학 기계 평탄화 방법들을 이용하여 평탄화될 수 있다. 이어서, 도 3c에 도시된 바와 같이, 전통적인 포토리소그라피 및 에칭 방법들을 이용하여 희생 게이트 층(324)을 패터닝함으로써 희생 게이트(326)가 형성된다. 희생 게이트 전극(326)이 핀(304)의 채널 영역(328) 위에 형성되며, 핀 높이(316)보다 큰 두께(329)를 갖는다. 이어서, 희생 게이트 전극(326)은 핀(304)의 희생 부분들(332)의 제거 동안 핀(304)의 채널 영역(328)을 보호하는 데 사용된다.
희생 게이트 전극의 패터닝 동안, 핀(304)의 희생 부분들(332) 상의 희생 게이트 유전층(322)은 희생 게이트 전극(352)의 대향 측들 상에 노출된다. 희생 게이트 유전층(322)은 희생 게이트 전극(326)의 패터닝 및 형성 동안 에치 스톱 층으로 사용되며, 따라서 핀(304)의 손상을 방지한다. 일 실시예에서, 희생 게이트 유전층(322) 및 희생 게이트 층(324)은 충분히 상이한 에치 선택성을 갖는 재료들로 형성되며, 희생 게이트 유전층(322)은 희생 게이트 층(324)을 에칭하기 위한 에치 스톱 층으로 사용될 수 있다. 특정 실시예에서, 희생 게이트 유전층(322)은 유전층(예로서, 실리콘 산화물, 실리콘 질화물 및 실리콘 산질화물)이며, 희생 게이트 층(324)은 반도체 재료(예로서, 다결정 실리콘)로 형성된다. 희생 게이트 유전층(322) 및 희생 게이트 층(324)은 전통적인 화학 기상 퇴적 방법들을 이용하여 퇴적될 수 있다. 이어서, 전통적인 습식 에치 프로세스를 이용하여 핀(304)의 희생 부분들(332)의 상부 및 측벽들(302, 306)로부터 희생 게이트 유전층(322)을 제거하여, 핀(304)의 희생 부분들(332)을 노출시킨다. 희생 게이트 유전층(322)이 실리콘 산화물 층인 실시예에서, 희생 게이트 유전층(322)은 희석 HF 습식 에치를 이용하여 제거된다.
흐름도(200)의 단계 206 및 대응하는 도 3c를 참조하면, 희생 게이트 전극(326)의 대향 측벽들(334) 상에 한 쌍의 측벽 스페이서들(330)이 형성된다. 한 쌍의 측벽 스페이서들(330)은 이 분야에 공지된 선택적 스페이서들을 형성하는 전통적인 방법들을 이용하여 형성될 수 있다. 일 실시예에서, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및 이들의 결합들과 같은, 그러나 이에 한정되지 않는 공형의(conformal) 유전성 스페이서 층이 먼저 핀(304) 및 희생 게이트 전극(326)을 포함하는 모든 구조들 상에 전면 퇴적된다. 유전성 스페이서 층은 공형 방식으로 퇴적되며, 따라서 측벽들(302, 306, 334)과 같은 수직 표면들 및 희생 게이트 전극(326)의 상부와 같은 수평 표면들 양자 상에 실질적으로 동일한 두께로 형성된다. 유전성 스페이서 층은 저압 화학 기상 퇴적(LPCVD) 및 플라즈마 강화 화학 기상 퇴적(PECVD)과 같은 전통적인 화학 기상 퇴적 방법들을 이용하여 퇴적될 수 있다. 일 실시예에서, 유전성 스페이서 층은 약 2-10 나노미터 사이의 두께로 퇴적된다. 이어서, 패터닝되지 않는 이방성 에치가 반응성 이온 에칭(RIE)과 같은 전통적인 이방성 에치 방법들을 이용하여 유전성 스페이서 층에 대해 수행된다. 이방성 에칭 프로세스 동안, 유전성 스페이서 층의 대부분이 수평 표면들로부터 제거되어, 희생 게이트 전극(326)의 측벽들(334) 및 핀(304)의 측벽들(302, 306)과 같은 수직 표면들 상에 유전성 스페이서 층이 남는다. 희생 게이트 전극(326)의 두께(329)는 핀 높이(316)보다 크므로, 이방성 에치 후에 남은 유전성 스페이서 층의 두께는 핀(304)의 측벽들(302, 306) 상에서보다 희생 게이트 전극(326)의 측벽들(334) 상에서 더 크다. 이러한 두께 차이는 희생 게이트 전극(326)의 측벽들(334) 상의 측벽 스페이서들(330)의 선택적 형성을 가능하게 한다. 이어서, 패터닝되지 않는 등방성 에치를 수행하여, 핀(304)의 측벽들(302, 306)로부터 남은 유전성 스페이서 층을 제거하여, 희생 게이트 전극(326)의 대향 측벽들(334) 상에 한 쌍의 측벽 스페이서들(330)을 남긴다. 일 실시예에서, 등방성 에치는 습식 에치 프로세스이다. 유전성 스페이서 층이 실리콘 질화물 또는 실리콘 산화물인 특정 실시예에서, 등방성 에치는 인산(H3PO4) 또는 버퍼링된 산화물 에치(BOE) 각각을 포함하는 습식 에칭 용액을 사용한다. 대안 실시예에서, 등방성 에치는 건식 에치 프로세스이다. 하나의 그러한 실시예에서, 다운스트림 플라즈마 반응로 내에서 NF3 가스를 사용하여, 유전성 스페이서 층들을 등방성 에칭한다.
흐름도(200)의 단계 208 및 대응하는 도 3d를 참조하면, 핀(304)의 희생 부분들(332)을 제거하여, 기판(301)의 소스/드레인 영역(334)을 노출시킨다. 핀(304)의 희생 부분(332)은 습식 에칭 또는 플라즈마 건식 에칭과 같은 전통적인 에칭 방법들을 이용하여 제거될 수 있다. 핀(304)이 게르마늄(308) 및 실리콘 게르마늄(310)의 교대 층들을 포함하는 실시예에서, 수산화 암모늄(NH4OH) 또는 수산화 테트라에틸암모늄(TMAH) 용액과 같은 습식 에천트를 이용하여, 핀(304)의 희생 부분(332)을 선택적으로 에칭한다. 핀(304)의 채널 영역(328)은 희생 게이트(326) 및 한 쌍의 측벽 스페이서들(330)에 의해 에칭으로부터 보호된다. 일 실시예에서, 기판(301)의 상면(303)은 핀(304)의 희생 부분(332)의 제거 동안 리세스되어, 소스/드레인 트렌치(336)를 형성한다. 소스/드레인 트렌치(336)는 내장된 에피 소스(338) 및 드레인(339) 영역들의 후속 성장을 제한하는 데 사용된다. 일 실시예에서, 소스/드레인 트렌치(336)는 20-40nm 사이의 깊이로 형성된다. 대안으로서, 핀(304)의 희생 부분들(332)은 기판(301)의 상면(303)이 STI 유전층(305) 위에 위치하거나 그와 평면을 이루도록 제거된다.
흐름도(200)의 단계 210 및 대응하는 도 3e를 참조하면, 내장된 에피 소스(338) 및 드레인(339) 영역들이 기판(301)의 소스/드레인 영역들(334) 상에 형성된다. 일 실시예에서, 내장된 에피 소스(338) 및 드레인(339) 영역들은 저압 화학 기상 퇴적, 기상 에피택시 및 분자 빔 에피택시와 같은 전통적인 에피택시 퇴적 방법들을 이용하여 형성된다. 일 실시예에서, 내장된 에피 소스(338) 및 드레인(339) 영역들은 소스/드레인 트렌치(336) 내에 형성된다. 내장된 에피 소스(338) 및 드레인(339) 영역들은 핀(304)의 채널 영역(328)과 결합되며, STI 유전층(305)의 상면 위로 오른다. 내장된 에피 소스(338) 및 드레인(339) 영역들은 격자 상수를 갖는 임의의 공지된 재료로 형성될 수 있다. 이상적으로는, 내장된 에피 소스(338) 및 드레인(339) 영역들은 Si, Ge, SiGe, GeSn, GaAs, InSb, GaP, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, GaN, GaP 및 InP와 같은, 그러한 이에 한정되지 않는 단결정 반도체 재료로 형성된다. 일 실시예에서, 내장된 에피 소스(338) 및 드레인(339) 영역들은 기판(301)의 상면(303)과 다른 격자 상수를 갖는 단결정 반도체 재료로 형성된다. 특정 실시예에서, 내장된 에피 소스(338) 및 드레인(339) 영역들은 기판(301)의 상면(303)의 격자 상수보다 큰 격자 상수를 갖는다.
특정 실시예에서, 내장된 에피 소스(338) 및 드레인(339) 영역들은 게르마늄으로 형성되고, 기판(301)의 상면(303)은 실리콘 게르마늄이다. 본 발명의 일 실시예에서, 내장된 에피 소스(338) 및 드레인(339)은 트랜지스터의 채널 나노와이어를 형성하는 데 사용되는 것과 동일한 반도체 재료(예로서, Ge)로 형성된다. 본 발명의 일 실시예에서, 내장된 에피 소스(338) 및 드레인(339) 영역들은 채널 나노와이어들 내의 단축 방향 압축 격자 스트레스를 더 강화하기 위해 기판(301)의 상면(303)의 반도체 재료(예로서, SiGe)보다 크고, 채널 나노와이어를 형성하는 데 사용되는 반도체 재료(예로서, Ge)(308)의 격자 상수보다 큰 격자 상수를 갖는 재료(예로서, GaAs)로 형성된다.
다른 특정 실시예에서, 내장된 에피 소스(338) 및 드레인(339) 영역들은 실리콘으로 형성되고, 기판(301)의 상면(303)은 실리콘 게르마늄이다. 본 발명의 일 실시예에서, 내장된 에피 소스(338) 및 드레인(339)은 트랜지스터의 채널 나노와이어들을 형성하는 데 사용되는 것과 동일한 반도체 재료(예로서, Si)로 형성된다. 본 발명의 일 실시예에서, 내장된 에피 소스(338) 및 드레인(339) 영역들은 채널 나노와이어들 내의 단축 방향 인장 격자 스트레스를 더 강화하기 위해 기판(301)의 상면(303)의 반도체 재료(예로서, SiGe)보다 작고, 채널 나노와이어들을 형성하는 데 사용되는 반도체 재료(예로서, Si)(308)의 격자 상수보다 작은 격자 상수를 갖는 재료(예로서, 실리콘 탄화물 또는 탄소 도핑된 실리콘)로 형성된다.
내장된 에피 소스(338) 및 드레인(339) 영역들과 기판(301)의 상면(303) 간의 격자 상수 미스매치는 격자 스트레스를 생성하며, 내장된 에피 소스(338) 및 드레인(339) 영역들은 핀(304)의 길이(320)와 평행한 방향에서 단축 방향으로 격자 스트레스를 받는다. 내장된 에피 소스(338) 및 드레인(339) 영역들은 핀(304)의 길이(329)에 수직인 방향에서 격자 이완되는데, 그 이유는 측벽들(335, 337)에 대응하는 평면들이 내장된 에피 소스(338) 및 드레인(339) 영역들의 형성 동안 제한되지 않기 때문이다. 격자 상수 미스매치는 또한 내장된 에피 소스(338) 및 드레인(339) 영역들이 핀(304)의 채널 영역(328)에 힘을 가하게 한다. 핀(304)의 채널 영역(328) 내의 반도체 재료의 층들(308)은 후속적으로 채널 나노와이어(343)가 되므로, 내장된 에피 소스(338) 및 드레인(339) 영역들은 후속적으로 채널 나노와이어들(343)에 힘을 가하며, 이는 채널 나노와이어들(343) 내의 격자 스트레스의 유지를 도울 수 있다. 일 실시예에서, 내장된 에피 소스(338) 및 드레인(339) 영역들의 격자 상수는 기판(301)의 상면(303)보다 크다. 그러한 실시예에서, 내장된 에피 소스(338) 및 드레인(339) 영역들은 압축 스트레스를 받으며, 채널 나노와이어들(343)에 압축력을 제공한다. 다른 실시예에서, 내장된 에피 소스(338) 및 드레인(339) 영역들의 격자 상수는 기판(301)의 상면(303)보다 작다. 그러한 실시예에서, 내장된 에피 소스(338) 및 드레인(339) 영역들은 인장 스트레스를 받으며, 채널 나노와이어들(343)에 인장력을 제공한다.
일반적으로, 일 실시예에서, 나노와이어 형성 층들 및 중간 희생 층들의 스택의 핀 패터닝 동안 나노와이어 형성 층들의 채널 영역들을 따라 초기 단축 방향 스트레스가 형성된다. 이어서, 핀의 외측 부분들을 에칭하여 제거한 후에 그들의 자리에 에피택시 소스 및 드레인 영역들을 형성함으로써, 내장된 에피 소스 및 드레인 영역들이 형성된다. 하나의 그러한 실시예에서, 내장된 에피 소스 및 드레인 영역들은 핀 아래의 기판의 결정 표면으로부터 성장된다. 제거된 외측 부분이 상이한 조성의 교대하는 나노와이어 형성 층들 및 중간 희생 층들과 종류가 다른 경우, 에피택시 성장을 통한 내장된 소스 및 드레인 영역들로의 대체는 이종 부분들을 조성이 동일한 영역들로 대체한다. 따라서, 새로운 격자 미스매치가 에칭된 핀의 양측에 추가된다. 이어서, 내장된 에피 소스 및 드레인 영역들은 나노와이어 형성 층들 내에 이미 존재하는 단축 방향 스트레스를 더 증가시킨다. 더구나, 중간 희생 층들의 후속 제거시에, 내장된 에피 소스 및 드레인 영역들은 후속 형성되는 상이한 나노와이어들을 앵커링하는 역할을 한다. 내장된 에피 소스 및 드레인 영역들은 하부 기판으로부터 에피택시 방식으로 성장되므로, 앵커링은 핀 패터닝 동안 나노와이어 형성 층들의 채널 영역들을 따라 형성된 초기 단축 방향 스트레스를 유지하는 데 효과적이다. 따라서, 내장된 에피 소스 및 드레인 영역들은 궁극적으로 형성되는 나노와이어 채널 부분들의 단축 방향 스트레스를 유지 및 증가시킨다. 이종 층들의 동종 층으로의 전술한 대체는 나노와이어 형성 층들과 동일한 재료를 사용하여 수행될 수 있다는 점에 유의한다. 그러나, 다른 실시예에서, 단출 방향 스트레스를 더 증가시키기 위해, 층들의 이종 스택에서 사용된 임의의 재료와 다른 재료를 에피택시 방식으로 성장시켜, 내장된 에피 소스 및 드레인 영역들을 형성할 수 있다. 예를 들어, 일 실시예에서, 에피택시 소스 및 드레인 영역들은 이종 핀 내의 임의의 재료보다 큰 격자 상수를 가진 재료로 형성된다. 그러한 실시예에서, 궁극적으로 형성되는 나노와이어 채널 부분들에서는 단축 방향 압축 스트레스가 더 증가한다. 다른 실시예에서, 에피택시 소스 및 드레인 영역들은 이종 핀 내의 임의의 재료보다 작은 격자 상수를 가진 재료로 형성된다. 그러한 실시예에서, 궁극적으로 형성되는 나노와이어 채널 부분들에서는 단축 방향 인장 스트레스가 더 증가한다.
일 실시예에서, 기판(301)의 소스/드레인 영역들(334)의 상면(303)은 내장된 에피 소스(338) 및 드레인(339) 영역들의 에피택시 성장을 위한 시드 층으로 사용되는 <100> 배향을 갖는 단결정 재료이다. 따라서, 내장된 에피 소스(338) 및 드레인(339) 영역들은 <100> 배향으로 성장한다. 측벽들(335, 337)에 대응하는 <111> 평면은 내장된 에피 소스(338) 및 드레인(339) 영역들의 형성 동안 더 양호한 레이트로 성장할 수 있으며, 내장된 에피 소스(338) 및 드레인(339) 영역들이 <111> 면을 갖게 할 수 있다.
핀(304)의 희생 부분(332)을 에칭하여 제거한 후에 에피를 성장시켜 소스 및 드레인 영역들을 형성함으로써 내장된 에피 소스(338) 및 드레인(339) 영역들을 형성하는 것이 바람직하지만, 도 3d에 도시된 바와 같이, 채널 나노와이어들의 스트레스를 증가시키기 위해, 그렇게 하는 것은 필요하지 않다는 것을 알아야 한다. 대안 실시예에서, 핀(304)의 희생 부분(332)은 에칭으로 제거되지 않으며, 도 1e에 도시된 바와 같이 장치의 소스 및 드레인 영역들을 형성하기 위해 유지된다. 핀(304)의 희생 부분(332)은 이때 원하는 도전형 및 농도 레벨의 소스 및 드레인 영역들을 형성하기 위해 이온 주입과 같은 공지 기술들에 의해 도핑될 수 있다. 게다가, 원할 경우에 전류 밀집을 줄이기 위해 상승된 소스 및 드레인 영역들을 형성하기 위해 핀(304)의 희생 부분들의 상부 및 측벽들(334) 상에 에피택시 반도체 필름이 성장될 수 있다.
이어서, 도 3f를 참조하면, 상승된 소스(338) 및 드레인(339) 영역들, 희생 게이트 전극(326) 및 한 쌍의 측벽 스페이서들(334)을 포함하는 모든 구조들 위에 층간 유전성(ILD) 층(340)이 전면 퇴적된다. 전면 ILD 층(340)은 전통적인 화학 기상 퇴적 방법(예로서, 플라즈마 강화 화학 기상 퇴적 및 저압 화학 기상 퇴적)을 이용하여 퇴적될 수 있다. 일 실시예에서, IDL 층(340)은 도핑되지 않은 실리콘 산화물, 도핑된 실리콘 산화물(예로서, BPSG, PSG), 실리콘 질화물 및 실리콘 산질화물과 같은, 그러나 이에 한정되지 않는 임의의 공지된 유전성 재료로 형성된다. 이어서, ILD 층(340)은 희생 게이트 전극(326)의 상부 및 한 쌍의 측벽 스페이서들(334)의 상부를 노출시키기 위해 전통적인 화학 기계 평탄화 방법을 이용하여 폴리싱 백된다.
흐름도(200)의 단계 212 및 대응하는 도 3g 및 3h를 참조하면, 희생 게이트 전극(326)을 제거하여 핀(304)의 채널 영역(328)을 노출시킨다. 도 3h는 도 3h의 이차원 대응 단면도이다. ILD 층(340)은 희생 게이트 전극(326)의 제거 동안 내장된 에피 소스(338) 및 드레인(339) 영역들을 보호한다. 희생 게이트 전극(326)은 플라즈마 건식 에치 또는 습식 에치와 같은 전통적인 에칭 방법을 이용하여 제거될 수 있다. 희생 게이트 전극(326)이 폴리실리콘이고, ILD 층(340)이 실리콘 산화물인 실시예에서, TMAH 용액과 같은 습식 에천트를 사용하여 희생 게이트 전극(326)을 선택적으로 제거할 수 있다. 핀(304)의 채널 영역(328) 상의 희생 게이트 유전층(322)은 에치 스톱으로 사용되며, 희생 게이트 전극(326)의 제거 동안 핀(304)의 채널 영역(328)을 보호한다. 이어서, 전통적인 에칭 방법을 이용하여 희생 게이트 유전층(322)을 제거하여, 흐름도(200)의 단계 214 전에 핀(304)의 채널 영역(328)을 노출시킨다. 희생 게이트 유전층(322)이 실리콘 산화물인 실시예에서, 희석 HF 습식 에치를 이용하여 희생 게이트 유전층(322)을 제거할 수 있다.
흐름도(200)의 단계 214 및 대응하는 도 3i를 참조하면, 핀(304)의 채널 영역(328) 내의 반도체 재료의 층들(308) 사이에서 희생 재료의 층들(310)을 제거하여 채널 나노와이어들(343)을 형성한다. 희생 재료의 층들(310)은 반도체 재료의 층들(308)에 대해 선택적인 임의의 공지 에천트를 이용하여 제거될 수 있으며, 이 에천트는 반도체 재료의 층들(308)보다 훨씬 더 큰 레이트로 희생 재료의 층들(310)을 에칭한다. 일 실시예에서, 에천트는 반도체 재료의 층들(308)을 선택적으로 에칭하는 반면, 희생 재료의 층들(310)은 에칭하지 않는다. 반도체 재료의 층들(308)이 게르마늄이고, 희생 재료의 층들(310)이 실리콘 게르마늄인 실시예에서, 희생 재료의 층들(310)은 수산화 암모늄(NH4OH), 수산화 테트라에틸암모늄(TMAH), 에틸렌디아민 파이로카테콜(EDP) 또는 수산화 칼륨(KOH) 용액과 같은, 그러나 이에 한정되지 않는 습식 에천트를 사용하여 선택적으로 제거될 수 있다. 반도체 재료의 층들(308)이 실리콘이고, 희생 재료의 층들(310)이 실리콘 게르마늄인 실시예에서, 희생 재료의 층들(310)은 수용성 카르복실산/질산/HF 용액 및 수용성 구연산/질산/HF 용액과 같은, 그러나 이에 한정되지 않는 습식 에천트를 이용하여 선택적으로 제거될 수 있다. 희생 재료의 층들(310)의 제거는 반도체 재료의 층들(308) 사이에 공간들(voids; 342)을 남긴다. 반도체 재료의 층들(308) 간의 공간들(342)은 약 5-30nm 사이의 두께를 갖는다. 남은 반도체 재료의 층들(310)은 내장된 에피 소스(338) 및 드레인(339) 영역들에 결합되는 채널 나노와이어들(343)의 수직 어레이를 형성한다. 형성된 채널 나노와이어들(343)은 약 5-50nm 사이의 두께를 갖는다. 채널 나노와이어들(343)은 상면(303)과 평행하게 연장하고, 서로 정렬되어, 열의 바닥에서 가장 바닥의 채널 나노와이어(344)를 갖는 채널 나노와이어들(343)의 단일 열을 형성한다.
일 실시예에서, 도 3i에 도시된 바와 같이, 측벽 스페이서들(330) 아래의 부분들을 포함하는 내장된 에피 소스 및 드레인 영역들 사이의 모든 희생 재료(310)가 제거된다. 스페이서들 아래의 부분들의 에칭은 제조를 간소화하는데, 그 이유는 희생 재료(310)의 제거가 희생 재료 및 내장된 에피 소스 및 드레인 영역들에 대한 에치의 선택성에 기초함에 따라 오버에치가 희생 재료를 제거하는 데 사용되는 것을 가능하게 하기 때문이다. 그러나, 스페이서(330) 아래의 희생 재료(310)의 제거는 최상부의 채널 나노와이어(343) 위의 스페이서(330) 사이에 약간 더 큰 개구가 형성되게 할 수 있다. 이것은 후속 형성되는 게이트 전극이 최상부 채널 나노와이어 위의 게이트 길이에 비해 채널 나노와이어들 사이에서 약간 더 큰 게이트 길이를 갖게 할 수 있다. 일 실시예에서, 적시 에치(timed etch)가 이용되며, 따라서 희생 재료(310)를 에칭하여 채널 나노와이어들(343)을 형성한 후에 스페이서들(330) 아래에 내장된 에피 소스 및 드레인 영역들에 인접하는 희생 재료(310)의 일부가 남는다. 이러한 방식으로, 후속 형성되는 게이트 전극은 채널 나노와이어들의 모든 표면들에 인접하는 동일 게이트 길이를 가질 수 있다.
흐름도(200)의 단계 216 및 대응하는 도 3j 및 3k를 참조하면, 기판(301)의 상면(303) 상에 그리고 가장 바닥의 채널 나노와이어(344) 아래에 바닥 게이트 분리(348)가 형성된다. 바닥 게이트 분리(348)는 도 3j에 도시된 바와 같이 먼저 채널 나노와이어들(343) 주위에 그리고 그 위에 유전층(346)을 전면 퇴적시킴으로써 형성된다. 유전층(346)은 가장 바닥의 채널 나노와이어(344)와 기판(301)의 상면(303) 사이의 영역을 포함하여 채널 나노와이어들(343) 간의 공간들(342)을 완전히 채운다. 유전층(346)은 ILD 층(340)의 상면에도 형성된다. 일 실시예에서, 유전층(346)은 실리콘 산화물, 실리콘 질화물 및 실리콘 산질화물과 같은, 그러나 이에 한정되지 않는 임의의 공지 유전성 재료로 형성된다. 특정 실시예에서, 유전층(346)은 실리콘 산화물로 형성된다. 이상적으로는, 유전층(346)은 채널 나노와이어들(343) 사이의 공간들(342)이 완전히 채워지는 것을 보증하기 위해 저압 화학 기상 퇴적(LPCVD), 원자 층 퇴적(ALD) 또는 스핀 온 유전체 프로세스와 같은 고도 공형 퇴적 방법을 이용하여 형성된다. 이어서, 도 3k에 도시된 바와 같이, 전통적인 이방성 유전체 에칭 방법을 이용하여 유전층(346)이 상부로부터 아래로 리세스된다. 유전층(346)이 실리콘 산화물인 특정 실시예에서, 적시 HF 습식 에치 방법을 이용하여 유전층(346)을 리세스시킨다. 유전층(346)의 리세스 동안, 유전층(346)의 대부분이 제거되어, 기판(301)의 상면(303)의 얇은 층 아래에 그리고 바닥 게이트 분리(348)를 형성하는 가장 바닥의 채널 나노와이어(344) 아래에 남는다. 바닥 게이트 분리(348)의 두께는 유전층(346)이 리세스되는 시간의 길이에 의존한다. 일 실시예에서, 기판(301)의 상면(303)을 게이트 전극(352)에 의한 용량성 결합으로부터 분리할 만큼 충분히 두꺼운 바닥 게이트 분리 두께를 달성하기 위해 충분히 긴 시간 동안 리세스가 수행된다. 일 실시예에서, 게이트 유전층(350)이 가장 바닥의 채널 나노와이어 전면에 형성되고, 게이트 전극(352)이 가장 바닥의 채널 나노와이어(344) 주위에 형성될 만큼 가장 바닥의 채널 나노와이어(344)와 바닥 게이트 분리(348) 간의 공간이 충분히 크도록 충분히 얇은 바닥 게이트 분리 두께를 달성하기 위해 충분히 긴 시간 동안 리세스가 수행된다. 일 실시예에서, 형성된 바닥 게이트 분리(348)의 두께는 기판(301)의 상면(303)을 게이트 전극(352)에 의한 용량성 결합으로부터 분리할 만큼 충분히 두껍고, 게이트 유전층(350) 및 게이트 전극(352)이 가장 바닥의 채널 나노와이어(344)를 둘러쌀 만큼 충분히 얇다. 특정 실시예에서, 바닥 게이트 분리(348)의 두께는 약 100-300Å 사이이다.
흐름도(200)의 단계 218 및 220 및 대응하는 도 3l 내지 3m을 참조하면, 게이트 유전층(350)이 각각의 채널 나노와이어(343) 주위에 형성되고, 게이트 전극(352)이 게이트 유전층(350) 상에 형성되고, 각각의 채널 나노와이어(343)를 둘러싼다. 도 3m은 도 3l의 대응하는 삼차원 단면도이다. 게이트 유전층(350)은 전술한 바와 같은 임의의 공지 게이트 유전성 재료로 형성될 수 있다. 게이트 유전층(350)은 각각의 채널 나노와이어(343) 주위에 균일한 두께를 갖는 게이트 유전층의 형성을 보증하기 위해 원자 층 퇴적(ALD)과 같은 고도 공형 퇴적 프로세스를 이용하여 형성된다. 특정 실시예에서, 게이트 유전층은 HfO2이며, 1-6 나노미터 사이의 두께로 퇴적된다. 게이트 유전층(350)은 전면 퇴적되며, ILD 층(340)의 상면에도 형성된다. 이어서, 게이트 전극 재료를 게이트 유전층(350) 상에 전면 퇴적시켜 게이트 전극(352)을 형성한다. 게이트 전극(352)은 전술한 바와 같은 임의의 공지된 게이트 전극 재료로 형성될 수 있다. 게이트 전극 재료는 게이트 전극(352)이 게이트 유전층(350) 상에 그리고 각각의 채널 나노와이어(343) 주위에 그리고 그 사이에 형성되는 것을 보증하기 위해 원자 층 퇴적(ALD)과 같은 공형 퇴적 프로세스를 이용하여 퇴적된다. 이어서, ILD 층(340)의 상면에 퇴적된 전면 게이트 전극 재료 및 게이트 유전층(350)은 도 3l 및 3m에 도시된 바와 같이 ILD 층(340)의 상면이 노출될 때까지 화학적으로 기계적으로 평탄화된다. 흐름도(200)에서 설명되는 방법을 이용하여 형성된 결과적인 장치(300)는 본 발명의 일 실시예에 따른 비평면 게이트 올어라운드 장치이다.
도 4는 본 발명의 일 구현에 따른 컴퓨팅 장치(400)를 나타낸다. 컴퓨팅 장치(400)는 보드(402)를 하우징한다. 보드(402)는 프로세서(404) 및 적어도 하나의 통신 칩(406)을 포함하지만 이에 한정되지 않는 다수의 컴포넌트를 포함할 수 있다. 프로세서(404)는 보드(402)에 물리적으로 전기적으로 결합된다. 일부 구현들에서, 적어도 하나의 통신 칩(406)도 보드(402)에 물리적으로 전기적으로 결합된다. 추가 구현들에서, 통신 칩(406)은 프로세서(404)의 일부이다.
컴퓨팅 장치(400)는 그의 응용들에 따라 보드(402)에 물리적으로 전기적으로 결합되거나 결합되지 않을 수 있는 다른 컴포넌트들을 포함할 수 있다. 이러한 다른 컴포넌트들은 휘발성 메모리(예로서, DRAM), 비휘발성 메모리(예로서, ROM), 플래시 메모리, 그래픽 프로세서, 디지털 신호 프로세서, 암호 프로세서, 칩셋, 안테나, 디스플레이, 터치스크린 디스플레이, 터치스크린 제어기, 배터리, 오디오 코덱, 비디오 코덱, 전력 증폭기, 글로벌 포지셔닝 시스템(GPS) 장치, 컴퍼스, 가속도계, 자이로스코프, 스피커, 카메라 및 대용량 저장 장치(예로서, 하드 디스크 드라이브, 컴팩트 디스크(CD), 디지털 다기능 디스크(DVD) 등)를 포함하지만 이에 한정되지 않는다.
통신 칩(406)은 컴퓨팅 장치(400)로의 그리고 그로부터의 데이터의 전송을 위한 무선 통신을 가능하게 한다. 용어 "무선" 및 그의 파생어들은 무형 매체를 통해 피변조 전자기파의 사용을 통해 데이터를 통신할 수 있는 회로, 장치, 시스템, 방법, 기술, 통신 채널 등을 기술하는 데 사용될 수 있다. 이 용어는 일부 실시예들에서는 그렇지 않을 수 있지만 관련 장치들이 어떠한 와이어도 포함하지 않는다는 것을 의미하지 않는다. 통신 칩(406)은 Wi-Fi(IEEE 802.11 패밀리), WiMAX(IEEE 802.16 패밀리), IEEE 802.20, 롱텀 에볼루션(LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, 블루투스, 이들의 파생물들은 물론, 3G, 4G, 5G 이상으로서 지정되는 임의의 다른 무선 프로토콜들을 포함하지만 이에 한정되지 않는 임의의 다양한 무선 표준 또는 프로토콜을 구현할 수 있다. 컴퓨팅 장치(400)는 복수의 통신 칩(406)을 포함할 수 있다. 예를 들어, 제1 통신 칩(406)은 Wi-Fi 및 블루투스와 같은 단거리 무선 통신에 전용화될 수 있고, 제2 통신 칩(406)은 GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO 등과 같은 장거리 무선 통신에 전용화될 수 있다.
컴퓨팅 장치(400)의 프로세서(404)는 프로세서(404) 내에 패키징된 집적 회로 다이를 포함한다. 본 발명의 일부 구현들에서, 프로세서의 집적 회로 다이는 본 발명의 구현들에 따라 형성되는 비평면 게이트 올어라운드 트랜지스터 장치들과 같은 하나 이상의 장치를 포함한다. 용어 "프로세서"는 레지스터들 및/또는 메모리로부터의 전자 데이터를 처리하여 그 전자 데이터를 레지스터들 및/또는 메모리에 저장될 수 있는 다른 전자 데이터로 변환하는 임의의 장치 또는 장치의 일부를 지칭할 수 있다.
통신 칩(406)은 또한 통신 칩(406) 내에 패키징된 집적 회로 다이를 포함한다. 본 발명의 다른 구현에 따르면, 통신 칩의 집적 회로 다이는 본 발명의 구현들에 따라 형성되는 비평면 게이트 올어라운드 트랜지스터 장치들과 같은 하나 이상의 장치를 포함한다.
추가 구현들에서, 컴퓨팅 장치(400) 내에 하우징된 다른 컴포넌트는 본 발명의 구현들에 따라 형성되는 비평면 게이트 올어라운드 트랜지스터 장치들과 같은 하나 이상의 장치를 포함하는 집적 회로 다이를 포함할 수 있다.
다양한 구현들에서, 컴퓨팅 장치(400)는 랩탑, 넷북, 노트북, 울트라북, 스마트폰, 태블릿, 개인 휴대 단말기(PDA), 울트라 이동 PC, 이동 전화, 데스크탑 컴퓨터, 서버, 프린터, 스캐너, 모니터, 셋톱 박스, 엔터테인먼트 제어 유닛, 디지털 카메라, 휴대용 뮤직 플레이어 또는 디지털 비디오 레코더일 수 있다. 추가 구현들에서, 컴퓨팅 장치(400)는 데이터를 처리하는 임의의 다른 전자 장치일 수 있다.
따라서, 본 발명의 하나 이상의 실시예는 내장된 에피 소스 및 드레인 영역들 또는 기판과 바닥 채널 나노와이어 사이에 형성된 바닥 게이트 분리층 중 하나 또는 양자를 갖는 비평면 게이트 올어라운드 트랜지스터 장치를 포함할 수 있다.

Claims (22)

  1. 제1 재료를 포함하는 반도체 기판 - 상기 제1 재료는 제1 격자 상수를 가짐 -;
    상기 기판 위의 소스 영역 - 상기 소스 영역은 제2 재료를 포함하고, 상기 제2 재료는 상기 제1 격자 상수와는 다른 제2 격자 상수를 가짐 -;
    상기 기판 위의 드레인 영역 - 상기 드레인 영역은 상기 제2 재료를 포함함 -;
    제1 나노 와이어 - 상기 제1 나노 와이어는 상기 소스 영역에 결합되고 상기 드레인 영역에 결합되고, 상기 제1 나노 와이어는 제3 재료를 포함하고, 상기 제3 재료는 상기 제2 격자 상수와 동일한 제3 격자 상수를 가짐 -;
    상기 제1 나노 와이어 위에 있고 상기 제1 나노 와이어와 직접 접촉하지 않는 제2 나노 와이어 - 상기 제2 나노 와이어는 상기 소스 영역에 결합되고 상기 드레인 영역에 결합되고, 상기 제2 나노 와이어는 상기 제3 재료를 포함함 -;
    상기 제1 나노 와이어의 적어도 일부분의 주변 및 상기 제2 나노 와이어의 적어도 일부분의 주변에 있는 게이트 유전층; 및
    상기 제1 나노 와이어의 적어도 일부분의 주변 및 상기 제2 나노 와이어의 적어도 일부분의 주변에 있는 게이트 전극 - 상기 게이트 전극은 적어도 상기 게이트 유전층에 의해 상기 제1 및 제2 나노 와이어들로부터 분리됨 -
    을 포함하는 반도체 장치.
  2. 제1항에 있어서,
    상기 제2 격자 상수는 상기 제1 격자 상수보다 더 큰 반도체 장치.
  3. 제1항에 있어서,
    상기 제2 재료는 상기 제3 재료와 동일한 반도체 장치.
  4. 제1항에 있어서,
    상기 소스 영역 및 상기 드레인 영역 양쪽 모두는 경사진 측벽들을 갖는 반도체 장치.
  5. 제1항에 있어서,
    상기 소스 영역은 상기 기판 위의 제1 위치에서 제1 폭을 갖고, 상기 소스 영역은 상기 기판 위의 제2 위치에서 제2 폭을 갖고, 상기 제2 위치는 상기 기판으로부터의 거리가 상기 제1 위치보다 크고, 상기 제1 폭은 상기 제2 폭보다 더 큰 반도체 장치.
  6. 제5항에 있어서,
    상기 소스 영역의 상기 제1 폭은 상기 제1 나노 와이어의 최대 폭보다 더 큰 반도체 장치.
  7. 제1항에 있어서,
    상기 기판의 제1 부분 위의 분리 영역 층을 더 포함하고, 상기 기판의 제2 부분은 상기 분리 영역 층의 바닥면을 지나 위로 연장하는 반도체 장치.
  8. 제7항에 있어서,
    상기 기판의 상기 제2 부분은 상기 분리 영역 층의 상면까지 위로 연장하지 않는 반도체 장치.
  9. 제7항에 있어서,
    상기 제1 및 제2 나노 와이어들의 적어도 일부분들은 상기 기판의 상기 제2 부분 바로 위에 있으나, 상기 기판의 상기 제2 부분과는 직접 접촉하지 않는 반도체 장치.
  10. 제1항에 있어서,
    상기 드레인 영역은 측벽을 갖고, 상기 드레인 영역의 상기 측벽은 <111> 면인 반도체 장치.
  11. 제1 반도체 재료를 포함하는 반도체 기판 - 상기 반도체 기판은 상면을 갖고, 상기 상면에 인접한 상기 반도체 기판의 상기 제1 반도체 재료는 제1 격자 상수를 가짐 -;
    상기 반도체 기판의 상기 상면 상의 에피택셜 소스 영역 - 상기 에피택셜 소스 영역은 제2 재료를 포함하고, 상기 제2 재료는 상기 제1 격자 상수와는 다른 제2 격자 상수를 가짐 -;
    상기 반도체 기판의 상기 상면 상의 에피택셜 드레인 영역 - 상기 에피택셜 드레인 영역은 상기 제1 격자 상수와는 다른 상기 제2 격자 상수를 갖는 상기 제2 재료를 포함하고, 상기 에피택셜 드레인 영역은 상기 에피택셜 소스 영역으로부터 이격되어 있음 -;
    상기 기판 위의 제1 채널 재료 영역 - 상기 제1 채널 재료 영역의 적어도 일부분은 상기 에피택셜 소스 영역과 상기 에피택셜 드레인 영역 사이에 있고, 상기 제1 채널 재료 영역은 상기 에피택셜 소스 영역 및 상기 에피택셜 드레인 영역에 결합되어 있고, 상기 제1 채널 재료 영역은 상기 에피택셜 소스 영역으로부터 상기 에피택셜 드레인 영역까지 연장하는 제1 방향을 따라 측정된 길이를 갖고, 상기 제1 채널 재료 영역의 상기 길이는 적어도 상기 에피택셜 소스 영역과 상기 에피택셜 드레인 영역 사이의 거리를 스패닝(span)할 만큼 충분히 크고, 상기 제1 채널 재료 영역은 상기 기판으로부터 위로 연장하는 제2 방향을 따라 측정된 높이를 갖고, 상기 제1 채널 재료 영역은 상기 제1 방향 및 상기 제2 방향에 직교하는 제3 방향을 따라 측정된 폭을 갖고, 상기 제1 채널 재료 영역은 제3 재료를 포함하고, 상기 제3 재료는 제3 격자 상수를 갖고, 상기 제1 채널 재료 영역은 제1 채널 영역을 가짐 -;
    상기 기판 위의 제2 채널 재료 영역 - 상기 제2 채널 재료 영역의 적어도 일부분은 상기 제1 채널 재료 영역 바로 위에 있고 상기 제1 채널 재료 영역과 직접 접촉하지 않으며, 상기 제2 채널 재료 영역의 적어도 일부분은 상기 에피택셜 소스 영역과 상기 에피택셜 드레인 영역 사이에 있고, 상기 제2 채널 재료 영역은 상기 에피택셜 소스 영역 및 상기 에피택셜 드레인 영역에 결합되어 있고, 상기 제2 채널 재료 영역은 상기 제1 방향을 따라 측정된 길이를 갖고, 상기 제2 채널 재료 영역의 상기 길이는 적어도 상기 에피택셜 소스 영역과 상기 에피택셜 드레인 영역 사이의 거리를 스패닝할 만큼 충분히 크고, 상기 제2 채널 재료 영역은 상기 제2 방향을 따라 측정된 높이를 갖고, 상기 제2 채널 재료 영역은 상기 제3 방향을 따라 측정된 폭을 갖고, 상기 제2 채널 재료 영역은 상기 제3 재료를 포함하고, 상기 제2 채널 재료 영역은 제2 채널 영역을 가짐 -;
    상기 제1 채널 재료 영역의 상기 제1 채널 영역 주변의 제1 게이트 유전층 - 상기 제1 게이트 유전층은 상기 소스 영역으로부터 상기 드레인 영역으로 연장하는 선을 법선으로 취한 제1 단면에서 상기 제1 채널 영역을 둘러싸고, 상기 제1 게이트 유전층은 상기 제1 채널 재료 영역을 통과하고 상기 기판의 상면에 평행하도록 취한 제2 단면에서 상기 제1 채널 영역을 완전히 둘러싸지 않고, 상기 제1 게이트 유전층은 제1 게이트 유전체 재료를 포함함 -;
    상기 제2 채널 재료 영역의 상기 제2 채널 영역 주변의 제2 게이트 유전층 - 상기 제2 게이트 유전층은 상기 소스 영역으로부터 상기 드레인 영역으로 연장하는 선을 법선으로 취한 제1 단면에서 상기 제2 채널 영역을 둘러싸고, 상기 제2 게이트 유전층은 상기 제2 채널 재료 영역을 통과하고 상기 기판의 상면에 평행하도록 취한 제2 단면에서 상기 제2 채널 영역을 완전히 둘러싸지 않고, 상기 제2 게이트 유전층은 상기 제1 게이트 유전체 재료를 포함함 -; 및
    상기 제1 채널 재료 영역의 상기 제1 채널 영역의 주변에 있고 상기 제2 채널 재료 영역의 상기 제2 채널 영역의 주변에 있는 게이트 전극 재료 - 상기 게이트 전극 재료는 상기 제1 게이트 유전층에 의해 상기 제1 채널 재료 영역의 상기 제1 채널 영역으로부터 분리되고, 상기 게이트 전극 재료는 상기 제2 게이트 유전층에 의해 상기 제2 채널 재료 영역의 상기 제2 채널 영역으로부터 분리됨 -;
    를 포함하는 반도체 장치.
  12. 제11항에 있어서,
    상기 제2 격자 상수는 상기 제1 격자 상수보다 더 큰 반도체 장치.
  13. 제11항에 있어서,
    상기 제3 격자 상수는 상기 제1 격자 상수와 다른 반도체 장치.
  14. 제11항에 있어서,
    상기 제3 격자 상수는 상기 제2 격자 상수와 동일한 반도체 장치.
  15. 제11항에 있어서,
    상기 제3 재료는 상기 제2 재료와 동일한 반도체 장치.
  16. 제11항에 있어서,
    상기 에피택셜 소스 영역 및 상기 에피택셜 드레인 영역 양쪽 모두는 경사진 측벽들을 갖는 반도체 장치.
  17. 제11항에 있어서,
    상기 에피택셜 소스 영역은 상기 기판 위의 제1 위치에서 제1 폭을 갖고, 상기 에피택셜 소스 영역은 상기 기판 위의 제2 위치에서 제2 폭을 갖고, 상기 제2 위치는 상기 기판으로부터의 거리가 상기 제1 위치보다 크고, 상기 제1 폭은 상기 제2 폭보다 더 크고, 상기 제1 폭 및 상기 제2 폭 양쪽 모두는 상기 제3 방향을 따라 측정되는 반도체 장치.
  18. 제11항에 있어서,
    상기 기판 위의 제3 채널 재료 영역을 더 포함하고, 상기 제3 채널 재료 영역의 적어도 일부분은 상기 제1 채널 재료 영역 바로 위에 있고 상기 제2 채널 재료 영역 바로 위에 있고 상기 제1 채널 재료 영역 또는 상기 제2 채널 재료 영역과 직접 접촉하지 않으며, 상기 제3 채널 재료 영역의 적어도 일부분은 상기 에피택셜 소스 영역과 상기 에피택셜 드레인 영역 사이에 있고, 상기 제3 채널 재료 영역은 상기 에피택셜 소스 영역 및 상기 에피택셜 드레인 영역에 결합되어 있고, 상기 제3 채널 재료 영역은 상기 제1 방향을 따라 측정된 길이를 갖고, 상기 제3 채널 재료 영역의 상기 길이는 적어도 상기 에피택셜 소스 영역과 상기 에피택셜 드레인 영역 사이의 거리를 스패닝할 만큼 충분히 크고, 상기 제3 채널 재료 영역은 상기 제2 방향을 따라 측정된 높이를 갖고, 상기 제3 채널 재료 영역은 상기 제3 방향을 따라 측정된 폭을 갖고, 상기 제3 채널 재료 영역은 상기 제3 재료를 포함하고, 상기 제3 채널 재료 영역은 제3 채널 영역을 갖는 반도체 장치.
  19. 제11항에 있어서,
    상기 제1 채널 재료 영역 및 상기 제2 채널 재료 영역은 양쪽 모두 나노 와이어들인 반도체 장치.
  20. 제1 격자 상수를 갖는 상면을 가진 기판;
    상기 기판의 상기 상면 상에 배치된 내장된 에피 소스 및 드레인 영역들 - 상기 내장된 에피 소스 및 드레인 영역들은 상기 제1 격자 상수와는 다른 제2 격자 상수를 가짐 -;
    상기 제1 격자 상수와 다른 제3 격자 상수를 갖는 복수의 채널 나노와이어 - 상기 제3 격자 상수는 상기 제2 격자 상수와 동일하고, 상기 복수의 채널 나노와이어는 상기 내장된 에피 소스 및 드레인 영역들에 결합되고, 상기 복수의 채널 나노와이어는 가장 바닥의 채널 나노와이어를 포함함 -;
    상기 복수의 채널 나노와이어 각각의 하나의 축 상에 그리고 전면에 배치된 게이트 유전층; 및
    상기 게이트 유전층 상에 배치되고 상기 복수의 채널 나노와이어 각각의 상기 하나의 축을 둘러싸는 게이트 전극
    을 포함하는 반도체 장치.
  21. 제20항에 있어서,
    상기 에피 소스 및 드레인 영역들은 [111] 면을 갖는 반도체 장치.
  22. 제20항에 있어서,
    상기 기판의 상기 상면에 그리고 상기 가장 바닥의 채널 나노와이어 아래에 배치된 바닥 게이트 분리를 더 포함하고, 상기 바닥 게이트 분리는 상기 기판의 상기 상면을 상기 게이트 전극에 의한 용량성 결합으로부터 분리하는 반도체 장치.
KR1020157030021A 2011-12-23 2011-12-23 비평면 게이트 올어라운드 장치 및 그의 제조 방법 Active KR101650416B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/067234 WO2013095651A1 (en) 2011-12-23 2011-12-23 Non-planar gate all-around device and method of fabrication thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020147017953A Division KR101654443B1 (ko) 2011-12-23 2011-12-23 비평면 게이트 올어라운드 장치 및 그의 제조 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020167022425A Division KR101821672B1 (ko) 2011-12-23 2011-12-23 비평면 게이트 올어라운드 장치 및 그의 제조 방법

Publications (2)

Publication Number Publication Date
KR20150122270A KR20150122270A (ko) 2015-10-30
KR101650416B1 true KR101650416B1 (ko) 2016-08-23

Family

ID=48669289

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020157030021A Active KR101650416B1 (ko) 2011-12-23 2011-12-23 비평면 게이트 올어라운드 장치 및 그의 제조 방법
KR1020167022425A Active KR101821672B1 (ko) 2011-12-23 2011-12-23 비평면 게이트 올어라운드 장치 및 그의 제조 방법
KR1020147017953A Active KR101654443B1 (ko) 2011-12-23 2011-12-23 비평면 게이트 올어라운드 장치 및 그의 제조 방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020167022425A Active KR101821672B1 (ko) 2011-12-23 2011-12-23 비평면 게이트 올어라운드 장치 및 그의 제조 방법
KR1020147017953A Active KR101654443B1 (ko) 2011-12-23 2011-12-23 비평면 게이트 올어라운드 장치 및 그의 제조 방법

Country Status (6)

Country Link
US (4) US8987794B2 (ko)
KR (3) KR101650416B1 (ko)
CN (3) CN112563315A (ko)
DE (1) DE112011105995B4 (ko)
TW (4) TWI598962B (ko)
WO (1) WO2013095651A1 (ko)

Families Citing this family (606)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
KR101650416B1 (ko) * 2011-12-23 2016-08-23 인텔 코포레이션 비평면 게이트 올어라운드 장치 및 그의 제조 방법
CN104126222B (zh) * 2011-12-23 2017-06-13 英特尔公司 单轴应变纳米线结构
CN104054181B (zh) 2011-12-30 2017-10-20 英特尔公司 全包围栅晶体管的可变栅极宽度
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
CN103579004B (zh) * 2012-08-10 2016-05-11 中国科学院微电子研究所 FinFET及其制造方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US8735869B2 (en) * 2012-09-27 2014-05-27 Intel Corporation Strained gate-all-around semiconductor devices formed on globally or locally isolated substrates
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140151757A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Substrate-templated epitaxial source/drain contact structures
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9362386B2 (en) * 2013-02-27 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods for forming the same
US8987791B2 (en) * 2013-02-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
SG11201505765SA (en) 2013-03-15 2015-08-28 Intel Corp Nanowire transistor with underlayer etch stops
CN104282560B (zh) * 2013-07-02 2018-07-27 中国科学院微电子研究所 级联堆叠纳米线mos晶体管制作方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9171843B2 (en) * 2013-08-02 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating the same
US9349863B2 (en) * 2013-08-07 2016-05-24 Globalfoundries Inc. Anchored stress-generating active semiconductor regions for semiconductor-on-insulator finfet
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) * 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9231055B2 (en) * 2013-08-19 2016-01-05 SK Hynix Inc. Semiconductor device having fin gate, resistive memory device including the same, and method of manufacturing the same
WO2015047244A1 (en) * 2013-09-25 2015-04-02 Intel Corporation Forming iii-v device structures on (111) planes of silicon fins
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015047341A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Non-planar semiconductor devices having multi-layered compliant substrates
CN104517847B (zh) * 2013-09-29 2017-07-14 中芯国际集成电路制造(上海)有限公司 无结晶体管及其形成方法
US9142474B2 (en) 2013-10-07 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation structure of fin field effect transistor
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9287262B2 (en) 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
US9484423B2 (en) 2013-11-01 2016-11-01 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet III-V channel FETs
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9136332B2 (en) * 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US20150221726A1 (en) 2014-02-04 2015-08-06 Globalfoundries Inc. Finfet with isolated source and drain
US9246005B2 (en) 2014-02-12 2016-01-26 International Business Machines Corporation Stressed channel bulk fin field effect transistor
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US10134759B2 (en) 2014-02-18 2018-11-20 Stmicroelectronics, Inc. Semiconductor device including groups of nanowires of different semiconductor materials and related methods
US9257450B2 (en) * 2014-02-18 2016-02-09 Stmicroelectronics, Inc. Semiconductor device including groups of stacked nanowires and related methods
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9287358B2 (en) 2014-03-21 2016-03-15 International Business Machines Corporation Stressed nanowire stack for field effect transistor
EP3185302B1 (en) * 2014-03-27 2018-05-09 IMEC vzw Gate-all-around semiconductor device with two group iii-v semiconductor nanowires
WO2015147865A1 (en) * 2014-03-28 2015-10-01 Intel Corporation Aspect ratio trapping (art) for fabricating vertical semiconductor devices
US9620589B2 (en) * 2014-04-07 2017-04-11 GlobalFoundries, Inc. Integrated circuits and methods of fabrication thereof
US10468528B2 (en) * 2014-04-16 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with high-k metal gate stack
US9293375B2 (en) 2014-04-24 2016-03-22 International Business Machines Corporation Selectively grown self-aligned fins for deep isolation integration
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9230992B2 (en) 2014-04-30 2016-01-05 International Business Machines Corporation Semiconductor device including gate channel having adjusted threshold voltage
US9548358B2 (en) 2014-05-19 2017-01-17 International Business Machines Corporation Dual fill silicon-on-nothing field effect transistor
US9431512B2 (en) * 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US9490340B2 (en) 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US9881993B2 (en) * 2014-06-27 2018-01-30 Taiwan Semiconductor Manufacturing Company Limited Method of forming semiconductor structure with horizontal gate all around structure
US9502565B2 (en) 2014-06-27 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Channel strain control for nonplanar compound semiconductor devices
US9917169B2 (en) * 2014-07-02 2018-03-13 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of formation
US9443978B2 (en) * 2014-07-14 2016-09-13 Samsung Electronics Co., Ltd. Semiconductor device having gate-all-around transistor and method of manufacturing the same
US9647098B2 (en) 2014-07-21 2017-05-09 Samsung Electronics Co., Ltd. Thermionically-overdriven tunnel FETs and methods of fabricating the same
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9252208B1 (en) 2014-07-31 2016-02-02 Stmicroelectronics, Inc. Uniaxially-strained FD-SOI finFET
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9306019B2 (en) * 2014-08-12 2016-04-05 GlobalFoundries, Inc. Integrated circuits with nanowires and methods of manufacturing the same
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9293588B1 (en) * 2014-08-28 2016-03-22 International Business Machines Corporation FinFET with a silicon germanium alloy channel and method of fabrication thereof
US9716225B2 (en) * 2014-09-03 2017-07-25 Micron Technology, Inc. Memory cells including dielectric materials, memory devices including the memory cells, and methods of forming same
US20160071729A1 (en) * 2014-09-04 2016-03-10 Samsung Electronics Co., Ltd. Rectangular nanosheet fabrication
US9966459B2 (en) * 2014-09-04 2018-05-08 Globalfoundries Inc. Symmetrical lateral bipolar junction transistor and use of same in characterizing and protecting transistors
US10290709B2 (en) 2014-09-19 2019-05-14 Intel Corporation Apparatus and methods to create an indium gallium arsenide active channel having indium rich surfaces
EP3195368A4 (en) * 2014-09-19 2018-05-16 Intel Corporation Apparatus and methods to create a doped sub-structure to reduce leakage in microelectronic transistors
CN106663695B (zh) 2014-09-19 2021-03-30 英特尔公司 用于创建缓冲区以减少微电子晶体管中的泄漏的装置和方法
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9349866B2 (en) * 2014-10-10 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9673277B2 (en) * 2014-10-20 2017-06-06 Applied Materials, Inc. Methods and apparatus for forming horizontal gate all around device structures
US9312186B1 (en) * 2014-11-04 2016-04-12 Taiwan Semiconductor Manufacturing Company Limited Method of forming horizontal gate all around structure
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US20160141360A1 (en) * 2014-11-19 2016-05-19 International Business Machines Corporation Iii-v semiconductor devices with selective oxidation
US9953979B2 (en) * 2014-11-24 2018-04-24 Qualcomm Incorporated Contact wrap around structure
US9741811B2 (en) * 2014-12-15 2017-08-22 Samsung Electronics Co., Ltd. Integrated circuit devices including source/drain extension regions and methods of forming the same
CN106922201B (zh) * 2014-12-17 2021-03-09 英特尔公司 对于高迁移率沟道器件的载流子限制
CN105762191B (zh) * 2014-12-19 2019-05-21 中国科学院微电子研究所 半导体器件及其制造方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
WO2016105426A1 (en) * 2014-12-24 2016-06-30 Intel Corporation Ingaas epi structure and wet etch process for enabling iii-v gaa in art trench
US9953836B2 (en) * 2015-01-28 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer above anti-punch through (APT) implant region to improve mobility of channel region of fin field effect transistor (FinFET) device structure
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9520466B2 (en) * 2015-03-16 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate-all-around field effect transistors and methods of forming same
US9525036B2 (en) 2015-03-19 2016-12-20 Samsung Electronics Co., Ltd. Semiconductor device having gate electrode with spacers on fin structure and silicide layer filling the recess
US9460920B1 (en) * 2015-05-11 2016-10-04 Applied Materials, Inc. Horizontal gate all around device isolation
TWI723993B (zh) * 2015-05-11 2021-04-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
US10573719B2 (en) 2015-05-11 2020-02-25 Applied Materials, Inc. Horizontal gate all around device isolation
KR102325894B1 (ko) 2015-06-10 2021-11-12 삼성전자주식회사 반도체 소자 및 이의 제조방법
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9590107B2 (en) 2015-06-25 2017-03-07 International Business Machines Corporation III-V gate-all-around field effect transistor using aspect ratio trapping
EP3314641A4 (en) * 2015-06-26 2019-01-23 Intel Corporation PSEUDOMORPHES INGAAS ON GAAS FOR GATE ALL-AROUND TRANSISTORS
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11335600B2 (en) 2015-06-27 2022-05-17 Intel Corporation Integration method for finfet with tightly controlled multiple fin heights
US10170608B2 (en) * 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9917195B2 (en) * 2015-07-29 2018-03-13 International Business Machines Corporation High doped III-V source/drain junctions for field effect transistors
US10283638B2 (en) * 2015-08-03 2019-05-07 Samsung Electronics Co., Ltd. Structure and method to achieve large strain in NS without addition of stack-generated defects
CN106409907B (zh) * 2015-08-03 2021-06-08 三星电子株式会社 用于半导体装置的堆叠件及其形成方法
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
EP3133046A1 (en) * 2015-08-17 2017-02-22 IMEC vzw Al-poor barrier for ingaas semiconductor structure
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9614068B2 (en) * 2015-09-02 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
TWI716441B (zh) * 2015-09-03 2021-01-21 美商應用材料股份有限公司 用於製造對於半導體應用的水平環繞式閘極裝置的奈米線的方法
CN106549058A (zh) * 2015-09-22 2017-03-29 中国科学院微电子研究所 半导体器件制造方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9685564B2 (en) * 2015-10-16 2017-06-20 Samsung Electronics Co., Ltd. Gate-all-around field effect transistors with horizontal nanosheet conductive channel structures for MOL/inter-channel spacing and related cell architectures
KR102379701B1 (ko) * 2015-10-19 2022-03-28 삼성전자주식회사 멀티-채널을 갖는 반도체 소자 및 그 형성 방법
US9660027B2 (en) * 2015-10-20 2017-05-23 Globalfoundries Inc. Expitaxially regrown heterostructure nanowire lateral tunnel field effect transistor
US9741792B2 (en) * 2015-10-21 2017-08-22 International Business Machines Corporation Bulk nanosheet with dielectric isolation
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10276572B2 (en) 2015-11-05 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10164012B2 (en) 2015-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9583399B1 (en) 2015-11-30 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
WO2017095409A1 (en) * 2015-12-03 2017-06-08 Intel Corporation Stacked channel structures for mosfets
CN105633166B (zh) 2015-12-07 2019-06-18 中国科学院微电子研究所 具有高质量外延层的纳米线半导体器件及其制造方法
US9679965B1 (en) * 2015-12-07 2017-06-13 Samsung Electronics Co., Ltd. Semiconductor device having a gate all around structure and a method for fabricating the same
WO2017096781A1 (zh) 2015-12-07 2017-06-15 中国科学院微电子研究所 具有高质量外延层的纳米线半导体器件及其制造方法
KR102434993B1 (ko) * 2015-12-09 2022-08-24 삼성전자주식회사 반도체 소자
KR102409962B1 (ko) 2015-12-16 2022-06-16 삼성전자주식회사 반도체 장치
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
WO2017111974A1 (en) * 2015-12-22 2017-06-29 Intel Corporation Nanowire transistors with embedded dielectric spacers
US11189700B2 (en) 2015-12-23 2021-11-30 Intel Corporation Fabrication of wrap-around and conducting metal oxide contacts for IGZO non-planar devices
WO2017111845A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Crystallized silicon carbon replacement material for nmos source/drain regions
WO2017111849A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Reduced leakage transistors with germanium-rich channel regions
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108475695B (zh) * 2016-01-05 2021-10-15 应用材料公司 制造用于半导体应用的环绕式水平栅极器件的纳米线的方法
KR102366953B1 (ko) * 2016-01-06 2022-02-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN106960870B (zh) 2016-01-11 2021-09-10 三星电子株式会社 半导体装置及其制造方法
US10217817B2 (en) * 2016-01-27 2019-02-26 International Business Machines Corporation Sacrificial layer for channel surface retention and inner spacer formation in stacked-channel FETs
US9614040B1 (en) 2016-02-02 2017-04-04 International Business Machines Corporation Strained silicon germanium fin with block source/drain epitaxy and improved overlay capacitance
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102476143B1 (ko) 2016-02-26 2022-12-12 삼성전자주식회사 반도체 장치
KR102435521B1 (ko) 2016-02-29 2022-08-23 삼성전자주식회사 반도체 소자
KR102426663B1 (ko) 2016-03-02 2022-07-28 삼성전자주식회사 반도체 소자 및 그 제조방법
KR102413610B1 (ko) 2016-03-02 2022-06-24 삼성전자주식회사 레이아웃 디자인 시스템, 이를 이용한 반도체 장치 및 그 제조 방법
KR102340313B1 (ko) * 2016-03-02 2021-12-15 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9570556B1 (en) 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
WO2017155540A1 (en) * 2016-03-11 2017-09-14 Intel Corporation Techniques for forming transistors including group iii-v material nanowires using sacrificial group iv material layers
US9978833B2 (en) * 2016-03-11 2018-05-22 Samsung Electronics Co., Ltd. Methods for varied strain on nano-scale field effect transistor devices
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9941405B2 (en) * 2016-03-21 2018-04-10 Samsung Electronics Co., Ltd. Nanosheet and nanowire devices having source/drain stressors and methods of manufacturing the same
US9570552B1 (en) * 2016-03-22 2017-02-14 Globalfoundries Inc. Forming symmetrical stress liners for strained CMOS vertical nanowire field-effect transistors
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10439039B2 (en) * 2016-03-25 2019-10-08 Qualcomm Incorporated Integrated circuits including a FinFET and a nanostructure FET
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US9953874B2 (en) * 2016-04-28 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9735269B1 (en) 2016-05-06 2017-08-15 International Business Machines Corporation Integrated strained stacked nanosheet FET
US9960232B2 (en) * 2016-05-09 2018-05-01 Samsung Electronics Co., Ltd. Horizontal nanosheet FETs and methods of manufacturing the same
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR20170135115A (ko) 2016-05-30 2017-12-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11004985B2 (en) * 2016-05-30 2021-05-11 Samsung Electronics Co., Ltd. Semiconductor device having multi-thickness nanowire
US10170591B2 (en) * 2016-06-10 2019-01-01 International Business Machines Corporation Self-aligned finFET formation
KR102527382B1 (ko) 2016-06-21 2023-04-28 삼성전자주식회사 반도체 소자
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10134905B2 (en) 2016-06-30 2018-11-20 International Business Machines Corporation Semiconductor device including wrap around contact, and method of forming the semiconductor device
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10541172B2 (en) 2016-08-24 2020-01-21 International Business Machines Corporation Semiconductor device with reduced contact resistance
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9653289B1 (en) * 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages
US10069015B2 (en) 2016-09-26 2018-09-04 International Business Machines Corporation Width adjustment of stacked nanowires
US9773893B1 (en) 2016-09-26 2017-09-26 International Business Machines Corporation Forming a sacrificial liner for dual channel devices
WO2018063403A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Art trench spacers to enable fin release for non-lattice matched channels
US9799618B1 (en) 2016-10-12 2017-10-24 International Business Machines Corporation Mixed UBM and mixed pitch on a single die
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
FR3057703B1 (fr) * 2016-10-13 2019-06-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fabrication d’un transistor a effet de champ a grille enrobante
US10340340B2 (en) * 2016-10-20 2019-07-02 International Business Machines Corporation Multiple-threshold nanosheet transistors
US10312152B2 (en) 2016-10-24 2019-06-04 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US9853114B1 (en) 2016-10-24 2017-12-26 Samsung Electronics Co., Ltd. Field effect transistor with stacked nanowire-like channels and methods of manufacturing the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10886268B2 (en) * 2016-11-29 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with separated merged source/drain structure
KR102762543B1 (ko) 2016-12-14 2025-02-05 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10522694B2 (en) 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing semiconductor device
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
FR3060841B1 (fr) 2016-12-15 2021-02-12 Commissariat Energie Atomique Procede de realisation d'un dispositif semi-conducteur a espaceurs internes auto-alignes
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11245020B2 (en) * 2017-01-04 2022-02-08 International Business Machines Corporation Gate-all-around field effect transistor having multiple threshold voltages
US10128347B2 (en) * 2017-01-04 2018-11-13 International Business Machines Corporation Gate-all-around field effect transistor having multiple threshold voltages
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10068794B2 (en) * 2017-01-31 2018-09-04 Advanced Micro Devices, Inc. Gate all around device architecture with hybrid wafer bond technique
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10249739B2 (en) * 2017-03-01 2019-04-02 International Business Machines Corporation Nanosheet MOSFET with partial release and source/drain epitaxy
US10032867B1 (en) 2017-03-07 2018-07-24 International Business Machines Corporation Forming bottom isolation layer for nanosheet technology
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
WO2018182675A1 (en) * 2017-03-31 2018-10-04 Intel Corporation Finfet with angled source and drain regions
KR102400558B1 (ko) * 2017-04-05 2022-05-20 삼성전자주식회사 반도체 소자
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102318560B1 (ko) 2017-04-12 2021-11-01 삼성전자주식회사 반도체 소자
US10930793B2 (en) * 2017-04-21 2021-02-23 International Business Machines Corporation Bottom channel isolation in nanosheet transistors
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10186510B2 (en) 2017-05-01 2019-01-22 Advanced Micro Devices, Inc. Vertical gate all around library architecture
US10304728B2 (en) 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10332965B2 (en) * 2017-05-08 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10008583B1 (en) * 2017-05-08 2018-06-26 Samsung Electronics Co., Ltd. Gate-all-around nanosheet field-effect transistors and methods of manufacturing the same
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10074575B1 (en) * 2017-06-21 2018-09-11 International Business Machines Corporation Integrating and isolating nFET and pFET nanosheet transistors on a substrate
US11121131B2 (en) 2017-06-23 2021-09-14 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
KR102293127B1 (ko) * 2017-06-23 2021-08-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11476350B2 (en) 2017-07-12 2022-10-18 Sony Semiconductor Solutions Corporation Transistor and electronic device
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10276718B2 (en) * 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having a relaxation prevention anchor
DE102017126225B4 (de) * 2017-08-31 2025-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen einer halbleitervorrichtung und eine halbleitervorrichtung
US10629679B2 (en) 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR102283024B1 (ko) * 2017-09-01 2021-07-27 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20190081155A1 (en) 2017-09-13 2019-03-14 Globalfoundries Inc. Nanosheet transistor with improved inner spacer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10453736B2 (en) 2017-10-09 2019-10-22 International Business Machines Corporation Dielectric isolation in gate-all-around devices
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10403551B2 (en) * 2017-11-08 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain features with an etch stop layer
US10355102B2 (en) 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102399071B1 (ko) 2017-11-17 2022-05-17 삼성전자주식회사 반도체 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US10586853B2 (en) * 2017-11-27 2020-03-10 International Business Machines Corporation Non-planar field effect transistor devices with wrap-around source/drain contacts
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10700066B2 (en) * 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102437286B1 (ko) 2017-11-30 2022-08-30 삼성전자주식회사 반도체 소자
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10833157B2 (en) * 2017-12-18 2020-11-10 International Business Machines Corporation iFinFET
WO2019125424A1 (en) * 2017-12-20 2019-06-27 Intel Corporation Transistor with isolation below source and drain
CN109950313A (zh) * 2017-12-21 2019-06-28 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10263077B1 (en) * 2017-12-22 2019-04-16 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method of fabricating a FET transistor having a strained channel
WO2019132904A1 (en) * 2017-12-28 2019-07-04 Intel Corporation Source electrode and drain electrode protection for nanowire transistors
CN109994385A (zh) * 2017-12-29 2019-07-09 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI852426B (zh) 2018-01-19 2024-08-11 荷蘭商Asm Ip私人控股有限公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102480348B1 (ko) * 2018-03-15 2022-12-23 삼성전자주식회사 실리콘게르마늄 식각 전의 전처리 조성물 및 이를 이용한 반도체 장치의 제조 방법
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102550652B1 (ko) * 2018-04-02 2023-07-05 삼성전자주식회사 반도체 소자의 제조 방법
KR102600229B1 (ko) 2018-04-09 2023-11-10 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US10756089B2 (en) * 2018-05-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid semiconductor transistor structure and manufacturing method for the same
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10608082B2 (en) * 2018-05-31 2020-03-31 Globalfoundries Inc. Field-effect transistors including multiple gate lengths
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN108831926B (zh) * 2018-06-11 2021-03-09 中国科学院微电子研究所 半导体器件与其制作方法
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10461154B1 (en) * 2018-06-21 2019-10-29 International Business Machines Corporation Bottom isolation for nanosheet transistors on bulk substrate
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11404578B2 (en) 2018-06-22 2022-08-02 Intel Corporation Dielectric isolation layer between a nanowire transistor and a substrate
CN108807279B (zh) * 2018-06-25 2021-01-22 中国科学院微电子研究所 半导体结构与其制作方法
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10930794B2 (en) * 2018-06-29 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned spacers for multi-gate devices and method of fabrication thereof
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11695081B2 (en) * 2018-06-29 2023-07-04 Intel Corporation Channel layer formation for III-V metal-oxide-semiconductor field effect transistors (MOSFETs)
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11152510B2 (en) * 2018-07-25 2021-10-19 International Business Machines Corporation Long channel optimization for gate-all-around transistors
CN110767549B (zh) * 2018-07-26 2023-05-16 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
DE102019115523B4 (de) * 2018-07-31 2022-05-25 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zur herstellung einer halbleitervorrichtung
CN110797262B (zh) * 2018-08-01 2023-06-13 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10727427B2 (en) * 2018-08-31 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using carbon nanotubes and a field effect transistor
KR102653665B1 (ko) * 2018-09-07 2024-04-04 삼성전자주식회사 식각 조성물 및 이를 이용한 반도체 소자의 제조 방법
KR102537527B1 (ko) * 2018-09-10 2023-05-26 삼성전자 주식회사 집적회로 소자
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344B (zh) 2018-10-01 2024-10-25 Asmip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102748291B1 (ko) 2018-11-02 2024-12-31 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
FR3088482B1 (fr) * 2018-11-08 2021-05-14 Commissariat Energie Atomique Mise en contrainte d'une structure de canal de transistor a barreaux superposes par le biais d'une mise en contrainte des espaceurs
KR102524803B1 (ko) * 2018-11-14 2023-04-24 삼성전자주식회사 소스/드레인 영역을 갖는 반도체 소자
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102727227B1 (ko) 2019-01-22 2024-11-07 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11621334B2 (en) * 2019-01-29 2023-04-04 Intel Corporation Non-planar integrated circuit structures having asymmetric source and drain trench contact spacing
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7603377B2 (ja) 2019-02-20 2024-12-20 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US10998233B2 (en) * 2019-03-05 2021-05-04 International Business Machines Corporation Mechanically stable complementary field effect transistors
KR102782593B1 (ko) 2019-03-08 2025-03-14 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US10797163B1 (en) * 2019-04-29 2020-10-06 International Business Machines Corporation Leakage control for gate-all-around field-effect transistor devices
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7598201B2 (ja) 2019-05-16 2024-12-11 エーエスエム・アイピー・ホールディング・ベー・フェー ウェハボートハンドリング装置、縦型バッチ炉および方法
JP7612342B2 (ja) 2019-05-16 2025-01-14 エーエスエム・アイピー・ホールディング・ベー・フェー ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11189710B2 (en) * 2019-05-20 2021-11-30 Applied Materials, Inc. Method of forming a bottom isolation dielectric by directional sputtering of a capping layer over a pair of stacks
KR102721970B1 (ko) * 2019-05-24 2024-10-29 삼성전자주식회사 반도체 장치
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR102728519B1 (ko) * 2019-05-27 2024-11-13 삼성전자주식회사 반도체 장치
KR102737508B1 (ko) 2019-06-03 2024-12-05 삼성전자주식회사 반도체 장치
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200141931A (ko) 2019-06-10 2020-12-21 에이에스엠 아이피 홀딩 비.브이. 석영 에피택셜 챔버를 세정하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210000780A (ko) 2019-06-25 2021-01-06 삼성전자주식회사 반도체 장치
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US10998311B2 (en) 2019-06-28 2021-05-04 International Business Machines Corporation Fabricating gate-all-around transistors having high aspect ratio channels and reduced parasitic capacitance
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI707438B (zh) * 2019-07-19 2020-10-11 力晶積成電子製造股份有限公司 電路架構
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TWI851767B (zh) 2019-07-29 2024-08-11 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US12169361B2 (en) 2019-07-30 2024-12-17 Asm Ip Holding B.V. Substrate processing apparatus and method
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20210018761A (ko) 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 냉각 장치를 포함한 히터 어셈블리 및 이를 사용하는 방법
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11456368B2 (en) * 2019-08-22 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with hard mask layer over fin structure and method for forming the same
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
DE102020109756A1 (de) * 2019-08-29 2021-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Transistoren mit kanälen gebildet aus niedrigdimensionalenmaterialien und verfahren zum bilden derselben
US11417729B2 (en) 2019-08-29 2022-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with channels formed of low-dimensional materials and method forming same
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR102733104B1 (ko) 2019-09-05 2024-11-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11165032B2 (en) 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
US11387319B2 (en) * 2019-09-11 2022-07-12 International Business Machines Corporation Nanosheet transistor device with bottom isolation
KR20210031248A (ko) * 2019-09-11 2021-03-19 삼성전자주식회사 반도체 소자
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846966B (zh) 2019-10-10 2024-07-01 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11658245B2 (en) * 2019-10-29 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
DE102020110169A1 (de) * 2019-10-31 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur und bildungsverfahren einer halbleitervorrichtung mitstressor
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN113113486B (zh) * 2020-01-13 2022-11-18 中芯国际集成电路制造(天津)有限公司 半导体器件及其形成方法
KR20210093163A (ko) 2020-01-16 2021-07-27 에이에스엠 아이피 홀딩 비.브이. 고 종횡비 피처를 형성하는 방법
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
CN113284789A (zh) 2020-02-03 2021-08-20 Asm Ip私人控股有限公司 形成包括钒或铟层的结构的方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
KR20210103956A (ko) 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법
TWI855223B (zh) 2020-02-17 2024-09-11 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法
US11495682B2 (en) * 2020-02-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US12173404B2 (en) 2020-03-17 2024-12-24 Asm Ip Holding B.V. Method of depositing epitaxial material, structure formed using the method, and system for performing the method
US11417751B2 (en) 2020-04-01 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
KR102755229B1 (ko) 2020-04-02 2025-01-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202143328A (zh) 2020-04-21 2021-11-16 荷蘭商Asm Ip私人控股有限公司 用於調整膜應力之方法
TW202208671A (zh) 2020-04-24 2022-03-01 荷蘭商Asm Ip私人控股有限公司 形成包括硼化釩及磷化釩層的結構之方法
KR20210132612A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 화합물들을 안정화하기 위한 방법들 및 장치
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11532720B2 (en) * 2020-04-29 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
JP2021181612A (ja) 2020-04-29 2021-11-25 エーエスエム・アイピー・ホールディング・ベー・フェー 固体ソースプリカーサ容器
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
CN113667953A (zh) 2020-05-13 2021-11-19 Asm Ip私人控股有限公司 用于反应器系统的激光器对准夹具
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145079A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 기판을 처리하기 위한 플랜지 및 장치
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
KR20210148914A (ko) 2020-05-29 2021-12-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
CN113871296A (zh) 2020-06-30 2021-12-31 Asm Ip私人控股有限公司 衬底处理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11961763B2 (en) * 2020-07-13 2024-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned metal gate for multigate device and method of forming thereof
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
KR20220011093A (ko) 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. 몰리브덴층을 증착하기 위한 방법 및 시스템
KR20220011092A (ko) 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템
KR102772903B1 (ko) 2020-08-04 2025-02-28 삼성전자주식회사 반도체 소자
KR20220021863A (ko) 2020-08-14 2022-02-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202228863A (zh) 2020-08-25 2022-08-01 荷蘭商Asm Ip私人控股有限公司 清潔基板的方法、選擇性沉積的方法、及反應器系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
TW202217045A (zh) 2020-09-10 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積間隙填充流體之方法及相關系統和裝置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR20220036866A (ko) 2020-09-16 2022-03-23 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물 증착 방법
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202218049A (zh) 2020-09-25 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050048A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202229620A (zh) 2020-11-12 2022-08-01 特文特大學 沉積系統、用於控制反應條件之方法、沉積方法
TW202229795A (zh) 2020-11-23 2022-08-01 荷蘭商Asm Ip私人控股有限公司 具注入器之基板處理設備
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US12255053B2 (en) 2020-12-10 2025-03-18 Asm Ip Holding B.V. Methods and systems for depositing a layer
TW202233884A (zh) 2020-12-14 2022-09-01 荷蘭商Asm Ip私人控股有限公司 形成臨限電壓控制用之結構的方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202226899A (zh) 2020-12-22 2022-07-01 荷蘭商Asm Ip私人控股有限公司 具匹配器的電漿處理裝置
TW202242184A (zh) 2020-12-22 2022-11-01 荷蘭商Asm Ip私人控股有限公司 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220199774A1 (en) * 2020-12-22 2022-06-23 Intel Corporation Gate-all-around integrated circuit structures having germanium-diffused nanoribbon channel structures
US11854960B2 (en) 2021-01-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including decoupling capacitors and methods of manufacturing thereof
TW202247463A (zh) * 2021-02-01 2022-12-01 美商應用材料股份有限公司 具全空乏矽晶絕緣體之環繞式閘極元件
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR102459732B1 (ko) * 2021-05-13 2022-10-27 (재)한국나노기술원 게이트 올 어라운드 채널을 갖는 반도체 소자의 제조 방법
US20220393013A1 (en) * 2021-06-04 2022-12-08 Intel Corporation Fabrication of gate-all-around integrated circuit structures having pre-spacer-deposition wide cut gates
KR20230022502A (ko) 2021-08-09 2023-02-16 삼성전자주식회사 반도체 장치
KR20230023389A (ko) 2021-08-10 2023-02-17 삼성전자주식회사 집적회로 소자
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230099540A1 (en) * 2021-09-24 2023-03-30 Intel Corporation Elimination of sub-fin leakage in stacked nanosheet architectures
US11862640B2 (en) 2021-09-29 2024-01-02 Advanced Micro Devices, Inc. Cross field effect transistor (XFET) library architecture power routing
CN114121808A (zh) * 2021-11-10 2022-03-01 上海华力集成电路制造有限公司 FinFET中单扩散区切断结构的制造方法
USD1060598S1 (en) 2021-12-03 2025-02-04 Asm Ip Holding B.V. Split showerhead cover
US20230207651A1 (en) * 2021-12-23 2023-06-29 Mohammad Hasan Gate-all-around integrated circuit structures having source or drain structures with substrate connection portions
US20230387204A1 (en) * 2022-05-26 2023-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain structure with high dopant concentration
KR102780383B1 (ko) * 2022-06-27 2025-03-11 충북대학교 산학협력단 소비전력 감소 및 출력성능 개선을 위한 나노시트 반도체소자 및 그 제조방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080093628A1 (en) 2003-11-21 2008-04-24 Samsung Electronics Co., Ltd. Methods of Forming Semiconductor Devices Having Multiple Channel MOS Transistors and Related Intermediate Structures
JP2011066151A (ja) 2009-09-16 2011-03-31 Toshiba Corp 半導体装置およびその製造方法
US20110233522A1 (en) 2010-03-25 2011-09-29 International Business Machines Corporation p-FET with a Strained Nanowire Channel and Embedded SiGe Source and Drain Stressors

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9108599D0 (en) 1991-04-22 1991-06-05 Pilkington Micro Electronics Peripheral controller
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP2004172178A (ja) 2002-11-18 2004-06-17 Toshiba Corp 半導体装置及び半導体装置の製造方法
FR2853454B1 (fr) 2003-04-03 2005-07-15 St Microelectronics Sa Transistor mos haute densite
KR100553683B1 (ko) 2003-05-02 2006-02-24 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7408981B2 (en) 2003-05-20 2008-08-05 Rambus Inc. Methods and circuits for performing margining tests in the presence of a decision feedback equalizer
KR100487567B1 (ko) 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US6855588B1 (en) 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
KR100506460B1 (ko) 2003-10-31 2005-08-05 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100528486B1 (ko) 2004-04-12 2005-11-15 삼성전자주식회사 불휘발성 메모리 소자 및 그 형성 방법
US20050268195A1 (en) 2004-04-29 2005-12-01 Lund Morten W Apparatus and method for improving emulation speed of high-level languages in on-chip emulation systems
JP4796329B2 (ja) 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
KR100555567B1 (ko) 2004-07-30 2006-03-03 삼성전자주식회사 다중가교채널 트랜지스터 제조 방법
US20080121932A1 (en) 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
KR100594327B1 (ko) * 2005-03-24 2006-06-30 삼성전자주식회사 라운드 형태의 단면을 가지는 나노와이어를 구비한 반도체소자 및 그 제조 방법
KR100618900B1 (ko) 2005-06-13 2006-09-01 삼성전자주식회사 다중 채널을 갖는 모스 전계효과 트랜지스터의 제조방법 및그에 따라 제조된 다중 채널을 갖는 모스 전계효과트랜지스터
US7354831B2 (en) * 2005-08-08 2008-04-08 Freescale Semiconductor, Inc. Multi-channel transistor structure and method of making thereof
DE102005046242A1 (de) 2005-09-28 2007-03-29 Man Roland Druckmaschinen Ag Verfahren zum Bebildern von Druckformen
FR2895835B1 (fr) 2005-12-30 2008-05-09 Commissariat Energie Atomique Realisation sur une structure de canal a plusieurs branches d'une grille de transistor et de moyens pour isoler cette grille des regions de source et de drain
KR100763542B1 (ko) 2006-10-30 2007-10-05 삼성전자주식회사 다중 채널 모오스 트랜지스터를 포함하는 반도체 장치의제조 방법
US20080135949A1 (en) * 2006-12-08 2008-06-12 Agency For Science, Technology And Research Stacked silicon-germanium nanowire structure and method of forming the same
JP2009032955A (ja) * 2007-07-27 2009-02-12 Toshiba Corp 半導体装置、およびその製造方法
US7674669B2 (en) 2007-09-07 2010-03-09 Micron Technology, Inc. FIN field effect transistor
JP4966153B2 (ja) 2007-10-05 2012-07-04 株式会社東芝 電界効果トランジスタおよびその製造方法
WO2009072984A1 (en) 2007-12-07 2009-06-11 Agency For Science, Technology And Research A silicon-germanium nanowire structure and a method of forming the same
US8399879B2 (en) 2008-06-09 2013-03-19 National Institute Of Advanced Industrial Science And Technology Nano-wire field effect transistor, method for manufacturing the transistor, and integrated circuit including the transistor
US20110057163A1 (en) 2008-06-09 2011-03-10 National Institute Of Advanced Industrial Science And Technology Nano-wire field effect transistor, method for manufacturing the transistor, and integrated circuit including the transistor
US8519379B2 (en) * 2009-12-08 2013-08-27 Zena Technologies, Inc. Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
JP2010206154A (ja) 2009-02-09 2010-09-16 Hitachi Displays Ltd 表示装置
US7893492B2 (en) 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8084308B2 (en) * 2009-05-21 2011-12-27 International Business Machines Corporation Single gate inverter nanowire mesh
JP2011029503A (ja) * 2009-07-28 2011-02-10 Toshiba Corp 半導体装置
US8216902B2 (en) 2009-08-06 2012-07-10 International Business Machines Corporation Nanomesh SRAM cell
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) * 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
WO2011067821A1 (ja) 2009-12-04 2011-06-09 株式会社 東芝 半導体装置の製造方法
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8389416B2 (en) 2010-11-22 2013-03-05 Tokyo Electron Limited Process for etching silicon with selectivity to silicon-germanium
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
KR101650416B1 (ko) * 2011-12-23 2016-08-23 인텔 코포레이션 비평면 게이트 올어라운드 장치 및 그의 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080093628A1 (en) 2003-11-21 2008-04-24 Samsung Electronics Co., Ltd. Methods of Forming Semiconductor Devices Having Multiple Channel MOS Transistors and Related Intermediate Structures
JP2011066151A (ja) 2009-09-16 2011-03-31 Toshiba Corp 半導体装置およびその製造方法
US20110233522A1 (en) 2010-03-25 2011-09-29 International Business Machines Corporation p-FET with a Strained Nanowire Channel and Embedded SiGe Source and Drain Stressors

Also Published As

Publication number Publication date
DE112011105995B4 (de) 2020-08-06
US20140225065A1 (en) 2014-08-14
TWI467667B (zh) 2015-01-01
WO2013095651A1 (en) 2013-06-27
DE112011105995T5 (de) 2014-09-11
KR101654443B1 (ko) 2016-09-05
KR20140097521A (ko) 2014-08-06
TW201347046A (zh) 2013-11-16
TW201804536A (zh) 2018-02-01
CN104126228A (zh) 2014-10-29
US20160079422A1 (en) 2016-03-17
KR20150122270A (ko) 2015-10-30
TWI598962B (zh) 2017-09-11
CN106847875A (zh) 2017-06-13
KR101821672B1 (ko) 2018-01-24
CN106847875B (zh) 2021-04-20
US20150144880A1 (en) 2015-05-28
US10418487B2 (en) 2019-09-17
TWI666708B (zh) 2019-07-21
TWI541907B (zh) 2016-07-11
CN112563315A (zh) 2021-03-26
TW201519327A (zh) 2015-05-16
US8987794B2 (en) 2015-03-24
CN104126228B (zh) 2016-12-07
USRE50222E1 (en) 2024-11-26
TW201642355A (zh) 2016-12-01
KR20160101213A (ko) 2016-08-24
US9252275B2 (en) 2016-02-02

Similar Documents

Publication Publication Date Title
USRE50222E1 (en) Non-planar gate all-around device and method of fabrication thereof
TWI715671B (zh) 具有嵌入式介電質間隔物的奈米線電晶體
US10038054B2 (en) Variable gate width for gate all-around transistors
KR101950120B1 (ko) 저 밴드 갭 클래딩 층을 갖는 채널 영역을 갖는 비-평면 반도체 디바이스
CN104603920B (zh) 沟槽限定的外延生长器件层
KR102349897B1 (ko) Ingaas epi 구조체 및 art 트렌치에서 iii-v gaa를 가능하게 하는 습식 에치 공정
US10580882B2 (en) Low band gap semiconductor devices having reduced gate induced drain leakage (GIDL)
CN107210259A (zh) 使用牺牲子鳍状物在硅衬底上形成的高迁移率纳米线鳍状物沟道
CN105633167A (zh) 具有高质量外延层的半导体器件及其制造方法
CN105977299A (zh) 半导体器件及其制造方法
US12020929B2 (en) Epitaxial layer with substantially parallel sides
US11049773B2 (en) Art trench spacers to enable fin release for non-lattice matched channels

Legal Events

Date Code Title Description
A107 Divisional application of patent
PA0104 Divisional application for international application

Comment text: Divisional Application for International Patent

Patent event code: PA01041R01D

Patent event date: 20151016

Application number text: 1020147017953

Filing date: 20140627

PG1501 Laying open of application
A201 Request for examination
PA0201 Request for examination

Patent event code: PA02012R01D

Patent event date: 20151116

Comment text: Request for Examination of Application

E902 Notification of reason for refusal
PE0902 Notice of grounds for rejection

Comment text: Notification of reason for refusal

Patent event date: 20160117

Patent event code: PE09021S01D

E701 Decision to grant or registration of patent right
PE0701 Decision of registration

Patent event code: PE07011S01D

Comment text: Decision to Grant Registration

Patent event date: 20160610

A107 Divisional application of patent
GRNT Written decision to grant
PA0104 Divisional application for international application

Comment text: Divisional Application for International Patent

Patent event code: PA01041R01D

Patent event date: 20160817

Application number text: 1020147017953

Filing date: 20140627

PR0701 Registration of establishment

Comment text: Registration of Establishment

Patent event date: 20160817

Patent event code: PR07011E01D

PR1002 Payment of registration fee

Payment date: 20160818

End annual number: 3

Start annual number: 1

PG1601 Publication of registration
FPAY Annual fee payment

Payment date: 20190729

Year of fee payment: 4

PR1001 Payment of annual fee

Payment date: 20190729

Start annual number: 4

End annual number: 4

PR1001 Payment of annual fee

Payment date: 20230802

Start annual number: 8

End annual number: 8