[go: up one dir, main page]

KR100291971B1 - 기판처리장치및방법과박막반도체디바이스제조방법 - Google Patents

기판처리장치및방법과박막반도체디바이스제조방법 Download PDF

Info

Publication number
KR100291971B1
KR100291971B1 KR1019940027196A KR19940027196A KR100291971B1 KR 100291971 B1 KR100291971 B1 KR 100291971B1 KR 1019940027196 A KR1019940027196 A KR 1019940027196A KR 19940027196 A KR19940027196 A KR 19940027196A KR 100291971 B1 KR100291971 B1 KR 100291971B1
Authority
KR
South Korea
Prior art keywords
chamber
substrate
processing
cleaning
chambers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
KR1019940027196A
Other languages
English (en)
Other versions
KR950012625A (ko
Inventor
야마자끼슌뻬이
오따니히사시
시마다히로유끼
사까마미쯔노리
아베히사시
데라모또사또시
Original Assignee
야마자끼 순페이
가부시키가이샤 한도오따이 에네루기 켄큐쇼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP29126893A external-priority patent/JP3781787B2/ja
Priority claimed from JP34764593A external-priority patent/JPH07183234A/ja
Priority claimed from JP34764693A external-priority patent/JPH07183235A/ja
Application filed by 야마자끼 순페이, 가부시키가이샤 한도오따이 에네루기 켄큐쇼 filed Critical 야마자끼 순페이
Publication of KR950012625A publication Critical patent/KR950012625A/ko
Application granted granted Critical
Publication of KR100291971B1 publication Critical patent/KR100291971B1/ko
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D86/00Integrated devices formed in or on insulating or conducting substrates, e.g. formed in silicon-on-insulator [SOI] substrates or on stainless steel or glass substrates
    • H10D86/01Manufacture or treatment
    • H10D86/021Manufacture or treatment of multiple TFTs
    • H10D86/0221Manufacture or treatment of multiple TFTs comprising manufacture, treatment or patterning of TFT semiconductor bodies
    • H10D86/0223Manufacture or treatment of multiple TFTs comprising manufacture, treatment or patterning of TFT semiconductor bodies comprising crystallisation of amorphous, microcrystalline or polycrystalline semiconductor materials
    • H10D86/0227Manufacture or treatment of multiple TFTs comprising manufacture, treatment or patterning of TFT semiconductor bodies comprising crystallisation of amorphous, microcrystalline or polycrystalline semiconductor materials using structural arrangements to control crystal growth, e.g. placement of grain filters
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/67Thin-film transistors [TFT]
    • H10D30/6729Thin-film transistors [TFT] characterised by the electrodes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • Y10S438/908Utilizing cluster apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판 처리 상치는 진공성 공통 챔버를 경유하여 서로 연결되는 다수의 진공성 처리 챔버들을 포함하며, 공통 챔버는 각 처리 챔버 사이에서 기판을 운반하기 위한 수단이 구비된다.
보다 상세하게, 기판 처리 장치는 다수의 진공성 처리 챔버들을 포함하며, 처리 챔버들중 적어도 하나는 증기상 반응을 통한 막 형성 기능을 가지며, 그중 적어도 하나는 광 조사에 의한 어닐딩 기능을 기지며, 그중 적어도 하나는 가열 기능을 가진다.
장치는 또한 다수의 처리 챔버들을 서로 연결하는 공통 챔버와, 상기 처리 챔버들 사이에서 기판을 운반하기 위하여 상기 공통 챔버에 제공되는 운반 수단을 가진다.

Description

기판 처리 장치 및 방법과 박막 반도체 디바이스 제조방법
제 1 도는 본 발명의 기판 처리 장치의 개략도.
제 2A 도 내지 제 2F 도는 본 발명의 예 2 에 따른 반도체 디바이스의 제조 공정도.
제 3A 도 내지 제 3F 도는 본 발명의 예 3 에 따른 반도체 디바이스의 제조 공정도.
제 4 도는 제 1 도에 도시된 각 챔버의 명세도.
<도면의 주요부분에 대한 부호의 설명>
101, 102 : 보조 챔버 103, 104, 105, 106 : 처리 챔버
107 : 운반 챔버 108 : 로보트 아암
109 : 기판 110, 111, 112, 113 : 게이트 밸브
[발명의 배경]
[발명의 분야]
본 발명은 기판의 표면상에 또는 표면내에 반도체 디바이스를 형성하기 위한 장치에 관한 것이다. 특히, 본 발명은 외부 대기에 노출시키지 않으면서 연속적으로 기판을 처리 할 수 있는 장치에 관한 것이다. 또한, 본 발명은 박막 집적 회로를 제조하는데 사용될 수 있는 다목적 기판 처리 장치에 관한 것이다.
[종래의 기술]
반도체 기판 또는 유리 기판을 사용하는 집적 회로가 공지되어 있다. 집적회로는 IC 또는 LSI 로서 알려져 있으며, 반도체 기판 또는 유리 기판은 능동 매트릭스형의 액정 디바이스로서 공지되어 있다. 집적 회로를 제조하기 위하여 각각의 공정을 연속적으로 처리하는 것이 필요하다. 예를 들어, 절연 게이트 전계 효과 반도체 디바이스를 제조할 때는, 채널 형성 반도체 영역과 이와 접촉하는 게이트 절연층을 공기에 노출되지 않고서 연속적으로 형성해야 한다.
또한, 비정질 실리콘 또는 단결정 실리콘을 이용하는 반도체 디바이스를 제조하기 위한 제조 시스템은 있었지만, 다결정 실리콘을 이용하는 반도체 디바이스를 제조하는데 적합한 제조 시스템은 없었다.
[발명의 간단한 요약]
본 발명의 목적은 다목적으로 사용될 수 있고, 특히 하나의 장치에서 연속적으로 반도체 디바이스를 연속적으로 제조하는 각각의 단계를 실행할 수 있는 기판처리 장치를 제공하는 것이다.
본 발명의 다른 목적은 예를 들어, 실리콘막을 형성하여 그 결정화를 다른 챔버에서 연속적으로 실행하기 위해 다결정 반도체 디바이스를 연속적으로 제조하는 방법 및 장치를 제공하는 것이다.
본 발명의 제 1 양태에 따라서, 기판 처리 장치는 진공성(evactable) 공통 챔버를 경유하여 서로 접속된 다수의 진공성 처리 챔버(즉, 진공화될 수 있는 챔버)를 포함하며, 상기 공통 챔버에는 각각의 처리 챔버 사이에서 기판을 운반하는 수단이 구비된다.
보다 상세하게는, 기판 처리 장치는 다수의 진공성 처리 챔버들을 포함하며, 이들중 적어도 하나의 챔버는 기상 반응(vapor phase react ion)을 통해 박막 형성기능을 가지며, 또한 적어도 하나의 챔버는 광 조사를 이용한 어닐링 기능을 가지며, 또한 적어도 하나의 챔버가 가열 기능을 가진다. 또한, 상기 장치는 공통 챔버와, 각 처리 챔버 사이에서 기판을 운반하기 위하여 공통 챔버에 제공된 운반 수단을 포함하며, 상기 다수의 진공성 처리 챔버들은 공통의 챔버를 통해 서로 연결된다.
또한, 본 발명의 제 1 양태에 따른 기판 처리 장치를 작동시키는 방법은 두 챔버내의 압력이 서로 동일하게 유지되면서 처리 챔버와 공통 챔버 사이에서 기판을 운반하는 단계를 가지는 것을 특징으로 한다.
본 발명의 제 2 양태에 따라서, 다결정 실리콘을 이용하는 반도체 디바이스제조 방법은 디실란(disilane) 같은 폴리실란(polysilane)을 사용하는 LPCVD 에 의해 제 1 챔버에서 비 단결정 실리콘막을 형성하는 단계와, 외부 대기에 기판을 노출시기지 않고서 연속적으로 제 2 챔버에서, 실리콘막에 인접한 절연막의 형성 또는 그 결정화를 실행하는 다른 단계를 포함한다. 본 발명의 발명자들은 실리콘막이 글로우(glow) 방전 플라즈마 CVD에 의하여 형성될 때, 결정화될 때의 실리콘막으로부터 수소가 발산하기 때문에 실리콘막의 품질이 저하되는 경향이 있다는 것을 알았다. 따라서, 다중챔버 시스템이 사용될지라도, 다결정 실리콘 반도체 디바이스의 품질이 그렇게 향상되지 않게 된다. 이러한 문제의 인식에 근거하여, 실리콘 막은 폴리실란을 이용하는 LPCVD 에 의해 형성된다. 더욱이, 실리콘막과 이에 인접한 절연막 사이의 인터페이스(interface)의 질은 실리콘막과 이에 인접한 절연막을 공기에 노출시키지 않고 연속적으로 형성하는 것에 의하여 향상될 수 있다.
양호한 실시예의 설명
본 발명의 제 1 양태에 따른 제 1 실시예에 대해 제 1 도를. 참조하여 설명한다. 제 1 도에서, 도면부호 107 온 기판 운반 챔버인 공통 챔버이다. 처리 챔버(101 내지 106)들 중에서 챔버(101, 102)는 보조 챔버이며, 이 보조 챔버를 통하여 기판이 로딩(loading) 및 언로딩(unloading)된다. 챔버(103)는 절연막을 형성하기 위한 스퍼터링 장치이다. 챔버(104)는 비정질 실리콘을 형성하기 위한 플라즈마 CVD 장치이다. 챔버(105)는 열 산화막을 형성하기 위한 가열로이다. 챔버(106)는 광 조사로 어닐링을 수행하는 어닐링로(annealing furnace)이다. 각 처리 챔버에서 수행되는 처리 종류는 임의로 결정될 수 있다. 처리의 예로는 플라즈마 CVD, LPCVD(저압 열 CVD), 포토 CVD, 마이크로웨이브 CVD, 가열로, 광 조사에 의한 어닐렁로, 스퍼터링, 플라즈마 어닐링, 등방성 또는 이방성 에칭등이 있다. 지금부터, 제 1 실시예의 특정예에 대해 후술한다.
예 1
제 1 도를 참조하여, 챔버(101, 102)들은 기판을 로딩 및 언로딩하도록 사용된다. 상기 챔버들은 다수의 기판이 배치되는 카세트를 유지시키는 기능을 가진다. 명백하게, 이 챔버들에는 불활성 가스 또는 클리닝 가스와 같은 필요한 가스를 주입하는 수단과, 진공 수단(도시되지 않음)이 제공된다. 기판은 예를 들어 4 x 4 inch, 5 x 5 inch 또는 5 x 6 inch 이다. 챔버(103, 105, 106)들은 각각 박막을 형성하도록 사용된다. 챔버(104)는 다른 챔버에서 플라즈마 CVD를 수행하기 전에 예정된 온도로 기판을 예열하는 기능을 가진 온도 제어 챔버이다. 제 4 도는 각 처리 챔버의 명세를 도시한다. 챔버(107)는 로보트 아암(108)이 각 처리 챔버사이로 기판을 이동시키기 위해 제공된 기판 운반 챔버이다. 로보트 아암은 보조 챔버(101, 102)로부터 기판(109)을 걱내어 필요한 처리 챔버로 이동시키는 기능을 한다. 기판 운반 챔버에는 필요한 진공으로 만들기 위한 진공 수단(도시되지 않음)이 구비된다.
각 챔버사이에서의 기판의 운반은 다음의 방식으로 수행된다. 초기에 보조챔버에서 유지되는 기판이 처리 챔버(103, 104)로 운반되어 거기에서 연속적으로 처리되면, 다음에 보조 챔버(102)로 운반되며, 기판 운반 공정은 다음의 연속적인 단계들을 포함한다:
(1) 보조 챔버(101)와 운반 챔버(107)를 동일한 진공도로 만드는 동안에, 게이트 밸브(110)를 개방하고 로보트 아암(108)을 사용하여 기판(109)을 운반 챔버(107)로 운반한 후, 게이트 밸브(110)를 폐쇄하는 단계;
(2) 운반 챔버(107)와 처리 챔버(103)를 동일한 진공도로 만드는 동안에, 게이트 밸브(112)를 개방하고 처리 챔버(103)안으로 기판(109)을 운반한 후에, 게이트 밸브(112)를 폐쇄하는 단계;
(3) 처리 챔버(103)에서 기판상에 예정된 처리를 수행하는 단계;
(4) 처리 챔버(103)를 운반 챔버(107)와 동일한 진공도로 만든 후에, 게이트 밸브(112)를 개방하고 로보트 아암으로 운반 챔버(107)안으로 기판(109)을 운반한 후, 게이트 밸브(112)를 폐쇄하는 단계;
(5) 운반 챔버(107)와 처리 챔버(104)를 동일한 진공도로 만드는 동안에, 게이트 밸브(113)를 개방하고 처리 챔버(104)안으로 기판(109)을 운반한 후, 게이트 밸브(113)를 폐쇄하는 단계;
(6) 처리 챔버(104)에서 기판상에 예정된 처리를 수행하는 단계;
(7) 처리 챔버(104)를 운반 챔버(107)와 동일한 진공도로 만든 후에, 게이트 밸브(113)를 개방하고 로보트 아암으로 기판을 운반한 후, 게이트 밸브(113)를 폐쇄하는 단계;
(8) 운반 챔버(107)와 보조 챔버(102)를 동일한 진공도로 유지하는 동안, 게이트 밸브(111)를 개방하고 기판을 로보트 아암(108)으로 보조 챔버(102)안으로 운반한 후, 게이트 밸브(111)를 폐쇄하는 단계.
상기 방식에서, 외부 대기에 기판을 노출시키지 않고 2 개 이상의 처리를 연속적으로 수행하는 것이 가능하다.
보조 챔버(101)에 있는 카세트에서 유지되는 기판들은 단계(1) 내지 (8)들을 반복하는 것에 의하여 연속적으로 하나씩 처리될 수 있다. 예정된 공정이 마무리된 기판은 보조 챔버(102)에 배치된 카세트 안에 자동적으로 삽입된다. 또한, 챔버(103)에서 박막 형성을 수행하는 동안 처리 챔버(104)를 클리닝하거나 또는 챔버(104)에서 박막 형성을 수행하는 동안 처리 챔버(103)를 클리닝하는 것이 가능하다. 따라서, 전체 장치의 동작을 정지센키지 않고도 하나의 챔버에서 예정된 공정을 수행하고, 동시에 다른 처리 챔버를 클리닝하는 것이 가능하다. NR 가 챔버들의 내부를 플라즈마 클리닝하기 위한 클리닝 가스로서 사용될 수 있다.
예 2
이 예는 적어도 하나의 박막 트랜지스터를 포함하는 박막 집적 회로의 제조에 관한 것이다. 제 2A 도 내지 제 2F 도는 박막 트랜지스터의 제조 공정을 도시한다.
제 1 도를 다시 참조하면, 처리 챔버(103)는 플라즈마 CVD 를 통하여 알루미늄 질화물(알루미늄 옥시질화물) 또는 실리콘 질화막을 형성하도록 설계되고, 처리챔버(104)는 짧은 시간동안 적외선으로 신속한 열처리 또는 예열을 수행하도록 사용되고, 처리 챔버(105)는 TEOS(tetmethoxysilane)를 사용하여 플라즈마 UD를 통하여 실리콘 산화막을 형성하도록 사용되고, 처리 챔버(106)는 제 2A 도에 도시된 바와 같이 플라즈마 CVD 를 통하여 비정질 실리콘막을 형성하도록 설계된다.
이 챔버들에는 각각 가스 주입 수단과 진공화수단(도시되지 않음)이 구비된다.
초기에, 코닝 7059 유리 기판(109, 4 x 4 inches square, 5 x 5 inches square 또는 5 x 6 inches)이 보조 챔버(101)에 배치된다. 챔버(101)는 충분히 높은 진공으로 유지되는 운반 챔버(107)와 동일한 진공도로 바람직하게 진공화된다.
그런 다음, 게이트 밸브(110)가 개방된 후에, 기판(109)은 로보트 아암(108)으로 보조 챔버(101)로부터 처리 챔버(107)로 운반된다. 처리 챔버(103)를 운반 챔버(107)와 동일한 진공도로 유지하는 동안, 게이트 밸브(112)가 개방되고, 기판이 처리 챔버(103)로 운반된 후, 게이트 밸브(112)가 폐쇄된다. 처리 챔버(113)에서, 알루미늄 질화막(202)이 플라즈마 CVD 를 통하여 2000Å 내지 5000Å 의 두께로 기판상에 형성된다. Al(C4H9)3또는 A1(CH3)3가 질소가스와 함께 시작물질 가스로서 사용된다. 열팽창 응력을 감소시키기 위하여 N20 를 소량 첨가할 수 있다.
알루미늄 질화막(202)의 형성후에, 처리 챔버(103)는 운반 챔버(107)와 동일한 진공도로 다시 만들어지고, 그런 다음 게이트 밸브(112)가 개방되고, 기판은 처리 챔버(103)로부터 운반 챔버(107) 안으로 운반된다. 나중 단계에서, 각 처리 챔버와 운반 챔버 사이의 기판의 운반은 이러한 방식으로 행해지게 된다. 또한, 기판(109)상에 형성된 막은 특정하게 언급하지 않을지라도 챔버들 사이에서 기판이 운반될 때 기판과 함께 운반된다.
기판(109)은 신속한 열 어닐링 처리를 수행하도록 챔버(104) 안으로 운반된다. 상기 어닐링은 질소, 암모늄(NH3) 또는 N20 분위기에서 행해진다. 알루미늄 질화막(202)은 어닐링에 의해 신속하게 가열되어 투명하게 된다. 동시에, 막의 절연성과 열전도도가 향상된다. 유리기판으로부터 반도체 막안으로 나트륨같은 불순물이 확산하는 것을 방지하기 위하여 실리콘 질화막을 형성할 수 있다. 실리콘 질화막은 350°C 의 기판 온도, 0.1 Torr 의 압력에서 SiH4및 NH3의 혼합 가스를 사용하여 플라즈마 CVD 를 통해 형성될 수 있다. 신속한 열처리후에, 기판은 상술한 바와 같은 방식으로 챔버(104)로부터 운반 챔버(107)를 통하여 챔버(105)로 운반된다. 챔버(105)에서, 실리콘 산화막(203)이 시작 물질로서 산소와 흔합된 TEOS 가스를 사용하여 플라즈마 CVD 를 통해 50Å 내지 2000Å 의 두께로 형성된다.
공정 변수는 다음과 같다.
TEOS/O2비 10/100 sccm
RF 전원 350 W
기판 온도 400°C
압력 0.25 Torr
화학식 SiOFx 로 표현되는 막을 형성하기 위하여 시작 가스에 C2F6가스를 첨가할 수 있다. 실리콘 산화막(203)은 나중에 형성하고자 하는 박막 트랜지스터를 위하여 밑에 놓인 충(under lying layer)이 된다. 또한, 실리콘 산화막(203)의 형성후에 처리 챔버(104)에서 신속한 열처리를 수행하는 것이 가능하다.
그런 다음, 기판은 비정질 실리콘막(204)이 아래의 형성 조건에 의해 플라즈마 CVD 또는 LPCVD 를 통하여 100Å 내지 1500Å, 바람직하게는 300Å 내지 800Å의 두께로 형성되도록 처리 챔버(106)안으로 운반된다.
SiH4200 sccm
RF 전원 200 W
기판 온도 250°C
압력 0.1 Torr
비정질 실리콘막은 LPCVD(저압 열 CVD)로 Si2H6및 Si3H8을 사용하여 형성될 수도 있다. LPOD 를 사용하는 경우에 있어서 형성 조건의 예가 아래에 기술된다.
Si2H6100 내지 500 sccm
He 500 sccm
형성온도 400 내지 500°C
압력 0.1 내지 1 Torr
덧붙여, 기판은 실리콘 산화막(212)이 시작 물질로서 TEOS 를 사용하여 플라즈마 CVD 를 통하여 500Å 내지 1500Å 의 두께로 형성되도록 처리 챔버(105)로 운반된다. 이 막은 실리콘 막에 대한 차단층(blocking layer)으로서 기능한다. 실리콘 산화막(212)의 형성 조건은 다음과 같다.
TEOS/O210/100 sccm
RF 전원 300 W
기판 온도 350°C
압력 0.25 Torr
그 결과, 알루미늄 질화물 또는 실리콘 질화물 차단막(202), 실리콘 산화막(203), 실리콘 반도체막(204) 및 차단막(212)이 제 2A 도에 도시된 바와 같이 연속적으로 기판(109)상에 적충될 수 있다. 각 처리 챔버는 게이트 밸브에 의해 처리챔버(107)로부터 분할되기 때문에, 각 챔버 사이에서 불순물과의 상호 오염이 방지될 수 있다. 그 결과, 실리콘막에서의 C, N 및 0 의 농도를 적어도 5 x 1018atoms/㎤ 이하로 각각 감소시키는 것이 가능하다.
다음에, 상기 적층 구조를 가지는 기판은 제 2B 도에 도시된 아일랜드(island) 형태로 실리콘 도체막을 패턴화하기 위하여 보조 챔버로부터 취해진다.
그런 다음, 실리콘 산화막(205)은 게이트 절연막을 형성하도록 200Å 내지 1500Å, 바람직하게는 500Å 내지 1000Å 의 두께로 패턴화된 실리콘막(204)상에 형성된다.
실리콘 산화막(205)은 체적비에 있어서 1:1 내지 1:3 으로, 0.05 내지 0.5 Torr 의 압력, 100 내지 250W 의 W 전원으로 TEOS 가스와 산소가스를 사용하여 형성된다.
이 단계는 처리 챔버(109)에서 수행될 수 있다. 이 경우에, 실리콘 산화막(205)의 형성후에 어닐링 처리 챔버(104)에서 N20 분위기에서 IR 광으로 신속한 어닐링 처리를 수행하는 것이 바람직하다. 또한, 실리콘 산화막은 350 내지 600°C, 바람직하게는 400 내지 550°C 의 기판 온도에서 TEOS 와 오존 가스를 사용하여 LPCVD 또는 대기압 CVD 를 통하여 형성될 수 있다.
실리콘 산화막(205)의 형성후에, 실리콘 산화막은 30 내지 60 분동안 400 내지 600°C 에서 산소 또는 오존 분위기에서 어닐링된다. 실리콘 산화막(205)과 실리콘막(204)에서의 인터페이스 상태는 어닐링 단계에 의해 크게 감소될 수 있다.
그런 다음, 제 2B 도에 도시된 바와 같이, KrF 엑시머 레이저(213; 파장 248 또는 308nm, nsec 의 펄스폭)가 실리콘막(204)을 결정화하기 위하여 조사된다.
레이저의 에너지 밀도는 200 내지 400mJ/㎠, 바람직하게는 250 내지 300mJ/㎠ 이다. 또한, 기판은 레이저 조사동안 300 내지 500°C 로 가열된다. 라만(Raman) 스캐터링 분광계를 통하여 실리콘막(204)을 관찰할 때, 비교적 넓은 피크가 단결정 실리콘의 521cm-1에서는 관찰되지 않지만, 515cm-1 주위에서 관찰되며, 이는 실리콘 막(204)이 다결정체와 같은 결정체인 것을 의미한다. 결정화 단계는 가열 어닐링에 의해 수행될 수도 있다. 그런 후에, 기판은 수소 분위기에서 2 시간동안 350°C 에서 어닐링된다.다음에, 알루미늄막이 전자 빔 증발법으로 2000Å 내지 1p m 의 두께로 형성된 후, 알루미늄 막은 게이트 전극(206)이 되도록 패턴화된다. 0.15 내지 0.2wt%로 알루미늄에 스칸륨(Sc)을 첨가하는 것이 가능하다. 그런 다음, 알루미늄 게이트 전극(206)은 전해액에서 양극 산화된다. 전해액은 1 내지 3% 로 주석산이 혼합된 에틸렌 글리콜이다. 전해액의 pH 는 약 7 로 설정된다. 또한, 알루미늄 게이트 전극이 애노드(anode)로서 작용하는 한편, 백금이 캐소드로서 사용된다. 양극산화의 제 1 단계에서, 전압이 220V 로 증가되는 한편, 전류는 일정하게 유지되고, 이러한 조건은 1 시간동안 유지된다. 전압은 2 내지 5V/ min 의 속도로 증가된다.
그러므로, 양극화 산화막(209)은 제 2C 도에 도시된 바와 같이 1500Å 내지 3500Å의 두께, 예를 들어 2000Å 의 두께로 형성된다.
고온 처리가 필요할 때, 알루미늄 대신에 탄탈륨을 사용하는 것이 바람직하 양극산화막(209)의 형성후에, 불순물(인)이 자기 정합(self-aligning) 방식으로 마스크로서 사용된 게이트 전극 부분과 함께 이온 도핑법(또한 플라즈마 도핑법으로 칭함)에 의해 실리콘막(204)의 일부분에 첨가된다. 인화수소(Ph)가 도펀트(dopant) 가스로서 사용된다. 도즈량은 1-4x 1015atoms/㎠ 이다.
또한, KrF 엑시머 레이저(216; 파장 248nm 또는 308nm, 펄스폭 20nsec)가 주입된 불순물을 활성화하여 불순물 영역(208, 209)을 형성하기 위하여 제 2D 도에 도시된 바와 같이 조사된다. 동시에, 불순물의 주입에 의해 야기된 손상은 레이저 조사에 의해 경화된다. 레이저 빔의 에너지 밀도는 150 내지 400mJ/㎠, 바람직하게는 200 내지 250mJ/㎠ 이다. 불순물 영역(208, 209)의 시트 저항은 200 내지 800Ω/㎠(Ω /square)의 범위에 있다. 레이저가 사용되는 대신에, 플러쉬 램프(flush lamp) 가 시료를 1000 내지 1200°C 로 짧은 시간 가열하기 위하여 사용될 수도 있다. 이 단계는 소위 RTP(신속 열처리)이다. 온도는 실리콘의 온도를 감시하는 것으로 관찰된다.
실리콘 산화막(210)은 층간 절연물로서 전체면에 형성된다. 시작 가스로서 TEOS 와 산소의 혼합물을 사용하여 처리 챔버(105)에서 증착이 수행된다. C印 는 플라즈마 CVD, 저압 CVD, 또는 대기압 CVD 중 어떠한 것일 수도 있다. 실리콘산화막의 두께는 0.3 내지 1.0p μm 범위의 두께, 예를 들어 0.3μ m(3000Å)이다. 증착동안의 기판 온도는 250 내지 450°C, 범위의 온도, 예를 들어 350°C 이다. 실리콘 산화막은 평평하게된 표면을 얻도록 기계적으로 폴리싱된다.、 이 단계는 제 1 도의 장치에 제공된 챔버에서 등방성 건식 에칭으로 대체될 수도 있다. 또한 제 2E 도에 도시된 바와 같이, ITO 막이 스퍼터링에 의해 형성되어 픽셀 전극(211)을 형성하도록 패턴화된다.
충간 절연물(210)은 접촉홀을 형성하기 위하여 제 2F 도에 도시된 바와 같이 에칭되며, 배선(214, 215)이 상기 접촉홀을 통하여 불순물 영역(소스 및 드레인 영역)에 도달할 수 있다. 배선(215)은 픽셀 전극(211)에 접속된다. 또한, 배선의 재료는 크롬 또는 티타늄 질화물이다. 제 2F 도에 도시된 바와 같이, 배선은 불순물 영역의 상부면과 측면 모두에 접촉한다. 이러한 구성은 소위 "상부-측면 접촉(top-side contact)"으로 칭해진다. 실리콘 아일랜드 아래로 연장하는 접촉홀의 부분은 접촉홀의 전체 면적의 30 내지 70% 를 차지한다.
알루미늄 질화물 또는 실리콘 질화막(202)을 사용하면 기판 표면이 접촉홀의 형성 동안 에칭되거나 손상되는 것을 방지하기 때문에, 상부-측면 접촉을 얻는데 특히 유리하다. 즉, 밑에 놓이는 실리콘 산화막(203)이 접촉홀의 형성중에 우연히 에칭될지라도, 알루미늄 질화물 또는 실리콘 질화막(202)은 에칭 스토퍼로서 기능한다.
또한, 상부-측면 접촉은 접촉홀의 크기가 비교적 크게 만들어질 수 있기 때문에 잇점이 있다. 종래예에 있어서, 접촉홀의 크기는 소스/드레인 영역의 크기 보다 작아야 된다. 그러나, 상부-측면 접촉 구조에서, 접촉홀은 실리콘 아일랜드보다 클 수도 있다. 따라서, 접촉홀의 형성이 용이하게 이루어질 수 있다.
마지막으로, 전체구조는 실리콘을 수소화하기 위하여, 1 내지 2 시간동안 300 내지 400°C 로 수소 분위기에서 어닐링된다. 비록, 도면에 도시되지 않았을지라도, 다수의 TFT 가 동시에 매트릭스의 형태로 동일한 기판상에 형성된다. 또한, TFT 로 구성된 주변 회로가 동일한 공정을 통해 동일한 기판상에 형성될 수도 있다. 그러므로, 모놀리식 형태의 능동 매트릭스 액정 디바이스를 위한 회로 기판이 형성된다.
본 발명의 기판 처리 장치는 보다 높은 제품 산출량 및 원가 절감을 얻기 위하여 마이크로컴퓨터에 의해 제어된다.
예 3
본 발명의 제 2 양태에 따른 본 발명의 제 3 예에 대해 설명한다. 제 1 도에 도시된 장치가 동일하게 적용된다. 그러나, 챔버(106)는 비정질 실리콘을 형성하는 LPUD 를 수행하도록 사용되고, 챔버(102)는 이 예에서 P 형 도핑된 실리콘을 형성하는 LPCVD 를 수행하도록 사용된다.
초기에, 예를 들어 닛폰 일렉트릭 글래스(주)에서 제조된 N-O 글래스와 같은 고내열성을 가지는 석영 유리 기판(109)이 로드-언로드 챔버(101)로부터 기판 처리장치에 도입된다. 기판의 운반은 본 발명의 제 1 예에서와 동일한 방식으로 수행된다. 기판(109)은 운반 챔버(107)로 운반되어, 로보트 아암(108)에 의해 플라즈마 CVD 챔버(103)로 도입된다. 챔버(103)에서, 실리콘 질화막(202)은 제 3A 도에 도시된 바와 같이, 플라즈마 CVD 를 통하여 형성된다. SiH4및 NH3의 혼합 가스가 시작 가스로서 사용된다. 또한, 기판 온도는 350°C 이며, 챔버 내부 압력은 0.1 Torr 이다. 실리콘 질화막(202)은 유리 기판에 함유된 리톰과 같은 알칼리 금속의 확산을 방지하게 된다. 실리콘 질화물 대신에, 가동성 이온을 차단하기 위하여 풀루오르와 같은 할로겐 원소가 첨가된 실리콘 산화물을 형성하는 것이 가능하다.
그런 다음, 기판은 실리콘 산화막(203)을 형성하도록 운반 챔버(107)를 경유하여 챔버(105) 안으로 운반된다. 실리콘 산화막(203)은 아래의 처리 조건과 함께 시작 물질로서 TEOS 및 산소를 사용하는 플라즈마 UD 에 의해 50Å 내지 2000Å의 두께로 형성된다.
TEOS/O210/100 sccm
RF 전원 350 W
기판온도 400℃
압력 0.25 Torr
또한, C2F6가 화학식 SiOFx 로서 표현되는 박막을 형성하도록 상기 시작물질에 첨가될 수도 있다. 실리콘 산화막(203)은 그 위에 형성하고자 하는 TFT 에 대한 베이스 막으로서 작용한다.
그런 다음, 기판은 아래의 처리 조건으로 LPCVD 를 통해 비정질 실리콘막(204)을 형성하기 위하여 챔버(106)로 운반된다.
Si2H6100 sccm
He 200 sccm
가열온도 400°C 내지 570°C, 바람직하게는 500 내지 570°C
압력 0.3 Torr
성장속도 50Å 내지 500Å/min
디실란과 같은 폴리실란을 사용하면 가열 결정화 후에, 250Å 내지 8000Å의 범위에 있는 평균 입자 크기를 가지는 우수한 성질을 구비한 다결정 실리콘막을 얻는데 유익하다.
실리콘 산화막(212)으로 이루어진 차단막이 시작물질로서 TEOS 와 산소를 사용하여 플라즈마 CVD 에 의해 챔버(105)에서 비정질 실리콘막(204)상에 형성된다.
이어서, 기판은 로드-언로드 챔버(101)로 복귀되고, 실리콘 산화막(212)과 함께 비정질 실리콘(204)을 아일랜드 형태로 패턴화하여 결정화하기 위하여 외부로 배출된다. 패턴화 단계와 결정화 단계가 다른 단계들에 비하여 감소된 압력에서 수행되지 않고 훨씬 긴 시간을 취하기 때문에, 장치의 동작 속도를 증가시키기 위하여 다른 시스템으로 이 단계들을 수행하는 것이 바람직하다. 따라서 실리콘 산화막(212)은 비정질 실리콘막(204)의 표면이 공기에 의해 오염되는 것을 방지한다.
비정질 실리콘막(204)의 패턴확는 공지된 포토리소그래피에 의해 예정된 아일랜드 형태로 수행된다.
가열 결정화는 질소 분위기에서 8 내지 56 시간동안 550 내지 600°C 의 온도로 실시된다. 비교적 낮은 온도에서, 상기와 같은 큰 입자 크기를 구비한 다결정막을 얻는 것이 가능하다.
그런 다음, 열 처리가 보다 높은 온도에서 수행된다. 온도는 N-O 유리 기판이 견딜 수 있는 만큼 높은 온도, 예를 들어 800 내지 850°C 이다. 그래서, 각 결정 입자내의 결정체가 더욱 향상될 수 있다. 또한, 이 단계는 열 산화막을 형성하기 위하여 건성 산소와 같은 산화 분위기에서 수행될 수도 있다. 게이트 절연막으로서 열 산화막을 사용 할 때, 막의 적절한 두께는 500Å 내지 2000Å 이다.
가열 결정화후에, 기판은 보조 챔버(101)로부터 본 발명의 장치안으로 다시 주입된다. 필요에 따라, 기판은 챔버(105)로 추가로 운반되며, 실리콘 산화막(205)은 RF 플라즈마 CVD 를 통하여 챔버(105)에서 형성된다. 물론, 차단막은 실리콘막(204)의 깨끗한 표면을 노출시키기 위하여, 장치의 챔버들중 적절한 하나의 챔버에서의 실리콘 산화막(205)의 형성전에, 에칭에 의하여 제거된다. 플라즈마 CVD 는 시작 물질로서 TEOS 및 산소와, 300 내지 450°C 의 기판 온도를 사용하여 수행된다. TEOS 와 산소의 압력비는 1:1 내지 1:3 이며, 전체 압력은 0.05 내지 0.5 Torr 이다. 또한 RF 전원은 100 내지 250W 이다. 플라즈마 CVD 대신에, 350 내지 600°C, 바람직하게 400 내지 550°C 의 기판 온도에서 오존 가스와 혼합된 TEOS 를 사용하는 LPCVD 또는 대기압 CVD 를 통하여 실리콘 산화막을 형성하는 것이 가능하다.
그러므로, 실리콘 질화물로 만들어진 차단막(202), 실리콘 산화막(203), 아일랜드 형태로 패턴화된 결정체 실리콘막(204), 실리콘 산화막(205)은 제 3B 도에 도시된 바와 같이 된다. 본 발명의 장치의 사용때문에, 탄소, 질소 또는 산소의 농도를 5 x 1018atoms/㎤ 이하로 유지하는 것이 가능하게 된다.
실리콘 산화막(205)과 실리콘막(204) 사이의 인터페이스 상태를 감소시키기 위하여 실리콘 산화막(205)의 형성후에 챔버(104)내의 N20 분위기에서 IR 광조사로 신속한 열처리를 수행하는 것이 바람직하다.그런 다음, 기판을 챔버(102)안으로 운반하여, 인 도핑된 다결정 실리콘막이 제 3C 도에 도시된 바와 같이 게이트 전극(217)을 형성하도록 1000Å 내지 4000Å의 두께로 LPCVD 를 통하여 형성된다.
밑에 놓인 막의 형성으로부터 게이트 전극 물질의 형성까지의 단계들은 공기에 각 충의 표면을 노출시키지 않고 연속적으로 수행된다. 이러한 것에 의하여, 디바이스 성질을 결정하는 주요 인자인 각 인터페이스 질을 향상시키는 것이 가능하다.
인 도핑된 다결정 실리콘막의 형성후에, 기판은 로드-언로드 챔버(101)로부터 꺼내진다. 다음 단계들은 제 1 도의 장치의 외부에서 수행되게 된다.
인 도핑된 다결정 실리콘막은 건식 에칭에 의해 게이트 전극(217)으로 패턴화된다(제 3C 도).
그런 다음, 실리콘 아일랜드(204)는 소스 및 드레인 영역(208, 209)을 형성하기 위하여 게이트 전극(217)을 사용하여 자기 정합 방식으로 도펀트 불순물(인)로 선택적으로 도핑된다. 도핑은 이온 도핑으로 수행된다. PH3가 도펀트 가스로서 사용된다. 또한, 도즈량은 1 내지 4 x 1015/㎠ 이다.
연속적으로, 기판은 도펀트를 활성화시키기 위하여 질소분위기에서 12 시간 동안 600°C 로 가열되고, 다음에, 반도체 막의 수소화를 이행하여 결점 레벨 밀도를 감소시키기 위하여 1 시간동안 400°C 로 수소 분위기에서 열처리된다.
그런 다음, 실리콘 산화막(210)이 충간 절연물로서 전체 표면상에 형성된다.
시작 가스로서 TEOS 와 산소의 혼합물을 사용하여 처리 챔버(105)에서 증착이 수행된다. CVD 는 플라즈마 CVD, 저압 CVD 또는 대기압 CVD 일 수도 있다. 대안적으로, 실리콘 산화막(210)은 제 1 도의 장치와는 다른 장치에서 형성될 수도 있다.
실리콘 산화막의 두께는 0.3 내지 1μ m 범위의 두께, 예를 들어 0.3μ m(3000Å)이다. 기판 온도는 250 내지 450°C 엌 범위이며, 예를 들어 350°C 이다. 실리콘 산화막은 평탄화된 표면을 얻도록 기계적으로 연마된다. 이 단계는 제 1 도의 장치에 제공된 챔버에서 등방성 건식 에칭에 의해 대체될 수도 있다. 또한, ITO 막은 스퍼터링에 의해 형성되고 제 2E 도에 도시된 바와 같은 픽셀 전극(211)을 형성하도록 패턴화된다.
층간 절연물은 접촉홀을 형성하기 위하여 제 3F 도에 도시된 바와 같이 에칭되고, 배선(214, 215)들이 접촉홀을 통하여 불순물 영역(소스 및 드레인 영역)에 도달한다. 배선은 픽셀 전극(211)에 접속되고, 또한 배선 재료는 크롬 또는 티타늄 질화물이다. 제 3E 도에 도시된 바와 같이, 배선은 불순물 영역의 상부면과 측면 모두에, 즉 상부-측면 접촉이다.
마지막으로, 전체 구조는 1 내지 2 시간동안 300 내지 400°C 로 수소에서 어닐링된다. 그 결과, 실리콘이 수소화된다. 도면에 도시되지 않았을지라도, 다수의 TFT 들이 동시에 매트릭스의 형태로 동일한 기판상에 형성된다. 또한, TFT로 구성된 주변 회로들이 동일한 기판상에 형성될 수도 있다. 그러므로, 모놀리식형태의 능동 매트릭스 액정 디바이스용 회로 기판이 형성된다.
본 발명이 양호한 실시예를 참조하여 기술되었지만, 본 발명은 이러한 특정 예에 한정되지 않고 단지 첨부된 특허 청구의 범위로만 한정된다. 본 발명의 범위를 벗어남이 없이 다양한 변경예들이 만들어질 수 있다.
예를 들어, 유리 기판, 실리콘 기판과 같은 반도체 기판, 또는 절연 표면을 가지는 반도체 또는 도체 기판을 포함하는 다른 절연물질과 같은 다양한 종류의 기판들이 본 발명의 장치에서 처리될 수도 있다. 예를 들어, 유리 기판은 능동 매트릭스 액정 디바이스 또는 이미지 센서와 같은 광전자 디바이스를 형성할 때 적합하다. 또한, 기판으로서 석영을 사용할 때, 실리콘 질화막(202)과 실리콘 산화막(203)은 생략될 수도 있다. 덧붙여, 석영 기판을 사용할 때 약 1000°C 로 가열 결정화 또는 가열 산화 후에 열 처리의 온도를 상승시키는 것이 가능하다. 따라서, 향상된 결정체를 가지는 결정 실리콘막을 얻는 것이 가능하다.
또한, 본 발명에서 형성된 TFT 는 하부 게이트 형태의 TFT 일 수도 있다.

Claims (24)

  1. 로딩 챔버와 언로딩 챔버와, 복수의 처리 챔버 및, 상기 로딩 챔버, 언로딩 챔버 및 복수의 처리 챔버에 공통으로 접속된 공통 챔버를 포함하는 멀티챔버 시스템을 제공하는 단계와, 하나의 처리 챔버에서 CVD를 실행하는 단계 및, 상기 CVD를 실행하는 중에, 다른 하나의 처리 챔버를 동시에 클리닝하는 단계를 포함하는 플라즈마 처리방법.
  2. 제 1 항에 있어서, 상기 클리닝은 불화물 클리닝 가스로 실행되는 플라즈마 처리방법.
  3. 제 1 항에 있어서, 상기 복수는 4 개인 플라즈마 처리방법.
  4. 로딩 챔버와, 언로딩 챔버와; 복수의 처리 챔버 및, 상기 로딩 챔버, 언로딩 챔버 및 복수의 처리 챔버에 공통으로 접속된 공통 챔버를 포함하는 멀티챔버 시스템을 제공하는 단계와, 하나의 처리 챔버에서 절연막을 기판상에 형성하는 단계 및, 상기 절연막의 형성동안에, 다른 하나의 처리 챔버를 동시에 클리닝하는 단계를 포함하는 플라즈마 처리방법.
  5. 제 4 항에 있어서, 상기 클리닝은 불화물 클리닝 가스로 실행되는 플라즈마 처리방법.
  6. 제 4 항에 있어서, 상기 복수는 4 개인 플라즈마 처리방법.
  7. 로딩 챔버와, 언로딩 챔버와, 복수의 처리 챔버 및, 상기 로딩 챔버, 언로딩 챔버 및 복수의 처리 챔버에 공통으로 첩속된 공통 챔버를 포함하는 멀티챔버 시스템을 제공하는 단계와, 하나의 처리 챔버의 기판 상에 비 단결정 반도체막을 애 에 의해 형성하는 단계 및 상기 반도체막의 형성동안에, 다른 하나의 처리 챔버를 동시에 클리닝하는 단계를 포함하는 플라즈마 처리방법.
  8. 제 7 항에 있어서, 상기 클리닝은 불화물 클리닝 가스로 실행되는 플라즈마 처리방법 .
  9. 제 7항에 있어서, 상기 복수는 4 개인 플라즈마 처리방법.
  10. 로딩 챔버와, 언로딩 챔버와 4 개의 처리 챔버 및, 상기 로딩 챔버, 언로딩 챔버 및 복수의 처리 챔버에 각각의 게이트 밸브를 통해 공통으로 접속된 공통 챔버를 포함하는 멀티 챔버 시스템을 제공하는 단계와 하나의 처리 챔버에서 CVD를 실행하는 단계 및, 상기 CVD 실행중에 다른 하나의 처리 챔버를 동시에 클리닝하는 단계를 포함하며: 상기 게이트 밸브는 서로 대향된 2 개의 챔버와 합체되어 있고, 공통 챔버가 이들 사이에 서로 평행하게 삽입되어 있는 플라즈마 처리방법.
  11. 제 10항에 있어서, 상기 클리닝은 불화물 클리닝 객스로 실행되는 플라즈마 처리방법.
  12. 로딩 챔버와, 언로딩 챔버와, 복수의 처리 챔버 및, 상기 로딩 챔버, 언로딩 챔버 및 복수의 처리 챔버에 공통으로 접속된 공통 챔버를 포함하는 멀티 챔버 시스템을 제공하는 단계와, 하나의 처리 챔버의 기판 상에 절연막을 형성하는 단계 및, 상기 절연막 형성동안에, 다른 하나의 처리 챔버를 동시에 클리닝하는 단계를 포함하며: 상기 게이트 밸브는 서로 대향된 2 개의 챔버와 합체되어 있고, 공통 챔버가 이들 사이에 서로 평행하게 삽입되어 있는 플라즈마 처리방법.
  13. 제 12 항에 있어서, 상기 클리닝은 불화물 클리닝 가스로 실행되는 플라즈마 처리방법.
  14. 로딩 챔버와, 언로딩 챔버와, 복수의 처리 챔버 및, 상기 로딩 챔버. 언로딩 챔버 및 복수의 처리 챔버에 공통으로 접속된 공통 챔버를 포함하는 멀티챔버 시스템을 제공하는 단계와, 하나의 처리 챔버의 기판 상에 비 단결정 반도체막을 CVD 에 의해 형성하는 단계 및, 상기 반도체막 형성동안에, 다른 하나의 처리 챔버를 동시에 클리닝하는 단계를 포함하며; 상기 게이트 밸브는 서로 대향된 2 개의 챔버와 합체되어 있고, 공통 챔버가 이들 사이에 서로 평행하게 삽입되어 있는 플라즈마 처리방법.
  15. 제 14 항에 있어서, 상기 클리닝은 불화물 클리닝 가스로 실행되는 플라즈마 처리방법.
  16. 로딩 챔버와, 언로딩 챔버와, 복수의 처리 챔버 및, 상기 로딩 챔버, 언로딩 챔버 및 복수의 처리 챔버에 공통으로 접속된 공통 챔버를 포함하는 멀티챔버 시스템을 제공하는 단계와, 하나의 처리 챔버에서 CVD를 실행하는 단계 및, 상기 CVD 실행동안에, 다른 하나의 처리 챔버를 동시에 클리닝하는 단계를 포함하며: 상기 공통 챔버는 육각형인 플라즈마 처리방법.
  17. 제 16항에 있어서, 상기 클리닝은 불화물 클리닝 가스로 실행되는 플라즈마 처리방법.
  18. 제 16 항에 있어서, 상기 복수는 4 개인 플라즈마 처리방법.
  19. 로딩 챔버와, 언로딩 챔버와, 복수의 처리 챔버 및, 상기 로딩 챔버, 언로딩 챔버 및 복수의 처리 챔버에 공통으로 접속된 공통 챔버를 포함하는 멀티챔버 시스템을 제공하는 단계와, 하나의 처리 챔버의 기판상에 절연막을 형성하는 단계 및, 상기 절연막의 형성동안에, 다른 하나의 처리 챔버를 동시에 클리닝하는 단계를 포함하며: 상기 공통 챔버는 육각형인 플라즈마 처리방법.
  20. 제 19 항에 있어서, 상기 클리닝은 불화물 클리닝 가스로 실행되는 플라즈마 처리방법.
  21. 제 19 항에 있어서, 상기 복수는 4 개인 플라즈마 처리방범.
  22. 로딩 챔버와, 언로딩 챔버와, 복수의 처리 챔버 및, 상기 로딩 챔버, 언로딩 챔버 및 복수의 처리 챔버에 공통으로 접속된 공통 챔버를 포함하는 멀티챔버 시스템을 제공하는 단계와, 하나의 처리 챔버의 기판 상에 비 단결정 반도체막을 CVD 에 의해 형성하는 단계 및, 상기 반도체막의 형성동안에, 다른 하나의 처리 챔버를 동시에 클리닝하는 단계를 포함하며: 상기 공통 챔버는 육각형인 플라즈마 처리방법.
  23. 제 22 항에 있어서, 상기 클리닝은 불화물 클리닝 가스로 실행되는 플라즈마 처리방법.
  24. 제 22 항에 있어서, 상기 복수는 4 개인 플라즈마 처리방법.
KR1019940027196A 1993-10-26 1994-10-25 기판처리장치및방법과박막반도체디바이스제조방법 Expired - Fee Related KR100291971B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP93-291268 1993-10-26
JP29126893A JP3781787B2 (ja) 1993-10-26 1993-10-26 多目的基板処理装置およびその動作方法および薄膜集積回路の作製方法
JP34764593A JPH07183234A (ja) 1993-12-24 1993-12-24 多目的基板処理装置およびその動作方法および薄膜集積回路の作製方法
JP93-347645 1993-12-24
JP93-347646 1993-12-24
JP34764693A JPH07183235A (ja) 1993-12-24 1993-12-24 多目的基板処理装置およびその動作方法および薄膜集積回路の作製方法

Publications (2)

Publication Number Publication Date
KR950012625A KR950012625A (ko) 1995-05-16
KR100291971B1 true KR100291971B1 (ko) 2001-10-24

Family

ID=46276149

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940027196A Expired - Fee Related KR100291971B1 (ko) 1993-10-26 1994-10-25 기판처리장치및방법과박막반도체디바이스제조방법

Country Status (2)

Country Link
US (5) US6482752B1 (ko)
KR (1) KR100291971B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100550422B1 (ko) * 1996-11-13 2006-04-21 어플라이드 머티어리얼스, 인코포레이티드 도핑된실리콘산화물막을사용하여극도로얕은도핑영역을형성하는방법및장치
KR100864493B1 (ko) * 2002-05-23 2008-10-20 삼성전자주식회사 규소층의 표면 처리 방법 및 이를 이용한 박막 트랜지스터기판의 제조 방법

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7097712B1 (en) 1992-12-04 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Apparatus for processing a semiconductor
KR100291971B1 (ko) * 1993-10-26 2001-10-24 야마자끼 순페이 기판처리장치및방법과박막반도체디바이스제조방법
US6897100B2 (en) * 1993-11-05 2005-05-24 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device
JP3801730B2 (ja) * 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US6246070B1 (en) 1998-08-21 2001-06-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device provided with semiconductor circuit made of semiconductor element and method of fabricating the same
JP4493741B2 (ja) * 1998-09-04 2010-06-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6656779B1 (en) * 1998-10-06 2003-12-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor apparatus having semiconductor circuits made of semiconductor devices, and method of manufacture thereof
US6863733B1 (en) 1999-07-15 2005-03-08 Nec Corporation Apparatus for fabricating thin-film semiconductor device
JP3393469B2 (ja) * 1999-07-15 2003-04-07 日本電気株式会社 薄膜半導体素子の製造方法及び薄膜半導体形成装置
TW490714B (en) * 1999-12-27 2002-06-11 Semiconductor Energy Lab Film formation apparatus and method for forming a film
US20020011205A1 (en) 2000-05-02 2002-01-31 Shunpei Yamazaki Film-forming apparatus, method of cleaning the same, and method of manufacturing a light-emitting device
US7517551B2 (en) * 2000-05-12 2009-04-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a light-emitting device
US6720577B2 (en) * 2000-09-06 2004-04-13 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of manufacturing the same
JP4102072B2 (ja) * 2002-01-08 2008-06-18 株式会社東芝 半導体装置
FR2836601A1 (fr) * 2002-02-22 2003-08-29 Thales Sa Antenne monopolaire ou dipolaire a large bande
SG113448A1 (en) * 2002-02-25 2005-08-29 Semiconductor Energy Lab Fabrication system and a fabrication method of a light emitting device
US7076558B1 (en) * 2002-02-27 2006-07-11 Microsoft Corporation User-centric consent management system and method
US7912971B1 (en) 2002-02-27 2011-03-22 Microsoft Corporation System and method for user-centric authorization to access user-specific information
JP2003257875A (ja) * 2002-03-05 2003-09-12 Fujitsu Ltd 半導体装置の製造方法および成膜方法
US6982217B2 (en) * 2002-03-27 2006-01-03 Canon Kabushiki Kaisha Nano-structure and method of manufacturing nano-structure
US7309269B2 (en) * 2002-04-15 2007-12-18 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating light-emitting device and apparatus for manufacturing light-emitting device
US20040035360A1 (en) * 2002-05-17 2004-02-26 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus
TWI336905B (en) * 2002-05-17 2011-02-01 Semiconductor Energy Lab Evaporation method, evaporation device and method of fabricating light emitting device
US20030221620A1 (en) * 2002-06-03 2003-12-04 Semiconductor Energy Laboratory Co., Ltd. Vapor deposition device
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20040040504A1 (en) * 2002-08-01 2004-03-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus
TWI277363B (en) * 2002-08-30 2007-03-21 Semiconductor Energy Lab Fabrication system, light-emitting device and fabricating method of organic compound-containing layer
KR20040021758A (ko) * 2002-09-04 2004-03-11 엘지.필립스 엘시디 주식회사 다결정 실리콘 박막트랜지스터 제조방법
US6759284B2 (en) * 2002-09-06 2004-07-06 Industrial Technology Research Institute Method for polysilicon crystallization by simultaneous laser and rapid thermal annealing
CN100459220C (zh) 2002-09-20 2009-02-04 株式会社半导体能源研究所 制造系统以及发光元件的制作方法
US7334013B1 (en) 2002-12-20 2008-02-19 Microsoft Corporation Shared services management
US7211461B2 (en) * 2003-02-14 2007-05-01 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus
JP4493926B2 (ja) * 2003-04-25 2010-06-30 株式会社半導体エネルギー研究所 製造装置
US7211454B2 (en) * 2003-07-25 2007-05-01 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of a light emitting device including moving the source of the vapor deposition parallel to the substrate
US8123862B2 (en) * 2003-08-15 2012-02-28 Semiconductor Energy Laboratory Co., Ltd. Deposition apparatus and manufacturing apparatus
US7590705B2 (en) * 2004-02-23 2009-09-15 Microsoft Corporation Profile and consent accrual
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP4545504B2 (ja) * 2004-07-15 2010-09-15 株式会社半導体エネルギー研究所 膜形成方法、発光装置の作製方法
US20060105114A1 (en) * 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
TWI247432B (en) * 2004-12-03 2006-01-11 Chunghwa Picture Tubes Ltd Manufacturing method of thin film transistor and poly-silicon layer
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7411213B2 (en) * 2006-04-03 2008-08-12 Chunghwa Picture Tubes, Ltd. Pixel structure, thin film transistor array substrate and liquid crystal display panel
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US20080217563A1 (en) * 2007-03-07 2008-09-11 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device and semiconductor manufacturing apparatus
US8247315B2 (en) * 2008-03-17 2012-08-21 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus and method for manufacturing semiconductor device
JP5431901B2 (ja) * 2008-12-26 2014-03-05 キヤノンアネルバ株式会社 インライン真空処理装置、インライン真空処理装置の制御方法、情報記録媒体の製造方法
US9391209B2 (en) * 2010-02-05 2016-07-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
CN109560140A (zh) * 2010-02-05 2019-04-02 株式会社半导体能源研究所 半导体装置
JP2012151398A (ja) * 2011-01-21 2012-08-09 Toshiba Corp 超臨界乾燥装置及び方法
JP6076584B2 (ja) 2011-02-02 2017-02-08 ラピスセミコンダクタ株式会社 半導体装置及びその製造方法
CN102709184B (zh) * 2011-05-13 2016-08-17 京东方科技集团股份有限公司 含有多晶硅有源层的薄膜晶体管、其制造方法及阵列基板
TWI471989B (zh) * 2012-05-18 2015-02-01 矽品精密工業股份有限公司 半導體封裝件及其製法
KR102206412B1 (ko) * 2012-12-27 2021-01-22 엘지디스플레이 주식회사 박막 트랜지스터, 박막 트랜지스터 제조 방법 및 박막 트랜지스터를 포함하는 표시 장치
CN103745916B (zh) * 2013-12-30 2017-07-28 深圳市华星光电技术有限公司 定义多晶硅生长方向的方法
US11024531B2 (en) * 2017-01-23 2021-06-01 Lam Research Corporation Optimized low energy / high productivity deposition system

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04137522A (ja) * 1990-09-27 1992-05-12 Shimadzu Corp マルチチャンバ式成膜装置
JPH05275519A (ja) * 1992-03-27 1993-10-22 Toshiba Corp 多室型基板処理装置

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4051273A (en) * 1975-11-26 1977-09-27 Ibm Corporation Field effect transistor structure and method of making same
US4266985A (en) * 1979-05-18 1981-05-12 Fujitsu Limited Process for producing a semiconductor device including an ion implantation step in combination with direct thermal nitridation of the silicon substrate
JPS6043869A (ja) * 1983-08-19 1985-03-08 Semiconductor Energy Lab Co Ltd 半導体装置
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
JPS60170234A (ja) * 1984-02-15 1985-09-03 Semiconductor Energy Lab Co Ltd 気相反応装置および気相反応被膜作製方法
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
JPS60245174A (ja) * 1984-05-18 1985-12-04 Semiconductor Energy Lab Co Ltd 絶縁ゲイト型電界効果半導体装置の作製方法
US6786997B1 (en) 1984-11-26 2004-09-07 Semiconductor Energy Laboratory Co., Ltd. Plasma processing apparatus
JPH0752718B2 (ja) 1984-11-26 1995-06-05 株式会社半導体エネルギー研究所 薄膜形成方法
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5215619A (en) * 1986-12-19 1993-06-01 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4748131A (en) * 1987-02-06 1988-05-31 The Aerospace Corporation Method for increasing radiation hardness of MOS gate oxides
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
JP2626910B2 (ja) * 1988-12-12 1997-07-02 日本電信電話株式会社 半導体装置の製造方法
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JPH0322057A (ja) 1989-06-19 1991-01-30 Nec Corp 情報処理装置
JPH0344058A (ja) 1989-07-11 1991-02-25 Hitachi Ltd 半導体装置の製造方法および製造装置
EP0408216A3 (en) * 1989-07-11 1991-09-18 Hitachi, Ltd. Method for processing wafers and producing semiconductor devices and apparatus for producing the same
JPH0370367A (ja) 1989-08-10 1991-03-26 Fuji Photo Film Co Ltd 画像データ圧縮処理装置
US5278093A (en) * 1989-09-23 1994-01-11 Canon Kabushiki Kaisha Method for forming semiconductor thin film
DE69120446T2 (de) * 1990-02-19 1996-11-14 Canon Kk Verfahren zum Herstellen von abgeschiedener Metallschicht, die Aluminium als Hauptkomponente enthält, mit Anwendung von Alkylaluminiumhydrid
EP0456199B1 (en) * 1990-05-11 1997-08-27 Asahi Glass Company Ltd. Process for preparing a polycrystalline semiconductor thin film transistor
US5067218A (en) * 1990-05-21 1991-11-26 Motorola, Inc. Vacuum wafer transport and processing system and method using a plurality of wafer transport arms
DE69125886T2 (de) * 1990-05-29 1997-11-20 Semiconductor Energy Lab Dünnfilmtransistoren
JP2652267B2 (ja) 1990-10-29 1997-09-10 株式会社半導体エネルギー研究所 絶縁ゲイト型半導体装置
JP3179779B2 (ja) 1990-07-24 2001-06-25 株式会社半導体エネルギー研究所 窒化物絶縁膜の作製方法
EP0468758B1 (en) 1990-07-24 1997-03-26 Semiconductor Energy Laboratory Co., Ltd. Method of forming insulating films, capacitances, and semiconductor devices
JP2696265B2 (ja) 1990-09-28 1998-01-14 株式会社半導体プロセス研究所 半導体装置の製造装置
TW237562B (ko) 1990-11-09 1995-01-01 Semiconductor Energy Res Co Ltd
KR940011483B1 (ko) * 1990-11-28 1994-12-19 가부시끼가이샤 도시바 반도체 디바이스를 제조하기 위한 방법 및 이 방법에 의해 제조되는 반도체 디바이스
JP2999271B2 (ja) * 1990-12-10 2000-01-17 株式会社半導体エネルギー研究所 表示装置
JPH05267666A (ja) 1991-08-23 1993-10-15 Semiconductor Energy Lab Co Ltd 半導体装置とその作製方法
JP3556679B2 (ja) 1992-05-29 2004-08-18 株式会社半導体エネルギー研究所 電気光学装置
US6028333A (en) * 1991-02-16 2000-02-22 Semiconductor Energy Laboratory Co., Ltd. Electric device, matrix device, electro-optical display device, and semiconductor memory having thin-film transistors
US5521107A (en) * 1991-02-16 1996-05-28 Semiconductor Energy Laboratory Co., Ltd. Method for forming a field-effect transistor including anodic oxidation of the gate
US5854494A (en) * 1991-02-16 1998-12-29 Semiconductor Energy Laboratory Co., Ltd. Electric device, matrix device, electro-optical display device, and semiconductor memory having thin-film transistors
US5946561A (en) * 1991-03-18 1999-08-31 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
US5324360A (en) * 1991-05-21 1994-06-28 Canon Kabushiki Kaisha Method for producing non-monocrystalline semiconductor device and apparatus therefor
JPH05182923A (ja) * 1991-05-28 1993-07-23 Semiconductor Energy Lab Co Ltd レーザーアニール方法
JP2717236B2 (ja) 1991-06-14 1998-02-18 株式会社 半導体エネルギー研究所 気相反応被膜作製方法
JP2942388B2 (ja) 1991-07-29 1999-08-30 富士通株式会社 半導体製造装置
JP2845303B2 (ja) * 1991-08-23 1999-01-13 株式会社 半導体エネルギー研究所 半導体装置とその作製方法
US5545571A (en) * 1991-08-26 1996-08-13 Semiconductor Energy Laboratory Co., Ltd. Method of making TFT with anodic oxidation process using positive and negative voltages
JP3071940B2 (ja) 1992-04-07 2000-07-31 株式会社半導体エネルギー研究所 絶縁ゲイト型半導体装置の作製方法
JP3238432B2 (ja) * 1991-08-27 2001-12-17 東芝機械株式会社 マルチチャンバ型枚葉処理装置
DE69229314T2 (de) 1991-09-10 1999-11-11 Sharp K.K., Osaka Halbleiteranordnung und Verfahren zur Herstellung
JP2834928B2 (ja) 1991-09-10 1998-12-14 シャープ株式会社 半導体素子
JP2781706B2 (ja) 1991-09-25 1998-07-30 株式会社半導体エネルギー研究所 半導体装置およびその作製方法
US6979840B1 (en) * 1991-09-25 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having anodized metal film between the gate wiring and drain wiring
JPH05152333A (ja) 1991-11-26 1993-06-18 Toshiba Corp 液晶表示装置の製造方法
JPH05152331A (ja) 1991-11-29 1993-06-18 Casio Comput Co Ltd 薄膜トランジスタの製造方法
JPH05259259A (ja) 1992-03-11 1993-10-08 Hitachi Ltd 真空処理装置
JP3150408B2 (ja) 1992-03-16 2001-03-26 株式会社東芝 Cvd装置のプラズマ・クリーニング後処理方法
JPH05326429A (ja) 1992-03-26 1993-12-10 Semiconductor Energy Lab Co Ltd レーザー処理方法およびレーザー処理装置
US5424244A (en) * 1992-03-26 1995-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for laser processing and apparatus for use in the same
JP3154793B2 (ja) 1992-03-27 2001-04-09 株式会社東芝 基板処理装置
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5899709A (en) * 1992-04-07 1999-05-04 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor device using anodic oxidation
JP2737540B2 (ja) 1992-05-06 1998-04-08 カシオ計算機株式会社 薄膜トランジスタ構成膜の成膜方法及び成膜装置
US5807772A (en) * 1992-06-09 1998-09-15 Semiconductor Energy Laboratory Co., Ltd. Method for forming semiconductor device with bottom gate connected to source or drain
JP3254007B2 (ja) 1992-06-09 2002-02-04 株式会社半導体エネルギー研究所 薄膜状半導体装置およびその作製方法
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
CN1052568C (zh) 1992-07-06 2000-05-17 株式会社半导体能源研究所 形成半导体器件的方法
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5258333A (en) * 1992-08-18 1993-11-02 Intel Corporation Composite dielectric for a semiconductor device and method of fabrication
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
TW226478B (en) * 1992-12-04 1994-07-11 Semiconductor Energy Res Co Ltd Semiconductor device and method for manufacturing the same
DE69323716T2 (de) * 1993-01-28 1999-08-19 Applied Materials Verfahren zur CVD-Beschichtung einer Mehrschichtstruktur in einer einzigen Kammer
EP0608620B1 (en) * 1993-01-28 1996-08-14 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
US5523075A (en) 1993-05-13 1996-06-04 Fuerst; Ronnie S. Materials and methods utilizing a temporary visual indicator
KR100291971B1 (ko) * 1993-10-26 2001-10-24 야마자끼 순페이 기판처리장치및방법과박막반도체디바이스제조방법
FR2714357B1 (fr) * 1993-12-27 1996-03-22 Aerospatiale Procédé de minimisation, amortissement ou compensation des perturbations sur un satellite stabilisé par autorotation.
JP3234117B2 (ja) 1994-12-12 2001-12-04 株式会社半導体エネルギー研究所 エッチング方法
JP3459763B2 (ja) 1997-10-17 2003-10-27 キヤノン株式会社 レーザー走査光学系及びそれを用いた画像形成装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04137522A (ja) * 1990-09-27 1992-05-12 Shimadzu Corp マルチチャンバ式成膜装置
JPH05275519A (ja) * 1992-03-27 1993-10-22 Toshiba Corp 多室型基板処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100550422B1 (ko) * 1996-11-13 2006-04-21 어플라이드 머티어리얼스, 인코포레이티드 도핑된실리콘산화물막을사용하여극도로얕은도핑영역을형성하는방법및장치
KR100864493B1 (ko) * 2002-05-23 2008-10-20 삼성전자주식회사 규소층의 표면 처리 방법 및 이를 이용한 박막 트랜지스터기판의 제조 방법

Also Published As

Publication number Publication date
US6482752B1 (en) 2002-11-19
US20100144077A1 (en) 2010-06-10
US7691692B2 (en) 2010-04-06
US20090029509A1 (en) 2009-01-29
US8304350B2 (en) 2012-11-06
US20070173046A1 (en) 2007-07-26
US20020197760A1 (en) 2002-12-26
US7271082B2 (en) 2007-09-18
US7452794B2 (en) 2008-11-18
KR950012625A (ko) 1995-05-16

Similar Documents

Publication Publication Date Title
KR100291971B1 (ko) 기판처리장치및방법과박막반도체디바이스제조방법
US6329229B1 (en) Method for processing semiconductor device, apparatus for processing a semiconductor and apparatus for processing semiconductor device
KR100407392B1 (ko) 반도체장치제작방법
US6168980B1 (en) Semiconductor device and method for forming the same
US6897100B2 (en) Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device
US5587330A (en) Method for manufacturing semiconductor device
US6767760B2 (en) Process of manufacturing a thin-film transistor
JP3977455B2 (ja) 半導体装置の作製方法
KR20070026896A (ko) 액정 표시장치
JP3781787B2 (ja) 多目的基板処理装置およびその動作方法および薄膜集積回路の作製方法
JP3165324B2 (ja) 半導体装置の作製方法
JP3927634B2 (ja) レーザーアニール方法及び薄膜トランジスタの作製方法
JP3122699B2 (ja) 薄膜状半導体装置の作製方法。
JP4001906B2 (ja) 半導体装置の作製方法
JPH07183235A (ja) 多目的基板処理装置およびその動作方法および薄膜集積回路の作製方法
JPH07183234A (ja) 多目的基板処理装置およびその動作方法および薄膜集積回路の作製方法
JP3859946B2 (ja) 半導体装置の作製方法
JP3170533B2 (ja) 薄膜状半導体装置の作製方法
JP3972991B2 (ja) 薄膜集積回路の作製方法
JP3691505B2 (ja) 薄膜集積回路の作製方法及びアクティブマトリクス型液晶表示装置の作製方法
JPH09171965A (ja) 半導体装置の作製方法
JP3560929B2 (ja) 半導体装置の作製方法
JP2001068682A (ja) 半導体装置の作製方法
JP4112451B2 (ja) 半導体装置の作製方法

Legal Events

Date Code Title Description
PA0109 Patent application

Patent event code: PA01091R01D

Comment text: Patent Application

Patent event date: 19941025

PG1501 Laying open of application
A201 Request for examination
PA0201 Request for examination

Patent event code: PA02012R01D

Patent event date: 19971223

Comment text: Request for Examination of Application

Patent event code: PA02011R01I

Patent event date: 19941025

Comment text: Patent Application

E902 Notification of reason for refusal
PE0902 Notice of grounds for rejection

Comment text: Notification of reason for refusal

Patent event date: 20000419

Patent event code: PE09021S01D

E701 Decision to grant or registration of patent right
PE0701 Decision of registration

Patent event code: PE07011S01D

Comment text: Decision to Grant Registration

Patent event date: 20001229

GRNT Written decision to grant
PR0701 Registration of establishment

Comment text: Registration of Establishment

Patent event date: 20010319

Patent event code: PR07011E01D

PR1002 Payment of registration fee

Payment date: 20010320

End annual number: 3

Start annual number: 1

PG1601 Publication of registration
PR1001 Payment of annual fee

Payment date: 20040317

Start annual number: 4

End annual number: 4

PR1001 Payment of annual fee

Payment date: 20050303

Start annual number: 5

End annual number: 5

PR1001 Payment of annual fee

Payment date: 20060216

Start annual number: 6

End annual number: 6

PR1001 Payment of annual fee

Payment date: 20070223

Start annual number: 7

End annual number: 7

PR1001 Payment of annual fee

Payment date: 20080227

Start annual number: 8

End annual number: 8

PR1001 Payment of annual fee

Payment date: 20090226

Start annual number: 9

End annual number: 9

PR1001 Payment of annual fee

Payment date: 20100310

Start annual number: 10

End annual number: 10

PR1001 Payment of annual fee

Payment date: 20110314

Start annual number: 11

End annual number: 11

FPAY Annual fee payment

Payment date: 20120220

Year of fee payment: 12

PR1001 Payment of annual fee

Payment date: 20120220

Start annual number: 12

End annual number: 12

FPAY Annual fee payment

Payment date: 20130219

Year of fee payment: 13

PR1001 Payment of annual fee

Payment date: 20130219

Start annual number: 13

End annual number: 13

LAPS Lapse due to unpaid annual fee
PC1903 Unpaid annual fee

Termination category: Default of registration fee

Termination date: 20150209