[go: up one dir, main page]

CN105088177A - 后侧沉积装置和应用 - Google Patents

后侧沉积装置和应用 Download PDF

Info

Publication number
CN105088177A
CN105088177A CN201510266980.4A CN201510266980A CN105088177A CN 105088177 A CN105088177 A CN 105088177A CN 201510266980 A CN201510266980 A CN 201510266980A CN 105088177 A CN105088177 A CN 105088177A
Authority
CN
China
Prior art keywords
substrate
front side
wafer
deposition
rear side
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510266980.4A
Other languages
English (en)
Other versions
CN105088177B (zh
Inventor
金润相
考斯克·查托帕亚
格雷戈瑞·塞克斯顿
洪延姬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105088177A publication Critical patent/CN105088177A/zh
Application granted granted Critical
Publication of CN105088177B publication Critical patent/CN105088177B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32403Treating multiple sides of workpieces, e.g. 3D workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)
  • Diaphragms For Electromechanical Transducers (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

本发明涉及后侧沉积装置和应用。本文所公开的实施方式涉及用于在衬底的前侧或者后侧上沉积应力补偿层和牺牲层的方法和装置。在不同实施方式中,后侧沉积在晶片处于通常的前侧向上的方向上时进行。可以执行前侧/后侧沉积以减少由晶片前侧上的沉积所引入的应力。还可以执行后侧沉积来最小化在例如光刻等后沉积处理期间发生的后侧颗粒相关的问题。

Description

后侧沉积装置和应用
技术领域
本发明总体上涉及半导体处理领域,更具体地涉及后侧沉积装置和应用。
背景技术
半导体设备制造经常涉及层堆叠在下伏的晶片衬底上的沉积。典型地,待形成的大多数沉积和其他处理发生在晶片的前表面上。在创建沉积层时,它们可能会在晶片中引入应力。这种应力能够导致晶片弯曲,这是不期望的。当弯曲显著时,其可能有害地影响后续的处理步骤。
在半导体设备制造器件出现的另一问题是在后沉积处理期间在晶片的后侧上颗粒的产生。这些颗粒可能在晶片中造成局部应力反应,这在光刻操作中尤其成为问题。
因此,需要改进的方法和装置,以克服与晶片应力和在晶片后侧上出现颗粒相关的问题。
发明内容
本发明的某些实施方式涉及用于在衬底的后侧沉积膜的方法和装置。这样的后侧沉积可以在不接触衬底前侧上的活性区域的情况下发生,由此防止对于衬底前侧的损害。后侧沉积在克服归因于在晶片前侧上沉积材料所造成的应力的情况下是有用的。进一步地,后侧沉积对于在衬底后侧上形成牺牲层是有用的,这将有益于减少后侧颗粒效应。否则这样的后侧颗粒可能在例如光刻操作等敏感处理中带来问题。
在本发明的实施方式的一个方面,提供了用于在衬底的后侧上沉积膜的方法,该方法包括提供包含前侧和后侧的衬底给沉积反应器,所述衬底的所述前侧包含活性区域,所述活性区域包含前侧沉积材料;将所述衬底固定在所述沉积反应器内,使得位于所述衬底的所述前侧的所述活性区域不会接触到所述沉积反应器的任意部分;以及将所述膜沉积在所述衬底的所述后侧上而不在所述衬底的所述前侧上沉积膜。
在一些实施方式中,将所述衬底固定在所述沉积反应器内包括将所述衬底加载到所述沉积反应器内的支撑结构之内或者之上,其中加载所述衬底以使得在所述晶片的所述前侧上的所述活性区域暴露于前侧间隔并且所述晶片的所述后侧基本暴露于沉积区域。该方法还包括使惰性气体流过所述前侧间隔。这样的惰性气体有助于将沉积化学物质保持在前侧间隔之外,由此防止在所述晶片的前侧上沉积。在多种情况下,沉积在所述衬底的后侧上的所述膜为电介质膜。可沉积的所述电介质膜的实施例包括但不限于硅氧化物和硅氮化物。
在某些情况下,所述前侧沉积材料会使得所述衬底弯曲。在所述衬底的所述后侧上沉积所述膜可以包括将所述膜沉积到足以使所述晶片的所述弯曲减小到约150μm或者更小的弯曲高度的厚度。在这些或其他情况下,在衬底的后侧上沉积膜还可以包括使所述膜沉积到一厚度,该厚度足以将晶片中的应力大小减小到约150MPa或以下。例如,沉积在所述晶片的所述后侧上的所述膜的厚度可以小于约2μm,并且该厚度可以小于所述前侧沉积材料的厚度。
在某些实施方式中,沉积在所述衬底的所述后侧上的膜为牺牲层。该牺牲层可以在后续的处理步骤中去除。在一个实施方式中,该方法进一步包括在所述衬底的所述后侧上沉积所述膜之后,通过由蚀刻、沉积、离子注入、等离子体清洁以及湿式清洁所构成的组中的一个或者多个处理对所述衬底的所述前侧进一步处理;以及去除所述膜,其中,进一步处理所述衬底的所述前侧致使在所述膜上形成颗粒;以及去除所述膜,其中去除所述膜也去除所述膜上的所述颗粒。该方法可以进一步包括在去除所述膜之后在所述衬底上执行光刻。
在另一实施方式中,该方法进一步包括:在所述衬底的所述后侧上沉积所述膜之前,通过由蚀刻、沉积、离子注入、等离子体清洁以及湿式清洁所构成的组中的一个或者多个处理对所述衬底的所述前侧进一步处理,其中,处理所述衬底的所述前侧致使在所述衬底的所述后侧上形成颗粒;其中,执行在所述衬底的所述后侧上沉积所述膜,使得在所述衬底的所述后侧上的所述颗粒由所述膜覆盖;当所述膜出现在所述衬底的所述后侧上时执行光刻;以及在执行光刻之后从所述衬底的所述后侧去除所述膜。
在所公开的实施方式的另一方面,提供了一种用于在衬底的后侧上沉积膜的装置,该装置包括:反应室;反应室内的衬底支撑机构,其配置成将所述衬底支撑在其周边或者在其周边附近,使得所述衬底的所述前侧上的活性区域不会接触到所述沉积反应器的任意部分,并且使得所述衬底的所述后侧基本暴露;沉积区域,其通过现有的所述衬底的底侧被限定在一侧;前侧间隔,其通过现有的所述衬底的前侧被限定在一侧;顶侧进口,其用于提供气体到所述前侧间隔;以及沉积区域进口,其用于提供气体到所述沉积区域。
该装置可以进一步包括控制器,该控制器包括用于在所述衬底的所述后侧上沉积所述膜而不在所述衬底的前侧上沉积膜的指令。例如,该控制器可以具有使一种或者多种反应物气体流动通过沉积区域进口并且使惰性气体流动通过顶侧进口的指令。该控制器还可以具有通过移动衬底支撑机构和/或衬底支撑机构之上的表面来控制前侧间隔的高度的指令。在这些或其他情况下,反应器的一个或者多个部分可以是可移动的,从而使得所述前侧间隔的高度和/或所述沉积区域的高度可以改变。
在一些情况下,所述衬底支撑机构可以包括支撑环。在其他情况下,多个销状物可以用作衬底支撑机构。
在所公开的实施方式的另一方面,提供了一种多工具式半导体处理装置,其包括:前侧模块,其用于在衬底的前侧上沉积材料;后侧模块,其用于在所述衬底的后侧上沉积材料而基本不会接触到所述衬底的所述前侧上的活性区域,其中所述后侧模块配置成输送气相反应物;以及控制器,其包括用于在所述前侧模块内在所述衬底的所述前侧上沉积材料的指令、用于输送所述衬底到所述后侧模块的指令、以及在所述衬底的所述后侧上沉积材料而不会同时在所述衬底的所述前侧上沉积膜的指令。所述多工具式装置还进一步包括用于蚀刻衬底的蚀刻模块。
这些和其他特征将参考相关附图在下面进行叙述。
附图说明
图1A示出了用于在晶片后侧上沉积膜的方法的流程图。
图1B描述了根据某些实施方式的具有前侧应力补偿层的衬底的剖视图。
图2A示意了在其上具有沉积材料堆叠的弯曲的晶片。
图2B示意了在晶片后侧上沉积材料层之后的图2A中所示晶片。
图3A和3B描述了根据使用牺牲后侧层的某些实施方式在制造期间位于不同时点的半导体衬底的一部分的剖视图。
图4A示出了可用于根据某些实施方式在晶片后侧上沉积材料的反应室的简单视图。
图4B示出了图4A中所示的后侧沉积装置的一部分的封闭视图。
图5描述了可用于根据某些实施方式在晶片的前侧上沉积材料的反应室的简化剖视图。
图6示意了可用于某些实施方式中的蚀刻室的简化剖视图。
图7描绘了可用于某些实施方式中的剥离/去除室的简化剖视图。
图8示出了根据特定实施例可用于在晶片的前侧和后侧上沉积材料以及蚀刻晶片的多工具式装置的俯视图。
具体实施方式
在本申请中,术语“半导体晶片”,“晶片”,“衬底”,“晶片衬底”以及“部分制造的集成电路”是可交换地使用的。本领域的技术人员将理解,术语“部分制造的集成电路”可以指在其上的集成电路制造的多个阶段中的任意一个阶段期间的硅晶片。在半导体设备行业中使用的晶片或者衬底典型地具有200mm或者300mm的直径,但本行业正在逐渐采纳450mm的直径的衬底。这里的说明使用术语“前”和“后”来描述晶片衬底的不同侧。要理解,前侧是绝大多数沉积和处理发生的一侧,并且是制作半导体设备本身的一侧。后侧是晶体的相对侧,其在制造期间通常经历最少处理或者无处理。
除非有其他限定,本公开中提到的流率和功率电平是适用于在300mm衬底上进行处理。本领域的普通技术人员将理解,这些流率和功率电平可以根据其他尺寸的衬底需要进行调整。下文的详细说明假设本发明是在晶片上实现的。然而,本发明并不限于此。工件可以是各种形状,各种尺寸和材料的。除了半导体晶片之外,可以利用本发明的其他工件还包括各种物件,例如印刷电路板等。
在下面的叙述中,将叙述多个具体细节以提供对所公开实施方式的全面理解。所公开的实施方式可以在没有这些具体细节的一些或者全部的情况下实施。在其他实施例中,已知的处理操作没有详细叙述以免不必要地模糊所公开的实施方式。在所公开的实施方式结合具体实施方式进行叙述时,要理解,这并非意图限制所公开的实施方式。
方法
如上所述,在晶片衬底前侧上的材料沉积可以导致晶片中的应力和弯曲问题。这些问题特别可能出现在大量材料堆叠沉积的地方,例如在3D-NAND设备的背景下。晶片弯曲可以引起后续处理步骤中的复杂情况。例如,如果弯曲过大则晶片不能被正确地夹持。此外,特定处理步骤(例如光刻)是非常精确的,如果晶片在处理时不是相当平坦的,则会造成不良结果。
克服应力和弯曲问题的一种技术是在晶片的后侧沉积膜。该后侧膜对来自前侧沉积的应力产生反作用以产生中性应力(或者大致中性的压力,例如小于约+/-150MPa)晶片,该晶片显示无弯曲(或者大致无弯曲,例如小于约150μm的弯曲)。如果在前侧所沉积的膜是拉伸的,那么后侧膜应当也是拉伸的,以平衡总的应力。同样地,如果前侧膜是压缩的,那么后侧膜应当也是压缩的。后侧膜可以通过多种反应机制(例如,化学气相沉积(CVD),等离子体增强化学气相沉积(PECVD),原子层沉积(ALD),等离子体增强原子层沉积(PEALD),低压化学气相沉积(LPCVD)等等)进行沉积。在各种情况下,使用等离子体增强化学气相沉积,因为在这种反应类型下实现高沉积率。
特定沉积参数可以调节以生成具有理想应力水平的后侧膜。这些沉积参数之一是所沉积的后侧膜的厚度。较厚的膜在晶片中引入较大的应力,而较薄的膜(相同组成并且在相同条件下沉积)在晶片中引入较小的应力。因此,为了最小化在形成后侧层上所消耗的材料量,该层可以在促进形成高应力膜的条件下相对薄地沉积。
可调整以影响由后侧(或者前侧)膜所引入应力的其他参数包括生成等离子体的功率(例如,RF功率)、等离子体的RF频率、等离子体的暴露时间、衬底和反应室的温度、反应室内的压强、惰性气体的流动、反应物的组成等等。本段内容涉及在不同沉积条件下在硅氮化物膜中可见的改变。当用于生成等离子体的RF功率的高频(HF,例如约13.5MHz)分量增大时,膜的拉伸应力响应增强,并且压缩应力响应显示大致无变化。HFRF频率示例可以介于约13.56-60MHz之间。HFRF功率示例可以介于每站约0-2500瓦特之间。当用于生成等离子体的RF功率的低频(LF,例如约356kHz)分量增加时,膜的拉伸应力响应减小,并且膜的压缩应力响应增大。LFRF频率示例可以介于约200KHz-4MHz之间。LF功率示例可以介于每站约0-2500瓦特之间。在不同情况下,LF+HF功率一起可以介于每站约0-2500瓦特之间。当等离子体暴露时间和/或占空比增加时,应力响应可以如上所示地改变,具体取决于所使用的频率以及所涉及的膜应力类型。示例性的暴露时间取决于所进行的频率沉积的类型。例如,等离子体增强化学气相沉积涉及以相对长的时间周期暴露于等离子体,而等离子体增强原子层沉积涉及以短得多的时间周期反复暴露于等离子体。当衬底的温度在沉积期间升高时,膜的拉伸应力响应和压缩应力响应增强。衬底和室的温度示例也取决于衬底处理,但可以是介于约20-400℃之间。当反应室内的压强在沉积期间增大时,膜的拉伸应力响应增大,并且膜的压缩应力响应减小。室压强的示例介于约1-4托之间。当在沉积期间输送到反应室的惰性气体流增加时,拉伸应力响应显示无变化,并且压缩应力响应增强。针对惰性气体的流率示例可以介于约100-5000sccm之间。可影响膜应力的另一参数是电极间隔。由于电极间隔影响可对膜上密度产生影响的晶片上的E区,因此电极间隔是重要的。当电极间隔增大时,在拉伸应力响应方面无响应,而压缩应力响应减小。电极间隔示例可以是介于约5-30mmm。与后侧沉积管理的其他反应参数将会进一步在以下进行讨论。
可能影响膜中的应力程度的另一变量是膜的含氢量,其能够由NH3或者其他含氢反应物的流量控制。以上讨论的一个或者多个变量也可以直接地或者间接地影响膜的含氢量。具有较低含氢量的膜显示更中性的应力水平。用于改变由前侧沉积所诱发的应力的一种技术手段是形成具有较低含氢量的膜(在晶片前侧)。然而,膜的含氢量还对膜的介电常数具有显著影响。因此,只存在一个窄窗,在该窄窗中,含氢量可以改变而维持理想的介电常数。后侧沉积克服了与可用于沉积前侧材料的小的处理窗相关的问题。
如所提到的,沉积材料的堆叠尤其可能导致晶片应力和弯曲。可造成这些问题的一个堆叠示例是具有氧化物和氮化物的交替层(例如,硅氧化物/硅氮化物/硅氧化物/硅氮化物,等等)。可能导致弯曲的另一堆叠示例包括氧化物和多晶硅的交替层(例如,硅氧化物/多晶硅/硅氧化物/多晶硅,等等)。可能成为问题的堆叠材料的其他示例包括(但不限于)钨和氮化钛。堆叠中的材料可以是通过化学气相沉积技术(例如,等离子体增强化学气相沉积(PECVD),低压化学气相沉积(LPCVD),金属有机化学气相沉积(MOCVD),原子层沉积(ALD),等离子体增强原子层沉积(PEALD))沉积,或者通过直接的金属沉积(DMD)等沉积。这些示例并非意指是限制性的。无论何时,当由于在晶片前侧上出现的材料而诱发晶片应力和/或弯曲时,特定的所公开实施方式可能是适用的。
前侧堆叠可以被沉积成任意数量的层以及任意厚度。在一个典型的实施例中,堆叠包括介于约32-72层之间,并且具有介于约2-4μm的总厚度。在晶片中由堆叠所诱发的应力可以介于约-500MPa至约+500MPa之间,导致频繁介于约200-400μm(对于300mm晶片而言)的弯曲,且在一些情况下该弯曲甚至更大。
在各种实施方式中,沉积在晶片的后侧上的材料可以是电介质材料。在一些情况下,使用氧化物和/或氮化物(例如,硅氧化物/硅氮化物)。可用的含硅反应物的示例包括(但不限于)硅烷、卤硅烷以及氨基硅烷。硅烷含有氢和/或碳基团,但是不含有卤素。硅烷的示例为硅烷(SiH4)、乙硅烷(Si2H6)以及有机硅烷(例如甲基硅烷、乙基硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷、二乙基硅烷、二叔丁基硅烷、烯丙基硅烷、仲丁基硅烷、叔己基硅烷,异戊硅烷,叔丁基二硅烷,二叔丁基二硅烷,和类似物)。卤硅烷含有至少一个卤素基团,并且可以含有或可以不含有氢和/或碳基团。卤硅烷示例为碘硅烷、溴硅烷、氯硅烷和氟硅烷。尽管卤硅烷(特别是氟硅烷)可以形成能蚀刻硅材料的活性卤化物类,但在本文所述的某些实施方式中,在激励等离子体时,含硅反应物并不存在。特定的氯硅烷是四氯硅烷(SiCl4)、三氯硅烷(HSiCl3)、二氯硅烷(H2SiCl2)、单氯硅烷(ClSiH3)、氯代烯丙基硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二叔丁基氯硅烷,氯异丙酯硅烷、氯仲丁基硅烷、叔丁基二甲基氯硅烷、叔己基二甲基氯硅烷、和类似物。氨基硅烷包括键合到硅原子的至少一个氮原子,而且还可以含有氢、氧、卤素和碳。氨基硅烷的示例为单氨基硅烷、双氨基硅烷、三氨基硅烷以及四氨基硅烷(分别为H3Si(NH2)4,H2Si(NH2)2,HSi(NH2)3,Si(NH2)4),以及经取代的单氨基硅烷、双氨基硅烷、三氨基硅烷以及四氨基硅烷,例如叔丁氨基硅烷、甲基氨基硅烷、叔丁基硅烷胺、二(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS)、叔丁基甲硅烷基氨基甲酸、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3以及类似物。氨基硅烷的另一示例为三甲硅烷胺(N(SiH3))。其他潜在的含硅反应物包括正硅酸乙酯(TEOS),以及环状和非环状的TEOS变体,如四甲氧基硅烷(TMOS)、氟三乙氧基硅烷(FTES)、三甲基硅烷(TMS)、八甲基四环硅氧烷(OMCTS)、四甲基环四硅氧烷(TMCTSO)、二甲基二甲氧基硅烷(DMDS)、六甲基二硅氮烷(HMDS)、六甲基二硅氧烷(HMDSO)、六甲基环三硅氧烷(HMCTSO)、二甲基二乙氧基硅烷(DMDEOS)、甲基三甲氧基硅烷(MTMOS)、四甲基二硅氧烷(TMDSO)、二乙烯基四甲基二硅氧烷(VSI2)、甲基三乙氧基硅烷(MTEOS)、二甲基四甲氧基二硅氧烷(DMTMODSO)、乙基三乙氧基硅烷(ETEOS)、乙基三甲氧基硅烷(ETMOS)、六甲氧基乙硅烷(HMODS)、二(三乙氧基甲硅烷基)乙烷(BTEOSE)、双(三甲氧基甲硅烷基)乙烷(BTMOSE)、二甲基乙氧基硅烷(DMEOS)、四乙氧基二甲基二硅氧烷(TEODMDSO)、四(三甲基硅氧基)硅烷(TTMSOS)、四甲基二乙氧基二硅氧烷(TMDEODSO)、三乙氧基硅烷(TIEOS)、三甲氧基硅烷(TIMEOS)、或四丙氧基硅烷(TPOS)。
含氮反应物的示例包括(但不限于)氨、肼、胺类(例如,含碳胺),所述胺例如甲胺,二甲胺,乙胺,异丙胺,叔丁胺,二叔丁胺,环丙胺,仲丁胺,环丁胺,异戊胺,2-甲基丁-2-胺,三甲胺,二异丙基胺,二乙基异丙基胺,二叔丁基肼,以及含芳胺(如苯胺类,吡啶类和苄胺类)。胺类可以是伯胺类、仲胺类、叔胺类、季胺类(例如,四烷基铵化合物)。含氮反应物可包含除氮以外的杂原子,例如,羟胺,叔丁氧羰胺和N-叔-丁基羟胺是含氮反应物。
含氧共反应物的实例包括氧气、臭氧、一氧化二氮、一氧化碳、一氧化氮、二氧化氮、硫氧化物、二氧化硫、含氧烃类(CxHyOz)、水、及它们的混合物等。
这些反应物的流率将主要取决于用于沉积后侧层的反应类型。在CVD/PECVD被用于沉积后侧层时,含硅反应物的流率可以是介于约0.5-10mL/min之间(在原子化之前),例如是介于约0.5-5mL/min之间。含氮反应物的流率、含氧反应物的流率、或者其他共反应物的流率可以是介于约3-25SLM之间,例如是介于约3-10SLM之间。
在某些实施方式中,后侧层可以在下一处理之后被移除。当为这种情况时,应当选择后侧层的组成,从而使其能容易在适当时间点从衬底移除。在此方面,在理想的去除化学物质中应当在后侧层材料(例如电介质)和下伏衬底材料(例如硅)之间存在高选择性。
后侧层的最佳厚度将依赖于由在晶片前侧上沉积所诱发的应力大小以及后侧层沉积所用的条件。后侧层可以被沉积成具有使晶片中的应力变得可忽略(例如,小于约150MPa)的厚度。在这些或其他实施方式中,后侧层可以被沉积成具有使晶片中的弯曲变得可忽略(例如,小于约150μm的弯曲)的厚度。在一些情况下,这对应于介于约0.1-2μm之间的后侧层厚度,例如介于约0.3-1μm之间、或者介于约0.1-1μm之间的后侧层厚度。当硅氮化物被用以形成后侧层时,具有约0.3μm厚度的膜足够减少约50-200μm的弯曲。如上所述,高应力的后侧层膜可以被用于减少层的理想厚度。这有助于节省材料和降低成本。
在特定情况下,后侧沉积可以通过下述方式来实现:从沉积工具移除晶片、将晶片翻转、将晶片上下倒置地放回沉积工具内、以及在晶片处于其上下倒置的位置时在晶片后侧进行沉积。需要附加的处理步骤以在后侧沉积期间保护晶片的前侧。例如,在晶片被移除和翻转之前,可以在晶片前侧沉积一个或者多个保护层。这些保护层保护重要的下伏设备层/结构,从而使对贵重晶片前侧的损害最小化。没有保护层的沉积,对于晶片前侧的损害可能出现在晶片以其上下倒置的取向被把持、移送、夹持以及解除夹持等等情况下。例如,未受保护的晶片前侧可能被刮伤、凹陷、或者在其开始与卡盘接触时受到其他损伤。虽然保护层在后侧沉积期间保护晶片方面是有益的,但保护层的形成涉及额外处理步骤以及材料,其在直接的后侧沉积可用时是可用避免的。
因此,在特定其他情况下,后侧沉积在这样一种装置内执行:该装置被特别设计来在晶片后侧上沉积,甚至当晶片处于适当侧向上的取向(即,晶片前侧指向上方)时也如此。这种方法消除了在后侧沉积出现之前在晶片前侧形成保护层的需要。由于后侧沉积可以在无任何组件把持晶片前侧或者类似地无任何组件接触晶片前侧的情况下进行,因此不再需要保护层。在一些实施方式中,沉积装置可以用于在晶片的前侧和后侧上均沉积,而不用将晶片翻转(即,沉积装置可以既执行前侧沉积又执行后侧沉积而不改变晶片的取向)。在这种情况下,装置的各种组件可以被包含在反应室的顶部和底部(例如,喷头或者气体进口、出口、板或者提供晶片的现有非镀敷面和板之间的窄间隔的其他组件、电气连接件,等等)。
图1A提供了在晶片的后侧进行沉积的方法的流程图。方法100开始于步骤101,在该步骤中,晶片被加载进后侧沉积室内。以下与图4A和4B关联地进一步地描述了适当的后侧沉积室。晶片以适当侧向上的取向被加载,晶片的前侧朝向室顶部指向上方。在步骤103中(其可以与步骤101同步发生),晶片由定位在晶片周边的支撑环所支持/把持。所述环被定位成将晶片支撑在沉积将发生的间隔的上方。该间隔有时是指沉积区域,并且包括位于晶片后侧下方的区域以及定位在室底部的任意硬件(例如喷头或者其他进口,其可充当下电极)的上方区域。所述环被定型为使得晶片后侧基本暴露于沉积区域。在一些实施方式中,所述环和定位在晶片上方的顶部电极可以是可移动的,从而使得沉积区域的高度可变化。在不同情况下顶部电极可以是接地板,并且可加热或者可不加热。这种可移动性可以有助于提供灵活性处理。
在步骤105中,一种或者多种气相反应物流入反应室,从而使晶片后侧暴露于反应物。用于导入反应物的一个进口或者多个进口可以被定位在支撑环的下方,而使反应物直接进入沉积区域。在一些情况下,位于室/反应区域的底部的喷头被用于传输反应物。在步骤107(该步骤可以与步骤105同步地发生)中,惰性气体在晶片的前侧流动。典型地,气体被传输至直接定位在晶片前侧上方的相对小的间隔(也被称为前侧间隔)。前侧间隔应当相对小/短,例如具有小于等离子体鞘层厚度的高度。前侧间隔典型地与晶片表面大致相同地伸展。短的前侧间隔的使用有助于确保晶片前侧在后侧沉积期间受到保护,因为等离子体不能够穿透较小的前侧间隔。输送到前侧间隔的惰性气体进一步帮助保护晶片前侧。在特定情况下,惰性气体被输送至靠近晶片中心的前侧间隔。从此处,惰性气体在晶片的前侧上朝外流动。这种流动模式有助于从前侧间隔清扫任意引起沉积的反应物,从而进一步保护前侧不受沉积或者其他损害的影响。输送到晶片前侧的惰性气体的流率可以是介于约100-5000sccm之间。在不同情况下,限定前侧间隔的顶部表面(例如,加热器、接地板、室顶部、喷头、或者其他表面)是可移动的,从而使前侧间隔的高度是可调节的。这可以提供更多灵活性并且容易实现加载和卸载晶片,并且还可以通过使室的几何形状在处理之间或者在处理期间能改变,有助于提供灵活性处理。
方法100在步骤109继续,在该步骤中,等离子体被生成以驱动致使在晶片后侧材料沉积的反应。在某些实施方式中,在沉积期间衬底可以是DC接地。在许多情况下等离子体可以在上电极和下电极之间生成以制造电容耦合的等离子体。步骤109可以与步骤105和107同步出现,例如,在沉积通过PECVD沉积进行的情况下同步出现。在其他情况下(例如,当沉积通过ALD处理进行时),反应物输送和等离子体生成可以以循环方式出现,并且可以重叠或者可以不重叠。反应物输送和定时将在以下进一步讨论,但本领域的普通技术人员将理解,可以使用任意合适类型的沉积方案(反应类型,反应物定时,等离子体定时,清扫/清除的使用,等等)。反应物输送105、惰性气体输送107以及等离子体暴露109继续(或者重复)直至后侧膜被沉积到理想的厚度。
化学气相沉积(包括等离子体增强化学气相沉积)是常常被选择用于在衬底后侧沉积硅氧化物膜或者其他膜的方法。在CVD反应中,一种或者多种气相反应物被输送到反应室并且进行气相反应,该气相反应在反应室内沉积产品在衬底的表面上。当使用PECVD时,气相反应通过暴露于等离子体驱动。PECVD反应对于后侧沉积特别适用,因为其实现了快速沉积率并且使得后侧层的应力能按要求进行调整。PECVD反应还会是实用的,因为其相对于其他方法(例如,ALD和CFD)是较不共形的,在覆盖后侧颗粒方面,较低的共形能力是有帮助的,下文进一步讨论。
VCD的另一替换例是原子层沉积处理和等离子增强原子层沉积处理。ALD/PEALD方法涉及反应物气体的自限式吸附,并且能提供薄的、共形的电介质膜。ALD方法已被研发用于硅氧化物沉积以及其它类型的膜的沉积。通过ALD生成的膜是非常薄的(例如,约一个单层),因此,可能重复执行许多个ALD循环以实现具有理想厚度的膜,并且相比于CVD处理,其可能需要相当长的时间来实现理想的厚度。
相比于化学气相沉积(CVD)处理,其中,使用激活的气相反应来沉积膜,ALD处理使用表面介导的沉积反应以逐层地沉积膜。例如,在一示例性ALD处理中,衬底的表面(包括成群的表面活性位点)被暴露于第一膜前体(P1)的气相分布。P1的一些分子可以在衬底表面上形成稠相,稠相包括P1的化学吸附物质和物理吸附分子。然后,可以排空反应室以除去气相和物理吸附的P1,使得只有化学吸附物质遗留。随后可将第二膜前体(P2)引入到反应室中,使得P2的一些分子吸附到衬底表面。反应室可再次抽空,这一次是除去未结合的P2。接着,提供到衬底的能量(例如,热能或等离子体能量)活化P1和P2的吸附分子之间的表面反应,从而形成膜层。最后,反应室被抽空以除去反应副产物以及可能未反应的P1和P2,结束ALD的单个循环。也可以包括附加的ALD循环来创建膜厚度。
取决于前体投配步骤的暴露时间和前体的粘附系数,每个ALD循环在一个实施例中可以沉积介于约厚的膜层
对于CVD,提供可行的替代技术的一种相关沉积技术是共形膜沉积(CFD)。通常,CFD并不依赖于在反应之前一种或者多种反应物的完全清除来形成膜。例如,当等离子体(或者其它活性能量)被激励时,可以存在一种或者多种在气相状态下的反应物。因此,在ALD处理中所描述的处理步骤中的一个或者多个(例如,清除/清扫步骤)可以在一个CFD处理实施例中缩短或者消除。在一些情况下,反应物可以连续地提供。所述连续提供的反应物通常为共反应物/辅助反应物(例如,含氧反应物或者含氮反应物,其与主要反应物相对,主要反应物如促使硅到最终膜的主要反应物)。通常需要(甚至在CFD处理中)使得在处理室暴露于等离子体时在处理室内未吸收的基本反应物的量最小化。典型地,能够执行ALD处理的室还能够执行CFD处理,并且如本文所使用的,CFD处理被视为ALD处理的一种类型。
用于克服本文所述的应力和弯曲问题的另一技术手段是将晶片前侧上的应力补偿层包括在内。应力补偿层可以在导致特定水平的应力的条件下沉积,该特定水平的应力将前侧层的剩余部分的应力抵消。例如,如果前侧沉积(排除应力补偿层)导致压缩应力,则前侧应力补偿层可以在导致可拉伸应力的条件下沉积,由此抵消来自剩余前侧层的压缩应力。这样的应力补偿层可以被定位在层堆叠(例如,氧化物和多晶硅的交替层,或者氧化物和氮化物的交替层,等等)的顶部以及在一些情况下定位在硬掩膜层之下。这种配置可以尤其适用在制造3D-NAND设备的背景下。
图1B示意了具有蚀刻停止层151、氧化物/多晶硅交替层堆叠153、前侧应力补偿层155、含碳硬掩膜层157以及PECVD沉积硅氮氧化物层159的衬底150。在某些实施方式中,应力补偿层155还可以是蚀刻停止层。前侧应力补偿层155的优选厚度将取决于由其他前侧层所诱发的应力、以及该补偿层155自身的应力。相对比较小应力的层,所沉积的在较大应力水平下的应力补偿层能够有效地克服在较薄厚度处的应力。在一些实施方式中,应力补偿层是约0.1μm厚或者更厚。在这些或者其他实施方式中,应力补偿层可以是约1μm厚或者更薄。在特定实施例中,应力补偿层是约0.3μm厚。
应力补偿层可以由展示高应力水平的多种材料制成。在一些情况下,该层是由高压缩或者高延伸的氧化物或者氮化物材料制成。在一些实施方式中,前侧应力补偿层还可以是由高应力金属材料制成。例如,钨膜可以是以高程度的拉伸应力沉积。这样的钨层可以在材料堆叠内沉积或者在材料堆叠上沉积。钨层可以作为应力补偿层以及硬掩膜层两者。在其他情况下,钨层作为应力补偿层,并且被与作为硬掩膜的另一层一起被提供。在很多情况下,选择用于应力补偿层的材料应当是容易通过湿式和/或干式蚀刻处理去除的。在某些实施方式中,应力补偿层可以在后续的处理期间被去除,例如在一些情况下在光刻操作之后被去除。例如,应力补偿层可以通过蚀刻或者清洁处理进行去除。应力补偿层的应力水平可以通过控制本文其他处所述的沉积参数进行调节。
应力补偿层的另一优势在于,无论存在于晶片的前侧还是后侧,相对于用于硬掩膜层的材料实现了较宽范围的处理区域。通常,理想的是使用高选择性的硬掩膜材料。然而,许多高选择性的硬掩膜材料还倾向于具有高水平的应力。因此,为了避免加剧晶片应力问题,在晶片应力成为问题的情况下通常不使用这些高选择性的硬掩膜材料。另一方面,在晶片应力与前侧或者后侧补偿层相互抵消的情况下,甚至可以使用高选择性的、相对高应力的硬掩膜材料。在这种情况下,应力补偿层可以被设计成克服由所有的前侧沉积材料(包括硬掩膜层)所引起的应力。
图2A和2B示意了上述的晶片应力问题。图2A示出了具有沉积在其上的材料堆叠202的晶片201。所沉积的堆叠202施加应力在下伏的晶片201上,导致图2A可见的弯曲形状。图2B示出了带有沉积在前侧的材料堆叠202以及沉积在后侧的后侧层204的相同晶片201。后侧层204抵消由前侧堆叠202所引起的应力。这种应力抵消导致平坦得多的衬底,带有极小到无的弯曲。晶片201的厚度、前侧堆叠202的厚度以及后侧层204的厚度无需被放大。进一步,弯曲程度可以在图2A中放大以更好地示意所述问题。在特定情况下,对于晶片弯曲可接受的误差为小于约150μm,其竖直地测量从晶片的最低高度到晶片的最高高度而得。此距离在图2A中被标记为高度205。
在后侧沉积适用于克服应力和弯曲的情况下,其还可以有益于其他情况。例如,后侧沉积能够用于解决在晶片后侧上形成颗粒的问题。如上所述,特定的后沉积处理(例如,蚀刻,离子注入)致使在晶片后侧上形成颗粒。这些颗粒在后续的处理中(例如在光刻操作期间)可成为问题。
后侧颗粒是有害的,因为它们导致晶片的局部化的应力以及变形,特别是当晶片在例如光刻操作中被静电卡持在平坦表面上时。当晶片被卡持时,其围绕存在于晶片底侧上的任意颗粒变形。这种变形包括晶片中的局部化应力。局部应力和变形在光刻期间会成为问题,并且可引起处理期间散焦问题。已证明,晶片上后侧颗粒的位置与光刻缺陷的位置密切相关。因此,理想的是去除或者类似地抵消后侧颗粒的影响,尤其是在光刻操作(或者其他敏感操作)发生之前。
在某些实施方式中,后侧颗粒的有害影响通过使用沉积在晶片后侧的牺牲层被最小化。图3A和3B表示应用这种牺牲层的两种实现方式。这些图中所示的实施方式是在准备了用于光刻操作的衬底的背景下提供的。本领域的普通技术人员将理解,对于具有相似敏感度(例如,需要全局非常平坦或者局部非常平坦的衬底)的任意其他处理,光刻操作可以被替换。出于示意的目的,图3A和3B中所示的层和颗粒的尺寸可以被放大。
图3A示出了在制造的不同阶段期间晶片的一部分在步骤(i)-(iv)处的剖视图。在此实施方式中,牺牲层在生成后侧颗粒之前沉积。为了进行比较,在图3B的实施方式中,牺牲层在生成后侧颗粒之前沉积。回到图3A的实施方式,如步骤(i)所示,衬底301其上提供有前侧沉积物302。如上所述,前侧沉积物302可以是材料堆叠。前侧堆叠302还可以是任意类型的沉积,并且可以包括任意层数、任意结构、任意特征、任意设备等等。自步骤(i)移动到(ii),牺牲后侧层304沉积在晶片301的后侧。接着,从步骤(ii)移动到(iii),执行后沉积处理,其形成经改变的前侧沉积物306。后沉积处理可以涉及蚀刻、离子注入、退火、清洁等等。常常,后沉积处理致使颗粒305形成在晶片后侧上。这里,由于存在牺牲的后侧层304,因此颗粒305形成在牺牲层304上。在颗粒形成之后,完成后沉积处理,牺牲的后侧层304与颗粒305一起可以被去除(在图3A中从步骤(iii)移动到步骤(iv))。后侧层304可以通过任意适当的处理进行去除。湿式处理和干式处理均可以适用,但湿式方法通常更有效。一旦牺牲层304和颗粒305已经被去除,那么晶片则准备好用于光刻处理。由于颗粒不再存在,因此光刻可以在极其平坦的晶片上按照期望进行,并且相比于不使用后侧沉积的情况,光刻缺陷的量显著地减少。
如上所述,牺牲层应当由可容易从下伏晶片上去除的材料制成。换而言之,在理想的可去除化学物质中应当在牺牲层和晶片材料之间存在较佳选择性。在很多情况下,牺牲层为电介质层,例如硅氧化物或者硅氮化物,但也可以使用其他材料。牺牲层应当被沉积到足够抵消由颗粒造成的局部变形和应力影响的厚度。这是典型相对薄的厚度,例如介于约 之间。典型地,层足够薄,从而使其大致无附加应力引到晶片(除了在牺牲层还被用于平衡由前侧层引起的应力的实施方式之外)。换而言之,有时该层是足够薄,从而使其不会导致弯曲或者其他应力问题,但在一些情况下,可能会足够厚以抵消由前侧沉积导致的弯曲/应力问题。
图3B示出了在另一替换实施方式中在制造的不同阶段期间晶片的一部分在步骤(i)-(v)中的剖视图。这种技术以与图3A中所示方式相同地开始,使用具有前侧沉积物302的晶片301。从步骤(i)移到步骤(ii),执行后沉积处理。在此实施方式中,后沉积处理发生在任意后侧沉积发生之前。处理导致颗粒305形成,其直接粘附到晶片301。处理还某种程度地改变了前侧沉积物,由后沉积处理所改变的前侧沉积物被标示成306。接着,从步骤(ii)移至步骤(iii),沉积牺牲后侧层304。层304被沉积成足以覆盖颗粒305的厚度,并且在晶片的暴露底侧提供了极其平坦的表面。从步骤(iii)移至步骤(iv),执行光刻。这改变前侧沉积物306,以形成光刻改变的前侧沉积物307。要理解,前侧沉积层302,306和307都与处理期间在不同点的晶片前侧沉积相关。牺牲层304在晶片的底部提供了极其平坦的表面,由此将由颗粒305引起的局部变形和应力问题最小化或消除。因此,尽管光刻发生在颗粒依然位于晶体301上时,但它们的存在对于光刻是无害的。在这种情况下颗粒不会成为问题,因为平坦的牺牲层304开始与静电卡盘接触以适当地卡持晶片而在颗粒305周围不会变形。接着,从步骤(iv)移至步骤(v),移除牺牲后侧层。移除后侧层304的处理还去除颗粒305以留下干净平坦的晶片。如上所述,后侧层304可以通过湿式或者干式方法进行移除。
装置
本文所述的方法可以通过任意合适装置执行。一种合适的装置包括用于实现处理操作的硬件(例如,用于无接触(或者最小接触)晶片前侧地执行后侧沉积的硬件)和具有用于控制本发明的处理操作的指令的系统控制器。例如,在一些实施方式中,硬件可以包括被包含在处理工具中的一个或者多个处理站。
图4A示出了能够在晶片451(晶片451是如图4A中黑色水平线所示)的后侧进行沉积的装置400的一个简易版的剖视图。图4B示出了装置400的一部分的特写视图。特别地,图4B示意了晶片451如何被支撑在装置400中。晶片451通过晶片支撑环453支撑在其周边或者其周边附近。支撑环453可以在晶片的底部表面上接触晶片451,靠近在被称作支撑接触区域中的区域内的晶片边缘。支撑接触区域为环形形状,并且可以是非常小,从而使得大致整个晶片后侧(例如,至少约95%,或者至少约99%,通过表面面积测量)在沉积期间暴露。在一些实施方式中,在晶片底部上的支撑接触区域从晶片边缘朝向内延伸约5mm或者更少,例如约1mm或者更少。在图4B的实施例中,支撑接触区域是位于晶片451底部上,从晶片周边向内延伸距离461。支撑环453还可靠近晶片边缘地接触晶片的顶侧。在这些情况下,支撑接触区域延伸至晶片的顶侧。在此实施方式中,支撑环可以具有呈C形(而不是图4B所示的L形)的局部剖面,在晶片的其周边的一部分的下方和上方均延伸。当支撑环与晶片的顶侧接触时,应当注意的是,要确保支撑环不会损害晶片的前侧。这样的注意可以包括确保支撑环仅仅在小的限定区域(支撑接触区域)而不在激活区域内与晶片前侧接触。在一些实施方式中,晶片顶部上的支撑接触区域从晶片的边缘向内径向延伸不大于约0.5mm,或者不大于约0.25mm。
在一些实施方式中,支撑环可以由在其周边或者靠近其周边处支撑晶片的另一晶片支撑机构替代。一实施例为一系列三个或者更多个非连接的销状物,这些销状物将晶片支撑在靠近其边缘的不同位置处。在一些实施方式中,销状物可以围绕晶片包裹以更好地确保其在处理期间处于合适位置处。销状物(或者其他支撑机构)可以在上述的支撑接触区域内与晶片接触。
在任意情况下,用于保持衬底的机构可以被设计成使晶片前侧基本不会与反应室的任意部分接触。如本文所使用的,这意味着,晶片451的前侧和晶片支撑机构453(例如,支撑环,销状物等等)或者装置的其他部分之间的任意接触仅仅发生在晶片的边缘附近。晶片前侧包括在其中制造设备的活性区域,该区域由非活性的周边区域围绕。非活性的周边区域是因晶片的几何外形以及在处理期间把持晶片的需要而存在的。通过确保在晶片的前侧上的所述活性区域不会接触反应室的任意部分,对晶片前侧的损害可以被最小化或者全部避免。在前侧的最边缘所存在的接触在很多情况下不会成为问题,因为当衬底被切割成独立的设备时,周边非活性区域通常被去除并且舍弃。如此,发生在该区域的接触对于形成在晶片上的最终设备并非是危险的。
回到图4A和4B的实施方式,支撑环453将晶片451保持在沉积区域459上。沉积区域459是让反应气体被引入其中、并在晶片451上反应以及沉积的区域。沉积区域459是至少与晶片451的区域大致共延伸的。沉积区域459的底部可以由底面463限定,该底面在本此实施方式中还用作喷头463。底面463通常大致平行于晶片451。沉积区域459的高度(作为介于晶片451的底侧和底面之间的距离来测量)在很多情况下可以是相当小的。例如,沉积区域459可以具有介于约5-30mm之间的高度,例如为介于约15-25mm之间的高度。在一些实施方式中,下电极/喷头表面463和支撑环453中的至少一个是可移动的,从而使沉积区域459的高度可以调节。
如上所述,下表面463限定沉积区域459的底部。在不同实施方案中,底面463被施加能量(例如,使用RF功率源)。在一些实施方式中,下表面463被调节成作为喷头,以提供所需的处理气体。在其他实施方式中,下表面463可以更简单,并且处理气体可以通过交替的进口提供。可以适于在后侧沉积反应室中使用的喷头设计方案在以下的美国专利和专利申请中被进一步讨论,这些专利和专利申请中的每一个都被以全文并入本文:于2008年8月15日提出申请的、名称为“COMPOSITESHOWERHEADELECTRODEASSEMBLYFORAPLASMAPROCESSINGAPPARATUS”的美国专利No.8,147,648;以及于2013年3月14日提出申请的、名称为“COMPOSITESHOWERHEADELECTRODEASEMBLYFORAPLASMAPROCESSINGAPPARATUS.”的美国专利申请No.13,828,176。
可以使用各种不同类型的等离子体。例如,等离子体可以在沉积区域459中直接生成(即,直接等离子体)或者可以在不同位置生成并且被导入沉积区域(即,远程等离子体)。可以使用任意合适的等离子体生成器。在各种实施方式中,等离子体是在晶片451下方的已驱动的下电极/喷头463与在晶片上方的接地上电极/顶部表面455之间生成的电容耦合等离子体。
在晶片451上方为小的前侧间隔457。该间隔457在晶片451的顶部表面和反应室内的上表面455之间延伸。图4A中的间隔尺寸出于示意的目的被放大。上表面455可以是加热器、接地板、反应室顶面或者其他类型的板/表面。在很多情况下,该上表面455用作电极。在一些实施方式中,前侧间隔457的高度为约0.5mm或者更小,例如约0.35mm或者更小。在这些或者其他实施方式中,前侧间隔457的高度可以是至少约0.1mm或者更大,例如为至少约0.25mm或者更大。在很多情况下,该上表面455大致平行于晶片。该上表面/电极455还可以围绕图4B中所示的衬底的边缘延伸,使得其接触晶片支撑环453。在沉积期间,惰性气体(例如,N2,Ar等等)从前侧进口465被引入,并且通过晶片451的前侧。前侧进口465可以定位在晶片451的中心或者靠近该中心,以使惰性气体从晶片中心朝向外流动。该朝向外流动的惰性气体有助于确保没有引起沉积的气体进入前侧间隔457,也不与晶片451的前侧接触。换而言之,惰性气体流动有助于确保没有材料能够在后侧沉积期间沉积在晶片451的前侧。为了进一步地保护晶片451的前侧,该前侧间隔457可以被设计成使其小于等离子体鞘层的厚度。这有助于确保等离子体不会进入前侧间隔,在该前侧间隔中等离子体可能会损害衬底。
在很多情况下,等离子体为电容耦合等离子体,其在上电极和下电极之间生成。在某些情况下,上电极可以与地连接,并且下电极可以与RF源连接。下电极可以部分操作以收集来自等离子体的电子。可以使用双RF(例如,同时使用和控制LF和HF频率和功率)以将不同情况下的沉积膜的应力模块化。
在一些实施方式中,后侧沉积反应器是已经改变以执行后侧沉积的斜面清洁装置。可改变的处理装置的一实施例为来自朗姆研究(LamResearchofFremont,CA)的等离子体斜面清洁装置。该装置在下述的美国专利中被进一步讨论,这些美国专利中的每一个都通过引用全文并入本文:于2007年1月26日提出申请的、名称为“BEVELETCHERWITHGAPCONTROL”的美国专利No.7,858,898;于2007年1月26日提出申请的、名称为“CONFIGURABLEBEVELETCHER”的美国专利No.7,943,007;以及于2009年12月17日提出申请的、名称为“METHODANDAPPARATUSFORPROCESSINGBEVELEDGE.”的美国专利No.8,562,750。
对于执行后侧沉积有用处的改变典型地包括安装不同晶片保持件(例如,将晶片支撑在其周边并且使得晶片后侧能够在处理期间保持暴露于等离子体的环形晶片保持件)以及安装(或者改变)不同气体输送系统(例如,以输送沉积气体到位于晶片后侧下方的沉积区域,同时还输送惰性气体到位于晶片前侧上方的前侧间隔)。进一步地,可以在晶片上方添加加热器和/或者接地板,前提是它们不存在。
图5示出了在晶片的前侧沉积膜的处理站500的简易版的剖视图。为了简便,处理站500被描述成独立站,具有用于维持低压环境的处理室主体502。然而,要理解,在共同的处理工具环境下可以包括多个处理站500。此外,要理解,在一些实施方式中,处理站500的一个或者多个硬件参数可以通过一个或者多个计算机控制器编程地调节。
处理站500与用于输送处理气体到分布式喷头506的反应处理系统501流体连通。反应物输送系统501包括混合歧管504,该混合歧管504用于混合和/或调节用于输送至喷头506的处理气体。一个或者多个混合歧管进口阀520可以控制处理气体引导到混合歧管504。
一些反应物可以在汽化和后续输送到处理站之前以液体形式储存。图5的实施方式包括汽化点503,其用于将液体反应物汽化以供应到混合歧管504。在一些实施方式中,汽化点503可以是加热的汽化器。当适当的控制没有到位时,由这样的汽化器生成的饱和反应物蒸汽可以在下游的输送管道凝缩(克服这种现象的一种方法是在使液体反应物汽化/雾化时包含氦)。不兼容的气体暴露于凝缩的反应物可能产生小的颗粒。这些小的颗粒可能会阻塞管道、妨碍阀门操作、污染衬底等等。解决这些问题的一些方法涉及清扫和/或排空输送管道以去除残留反应物。然而,清扫输送管道可能增加处理站循环时间、降低处理站吞吐量。因此,在一些实施方式中,汽化点503的输送管道下游可以被伴热。在一些实施方式中,混合歧管504也可以被伴热。在一种非限制性的实施例中,汽化点503的管道下游具有在混合歧管504处的从约100℃延伸到约150℃的逐渐升高的温度分布。
如所提到的,在一些实施方式中,汽化点503为已加热的液体喷射器。液体喷射器可以喷射液体反应物的脉冲到混合容器上游的运载气体流。在一种情况下,液体喷射器可以通过从较高压力到较低压力闪蒸液体而使反应物汽化。在另一种情况下,液体喷射器可以将液体雾化成分散的微滴,该微滴后续在加热的输送管道中汽化。要理解,较小的液滴相比于较大的液滴更快地汽化,减少液体喷射和完成汽化之间的延迟。较快的汽化可以减少汽化点503的管道下游的长度。在一种情况下,液体喷射器可以直接安装到混合歧管504。在另一情况下,液体喷射器可以直接安装到喷头506。
在一些实施方式中,汽化点503上游的液体流量控制器可以被设置用于控制用于汽化的液体的质量流量以及朝向处理站500的输送。例如,液体流量控制器(LFC)可以包括位于LFC下游的热式质量流量计(MFM)。然后可响应于由与该MFM电通信的比例-积分-微分(PID)控制器提供的反馈控制信号调节LFC的柱塞阀。然而,可能需要一秒或更多时间以使用反馈控制来稳定液体流。这可能延长投配液体反应物的时间。因此,在一些实施方式中,LFC可以在反馈控制模式和直接控制模式之间进行动态切换。在一些实施方式中,LFC可以通过禁用LFC和PID控制器的感应管道而被动态地从反馈控制模式切换到直接控制模式。
喷头506将处理气体朝衬底512分配。在图5中所示的实施方式中,衬底512位于喷头506的下方,并显示为搁置在底座508上。应该理解的是,喷头506可具有任何合适的形状,并且可以具有任何合适数量和布置的端口以便分配处理气体到衬底512。
在一些实施方式中,微体积507位于喷头506下方。在处理站在微体积中而不是在处理站的整个体积中执行CFD工艺可减少反应物暴露和清扫的次数,可减少用于改变工艺条件(例如,压力,温度等)的次数,可以限制处理站的机械手暴露于处理气体等。微体积尺寸的实施例包括但不限于介于0.1升和2升之间的体积。
在一些实施方式中,底座508可以升高或降低以暴露衬底512给微体积507和/或改变微体积507的体积。例如,在衬底传送阶段,底座508可被降低,以使衬底512能被加载到底座508上。在衬底上进行沉积的处理阶段,底座508可被升高以将衬底512定位在微体积507内。在一些实施方式中,微体积507可完全围绕衬底512以及底座508的一部分,以在沉积处理期间创建高流动性阻碍区域。
任选地,可将底座508在沉积处理的部分期间降低和/或升高以调节微体积507内的处理压强、反应物浓度等。在处理室主体502在处理期间保持在基本压强的一种情况下,降低底座508可使得微体积507能被抽空。微体积比处理室体积的示例性比率包括,但不限于,介于1:500和1:10之间的体积比。应理解的是,在一些实施方式中,底座高度可以经由合适的系统控制器通过编程方式进行调整。
在另一种情况下,调整底座508的高度可以使得等离子体密度在包含在沉积工艺中的等离子体活化和/或处理循环期间能够变化。在沉积处理阶段结束时,底座508可以在另一衬底传送阶段被降低以使得衬底512能从底座508移走。
虽然在本发明描述的示例性微体积变化指的是高度可调的底座,但应该理解的是,在一些实施方式中,喷头506的位置可以相对于底座508被调整以改变微体积507的体积。此外,应当理解的是,底座508和/或喷头506的垂直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方式中,底座508可包括用于旋转衬底512的方向的旋转轴线。应该理解的是,在一些实施方式中,这些示例性调整中的一个或多个可以通过一个或多个适当的系统控制器以编程方式执行。
返回至图5所示的实施方式中,喷头506和底座508电连通RF电源514和匹配网络516以激励等离子体。在一些实施方式中,等离子体的能量可通过控制处理站的压强、气体的浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF电源514及匹配网络516可在任何合适的功率下进行操作,以形成具有自由基物质的所期望的组分的等离子体。合适的功率的实施例包括在上文中。同样地,RF电源514可以提供任何适当频率的RF功率。在一些实施方式中,RF电源514可以被配置为彼此独立地控制高频RF功率源和低频RF功率源。示例性的低频RF频率可以包括,但不限于,介于50kHz和500kHz之间的频率。示例性的高频RF频率可以包括,但不限于,介于1.8MHz和2.45GHz之间的频率。应当理解,任何合适的参数可被离散地或连续地调制以提供用于表面反应的等离子体能量。在一个非限制性实例中,等离子体功率可以间歇地施以脉冲,以相对于被连续激励的等离子体减少对衬底表面的离子轰击。
在一些实施方式中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或一个以上的电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体的浓度可以由一个或多个光发射谱(OES)传感器来测量。在一些实施方式中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调整。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方式中,可使用其它监控器来监控等离子体和其他工艺特性。这样的监控器可包括,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方式中,可以经由输入/输出控制(IOC)测序指令来控制等离子体。在一个示例中,用于设置等离子体激活阶段的等离子体条件的指令可被包括在工艺配方的相应的等离子体激活配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方式中,用于设定一个或一个以上的等离子体参数的指令可以被包括在等离子体工艺阶段之前的配方阶段中。例如,第一配方阶段可以包括用于设置惰性气体(例如,氦)和/或反应气体的流率的指令、用于设置等离子体发生器至功率设定点的流率的指令、以及用于第一配方阶段的时延指令。后续的第二配方阶段可包括用于启用等离子体发生器的指令以及用于第二配方阶段的时延指令。第三配方阶段可以包括用于禁用等离子体发生器的指令以及用于第三配方阶段的时延指令。应当理解,这些配方阶段可进一步以在本公开的范围内的任何合适的方式细分和/或重复。
在一些沉积处理中,等离子体激励持续约几秒钟或更长的持续时间。在此处描述的某些实施方案中,在处理循环期间可应用远远较短时间的等离子体激励。这些远远较短时间可以是约50毫秒至1秒,0.25秒是一个具体实施例。如此短时间的RF等离子体激励要求等离子体的快速稳定。为了实现这一点,可以将等离子体发生器配置为使得所述阻抗匹配被预设为特定的电压,同时使频率能浮动。按惯例,高频等离子体在约13.56MHz的RF频率下产生。在本文公开的各种实施方式中,使频率能浮动到不同于该标准值的值。通过使频率能浮动,同时固定阻抗匹配到预定电压,可以远远较快地稳定等离子体,其结果在使用与ALD/CFD循环相关的非常短时间的等离子体激励时这可能是重要的。
在一些实施方式中,底座508可通过加热器510进行温控。另外,在一些实施方式中,对于处理站500的压力控制可通过蝶形阀518来提供。如图5的实施方式中所示,蝶形阀518调节由下游真空泵(未示出)提供的真空。然而,在一些实施方式中,处理站500的压力控制也可以通过改变引入到处理站500的一种或多种气体的流率进行调整。
处理站500的内表面可以以调节层550涂层,该调节层550可以包括底涂层和/或预沉积涂层。以调节层涂层的表面的实施例包括室壁502、室顶部和室底部、底座508以及喷头506。
执行前侧沉积的合适的装置和方法在下述美国专利和美国专利申请中进一步陈述,这个美国专利和专利申请中的每一个以引用的方式被全文并入本文:于2011年4月11日提出申请的、名称为“PLASMAACTIVATEDCONFORMALFILMDEPOSITION”的美国专利申请No.13/084,399;于2014年1月17日提出申请的、名称为“METHODANDAPPARATUSFORTHEREDUCTIONOFDEFECTIVITYINVAPORDEPOSITEDFILMS”的美国专利申请No.14/158,536;以及于2010年9月23日提出申请的、名称为“PLASMA-ACTIVATEDDEPOSITIONOFCONFORMALFILMS.”的美国专利No.8,101,531。用于执行前侧沉积的合适装置的一个实施例为来自朗姆公司(LamResearchCorp.ofFremont,CA.)的产品系列。
图6描绘了在某些实施方式中用于蚀刻衬底的电感耦合反应室/工具600的剖视图。本文所述的实施方式也可以以非电感耦合等离子体进行实施。电感耦合等离子体蚀刻装置600包括由室壁601和窗611结构式限定的总蚀刻室。室壁601典型地由不锈钢或者铝制成。窗611典型地由石英或者其他电介质材料制成。
可选的内部等离子体栅650可以将总蚀刻室划分成上部子室602(在其中产生等离子体)和下部子室603(在其中处理衬底)。在特定的其他实施方式中,使用较复杂的等离子体栅组件。例如,等离子体栅组件可以包括多个栅以及支撑结构和致动构件。等离子体栅650或者栅组件可以用来促进离子-离子等离子体形成进行晶片处理的下部子室603中。离子-离子等离子体具有低的有效电子温度(例如,约1eV或者更小)和低的电子密度(例如,约5x109cm-3或者更小)。对比而言,上部子室602内存在的等离子体相比于下部子室603内的等离子体可以具有较高的有效电子温度,在一些情况下至少高约两倍,以eV测量。此外,上部子室602内的等离子体相比于下部子室603内的等离子体可以具有较高的电子密度,例如至少十倍一样高。在不同的实施方式中,该内部的等离子体栅650可以省去,并且上部子室602和下部子室603被并入单个处理室。图6的其余说明将着重于存在等离子体栅650的实施方式。
卡盘617定位在下部子室603内靠近底部内表面。卡盘617被配置成将其上执行蚀刻处理的半导体晶片619接收并保持。卡盘617可以是用于支撑晶片(如果存在的话)的静电卡盘。在一些实施方式中,边缘环(未图示)围绕卡盘617,并且具有与晶片(如果存在于卡盘627上的话)的顶部表面大致齐平的上表面。卡盘617还包括静电电极以实现晶片的卡持与解除卡持。可以提供过滤器和DC直流钳位电源用于此目的。还可以提供用于将晶片提离卡盘617的其他控制系统。卡盘617可以使用RF电源623充电。RF电源623通过连接件627连接到匹配电路621。匹配电路621通过连接件625连接到卡盘617。在这种方式下,RF电源623连接到卡盘617。
线圈633定位在窗611之上。线圈633由导电材料制成,并且包括至少一整匝。图6中所示线圈633的实施例包括三匝。具有“X”的线圈633符号的剖面表示线圈633朝向页面内旋转延伸。相反地,具有“·”的线圈633符号表示线圈633朝向页面外旋转延伸。RF电源641被配置成提供RF功率给线圈633。通常,RF电源641通过连接件645连接到线圈633。匹配电路639通过连接件643连接到线圈633。在这种方式下,RF电源641连接到线圈633。可选的法拉第屏蔽件649以相对于线圈633间隔分离的方式保持。法拉第屏蔽件649设置在窗611正上方。线圈633、法拉第屏蔽件649以及窗611中的每一个都被配置成大致彼此平行。法拉第屏蔽件可以防止金属或者其他物质沉积在等离子体室的介电窗上。
处理气体可以通过定位在上部室内的主喷射端口660和/或通过侧喷射端口670(有时被称为STG)供应。气体排出端口未示出。此外,也未图示的泵被连接到室601以实现真空控制和在操作等离子体处理期间从室去除气态副产物。
在装置操作期间,一种或者多种反应物气体可以通过喷射端口660和/或670供应。在特定的实施方式中,气体可以仅仅通过主喷射端口或者仅仅通过侧喷射端口供应。在一些情况下,喷射端口可以由喷头替代。法拉第屏蔽件649和/或栅650可包括允许处理气体到室的输送的内部通道和孔。换而言之,法拉第屏蔽件649和栅650的一者或者两者可以作为用于处理气体输送的喷头。
射频功率从RF电源641施加到线圈633以致使RF电流流通过线圈633。流通过线圈633的RF电流围绕线圈633产生电磁场。该电磁场在上部子室602内生成感应电流。感应电流作用于上部子室602内的气体上以在上部子室602内生成电子-离子等离子体。内部等离子体栅650限制下部子室603内的热电子量。在不同实施方式中,装置被设计和操作成使得在下部子室内存在的等离子体为离子-离子等离子体。
上部电子-离子等离子体和下部离子-离子等离子体将包含正离子和负离子,但离子-离子等离子体将具有大的负离子:正离子的比率。各种离子和自由基与晶片619的物理和化学相互作用选择性地蚀刻晶片的特征。挥发性蚀刻副产物通过排出端口(未图示)从下部子室去除。重要的是,这些挥发性副产物基本不暴露于热电子,因此它们不可能被解离成可能沉积在晶片上的非挥发性的“粘性”解离产物。
典型地,本文所公开的卡盘操作在介于约30℃和约250℃之间的上升温度,优选地介于约30-150℃之间。该温度也将依赖于蚀刻处理操作和特定配方。室601还在介于约1mTorr和约95mTorr之间的压强、或者介于约5-20mTorr之间的压强下操作。
尽管未图示,但室601通常耦合到安装于清洁室内的设施、或者耦合到制造设施。设施包括提供处理气体、真空、温度控制和环境颗粒控制的管道设施。这些设施在安装于目标制造设施内时被耦合到室601。附加地,室601可耦合到输送室,该输送室能使机械手使用典型的自动化装置将半导体晶片输送到室601内和从室601移出。
用于执行干式蚀刻操作的装置和方法在下述的美国专利申请中进一步地叙述,这些美国专利申请中的每个均以引用的方式被全文并入本文:于2013年11月15日提出申请的、名称为“INTERNALPLASMAGRIDFORSEMICONDCUTORFABRICATION”的美国专利申请No.14/082,009;于2013年6月12日提出申请的、名称为“INTERNALPLASMAGRIDFORSEMICONDUCTORFABRICATION”的美国专利申请No.13/916,318;以及于2013年7月8日提出申请的、名称为“IONBEAMETCHINGSYSTEM”的美国专利申请No.13/936,930。可用于在一些实施方式中蚀刻衬底的装置实施例包括来自朗姆研究公司(LamResearchCorp.ofFremont,CA)的反应器系列。
图7示意了根据某些实施方式可用于使用湿式化学物质从衬底剥离材料的去除单元。根据适当需要可以使用其他类型的去除单元。在此实施例中,剥离处理以在去除单元700的衬底保持架702接收和密封衬底701开始。衬底保持架702有时也被称为晶片保持架,其将衬底周边支撑在大致环形形状的杯形物内以保持衬底在合适位置。待去除的材料(在许多实施方式中,其为电介质材料)面朝下,远离衬底保持架702。杯形物可以被设计成使得其不会接触晶片的当前没有被处理的那一侧地支撑晶片。在去除背侧层的情况下,杯形物可以被设计成使得其大致不会接触晶片前侧地支撑晶片。为此,间隔702可以定位在衬底701上方。杯形物702和对应的唇形密封件或者其他密封件应当被设计成确保用于剥离材料的化学物质不会渗透进间隔720。这帮助在剥离处理中保护晶片另一侧。当剥离发生在晶片后侧时,这样的密封件在保护贵重的前侧沉积不受损害方面尤其重要。可以使用其他去除单元方向(例如,以90°或者180°使单元旋转),只要晶片被适当地支撑和保护即可。
在某些实施方式中,待剥离的工件具有大致水平的取向(其在一些情况下在整个剥离处理期间或者部分剥离处理期间可能偏离实际水平方向几度地变化)并且在剥离期间被致动以旋转。所述的剥离装置具有与类似的“喷流”式镀敷装置共通的许多设计特征。单元/装置的喷流式电镀类的成员的一实施例是由朗姆研究公司(LamResearchCorporationofFremont,CA)制作和可得的电镀系统。附加地,喷流式电镀系统在例如于2001年8月10日提出申请的美国专利No.6,800,187以及于2008年11月7日提出申请的美国专利No.8,308,931中被进一步叙述,这些美国专利以引用的方式被全文并入本文。虽然本文的叙述着重于这种水平的衬底取向类型,但其他取向也可以。在一个实施例中,晶片可以以大致竖直的方式定向。
待去除的材料通常远离衬底边缘一定距离(例如约1mm)地沉积,这可能在晶片周边附近留下暴露的晶片衬底剥离带。衬底保持架702在该暴露的周边区域密封在衬底701上。这种配置形成了可靠的密封并且防止材料被衬底保持架截获,这种截获会导致被截获的材料不合乎期望地保留在衬底上。有益的是,在衬底保持架中密封衬底,因为其有助于防止流体的泄漏,泄漏可能需要过量剥离化学物,否则会导致去除单元内的较差的流体动力特性(例如,在晶片边缘附近,流动是不连续的)。
剥离处理继续,衬底701旋转并且浸入到可加热的剥离溶液中。所述旋转可以在浸入之前、在浸入期间或者在浸入之后开始。在一些实施方式中,旋转发生在介于约1-20RPM之间的速率,例如发生在介于约5-15RPM之间的速率。在图7的实施方式中,剥离溶液填充介于衬底701和底板704之间的腔706,衬底701和底板704大致彼此平行(例如,在约20°以内)。底板704可以改变以改善腔706内的流动特性。这样的改变可以包括使用凸起或者其他阻流元件,其可促进更高的速率、晶片附近的更高的湍流。在一些情况下,衬底保持架可以在任意流体进入腔706之前定位在去除位置(即,浸入位置)。在其他情况下,在衬底保持架移动到去除位置之前,在基板704上提供流体。剥离溶液通过流量分配器708进入腔706,并且通过出口710退出腔706。在不同的案例中,流体可以以介于约20-50LPM之间的速率流动。流量分配器708包括C形的内部歧管,该内部歧管跨越位于边缘的流量分配器的一部分。在一个实施例中,内部歧管跨越衬底边缘的约120°。这种配置允许剥离溶液在单元的一侧进入腔706、以大致线性横流模式横跨所述腔、并且之后在出口710退出单元。这在衬底面上造成剪切作用。由于衬底710在其浸入剥离溶液时正在旋转,因此线性流动模式在晶片面上被均匀化,带来较佳的材料去除结果。换而言之,通过在晶片下方生成空间均匀的对流并且旋转晶片,每个特征并且每个模在旋转和电镀过程中展现出接近等同的流动模式。这种设定尤其有利于从晶片前侧去除光刻胶或者其他材料,其中处理均匀性是非常重要的。当剥离装置用以从晶片后侧去除材料时,均匀性并不重要并且较简单的去除室可能是合适的。
接着,衬底维持浸入剥离溶液一段时间。溶液暴露的持续时间将依赖于各种因素,包括所涉及的化学物质、溶液的温度、溶液流率、待剥离材料的厚度和其他几何特性、去除单元的实际几何特征等等。在一典型的实施方式中,衬底可以保持浸入直至材料完全地或者大致完全地去除。当使用剥离来去除牺牲后侧层时,衬底可保持浸入直至晶片后侧的该牺牲层和其他颗粒被去除。在一些实施方式中,完成去除是在小于约1分钟内实现的。在一些实施方式中,待去除材料被完全地去除。在其他实施方式中,材料被大致完全地去除(即,至少99%的材料被去除)。在其他实施方式中,可去除更少的材料(例如,至少25%的材料,至少50%的材料,至少75%的材料或者至少90%的材料)。
在去除材料之后,衬底可以被移至清洗位置,在此处清洗衬底。清洗溶液可以通过清洗喷嘴(未图示)提供,根据适当需要,该喷嘴可以安装到去除室壁(未图示),或者安装到装置的任意其他部分。在某些实施方式中,喷嘴可以是静止的,而在其他实施方式中,根据需要,喷嘴可以摆动或者类似地移动到合适位置。在一些实施方式中,可以使用多个清洗喷嘴。清洗溶液可以是任意合适的溶液,并且在某些实施方式中为去离子水。清洗操作有助于从衬底表面去除剥离溶液,并且还有助于去除可能存在的残留颗粒(即电介质的残留颗粒)。然后,衬底可以例如通过以升高的旋转速率(例如介于约1000-2000RPM之间)旋转衬底进行烘干。
清洗和烘干步骤可以发生在去除单元700中。可替代地,这些步骤可以发生在独立的清洗和/或者烘干模块中。这些模块可以作为多工具装置的一部分来实现,例如图8所示。
回到图7的实施方式,可以在再循环溶液循环中提供剥离溶液。储存槽可以用于保持储存的剥离溶液。储存槽应当足够大以储存必要的溶液量。所需溶液量将取决于衬底/去除室/关联管道设施的尺寸。在特定实施方式中,储存槽存储约50L溶液。
储存槽714可具有加热元件716、以及温度控制传感器和反馈循环(未图示),其操作以将剥离溶液维持在理想温度。溶液退出储存槽714并且被输送到泵718,泵718输送流体到横流进口708。当存在衬底701并且衬底保持架702位于剥离位置时,窄腔706形成在衬底701和底板704之间。溶液退出横流进口708、横跨腔706以及在出口710退出。然后,溶液流动(在一些实施方式中通过堰板,并且在其它实施方式中通过专用管道),并通过筛板712。在一些实施方式中,筛板712是相当粗糙的,具有约1mm数量级的开口,并且操作以去除已从衬底表面掉落的材料(例如电介质)碎片。材料碎片可以是厘米数量级或者数厘米数量级。筛板712可位于流动循环内的不同位置。在一些情况下,筛板712可以是独立元件,如图7所示,流体在到达储存槽之前通过该筛板712。在其他情况下,筛板712可以被并入储存槽714内。在一些情况下,可以使用过滤器替代筛板。
筛板712应当周期地清洗以去除不需要的电介质或者其他材料。筛板本身通常实现剥离溶液的充分清洗。然而,在进料和出料循环下,溶液应当在周期性地改变或者操作,以提供所需要的干净的剥离溶液。用于通过湿式化学物质执行剥离的装置在于2013年5月29日提出申请的名称为“APPARATUSFORADVANCEDPACKAGINGAPPLICATIONS”的美国专利申请No.13//904,283中进一步叙述,该美国专利申请以引用的方式被全文并入本文。
如上所述,一个或者多个处理站可以包括在多站式处理工具内。图8示出了具有进站加载锁802和出站负载锁804的多站式处理工具800的实施方式的示意图,所述进站加载锁802和出站负载锁804的一个或者两者可包括远程等离子体源。机械手806在空气压下被配置成将晶片从由吊舱808加载的盒经由空气端口810移动到进站加载锁802。晶片在进站加载锁802内由机械手806放置在底座812上,空气端口810关闭,并且将加载锁抽空。当进站加载锁包括远程等离子体源时,晶片可以在被导进处理室814内之前在加载锁内暴露于远程等离子体处理。此外,晶片还可以在进站加载锁802内加热,以例如移除湿气和吸附的气体。接着,室输送端口816对处理室814打开,并且另一机械手(未图示)将晶片放置到在用于处理的反应器内所示的第一站的底座上的反应器内。虽然图8所示的实施方式包括加载锁,但要理解,在一些实施方式中,可以提供晶片进入处理站的直接进口。
所描述的处理室814包括四个处理站,在图8所示的实施方式内标记以1至4。在不同情况下,一个或者多个站可以被隔离以形成独立的模块。可以使用任意数量的模块。模块可以是图4A所示的后侧沉积室、图5所示的前侧沉积室、图6所示的蚀刻室或者图7所示的湿式处理室。根据适当需要可以使用其他类型的模块。
每个站具有加热的底座(对于站1以818显示)以及气体流进口。要理解,在一些实施方式中,每个处理站可以具有不同的或者多个用途。例如,在一些实施方式中,处理站可以在ALD模式、CFD模式以及CVD处理模式之间切换。附加地或者可替代地,在一些实施方式中,处理室814可包括一个或者多个匹配的ALD/CFD/CVD处理站对。当所描述的处理站814包括四个站时,要理解的是,根据本公开的处理室可以具有任意适当数量的处理站。例如,在一些实施方式中,处理室可以具有五个或者更多站,而在其他实施方式中,处理室可以具有三个或者更少的站。
图8还描述了用于在处理室814内输送晶片的晶片处理系统890的一个实施方式。在一些实施方式中,晶片处理系统890可以在各种处理站/模块之间输送晶片和/或者在处理站和加载锁之间输送晶片。要理解的是,可以使用任意适当的晶片处理系统。非限制性的实施例包括晶片转盘和晶片处理臂。图8还描述了用于控制处理条件和处理工具800的硬件状态的系统控制器850的一个实施方式。系统控制器850可以包括一个或者多个存储设备856,一个或者多个大容量存储设备854以及一个或者多个处理器852。处理器852可以包括CPU或者计算机、模拟和/或数字输入/输出连接、步进式电机控制板等等。
在一些实施方式中,系统控制器850控制处理工具800的所有活动。系统控制器850执行系统控制软件858,该系统控制软件858存储在大容量存储设备854内,加载在存储设备856内并且在处理器852上执行。系统控制软件858可以包括指令用于控制时序、气体反应物和液体反应物的混合物、室和/或站压强、室和/或站温度、晶片温度、目标功率电平、RF功率电平、RF暴露时间、衬底底座、卡盘和/或基座位置、以及由处理工具800执行的特定处理的其他参数。这些编程的处理可以包括各种类型的处理,这些各种类型的处理包括但不限于与底涂层的沉积相关的处理、与衬底上膜沉积(前侧和/或后侧膜沉积)相关的处理、与蚀刻衬底相关的处理、与从衬底去除/剥离材料相关的处理以及与清洁室相关的处理。系统控制软件858可以任意适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以被写入执行各种处理工具处理所必需的处理工具组件的控制操作。系统控制软件858可以以任意适当的计算机可读编程语言进行编写。
在一些实施方式中,系统控制软件858可以包括输入/输出控制(IOC)序列指令,用于控制上述的各种参数。例如,调节层沉积处理的每个阶段可以包括由系统控制器850执行的一个或者多个指令。用于针对调节层沉积处理阶段来设置处理条件的指令可以被包含进对应的调节层沉积配方阶段。在一些实施方式中,配方阶段可以按序配置,从而使用于处理阶段的所有指令与该处理阶段同时地执行。
在一些实施方式中,可以使用存储在与系统控制器850关联的大容量存储设备854和/或存储设备856的其他计算机软件和/或程序。用于该目的的程序和程序段的实施例包括衬底定位程序、处理气体控制程序、处理液体控制程序、压力控制程序、加热器控制程序以及等离子体控制程序。
衬底定位程序可以包括用于处理工具组件的程序编码,其用于加载衬底到底座818上并且控制衬底和处理工具800的其他部分之间的间隔。定位程序可以包括用于根据沉积调节层、沉积膜在衬底上以及清洁室所需而将衬底适当地移动到反应室内和移动出反应室的指令。其可包括用于确保在调节层沉积期间和在清洁处理期间在反应室内无衬底存在的指令。
处理气体控制程序可以包括用于控制气体组分和流率以及可选地用于在沉积之前使气体流入一个或者多个处理站来稳定处理站内的压力的编码。处理液体控制程序可以包括用于控制处理液体组分的程序以及用于将处理液体(例如,剥离溶液)引入处理模块的编码。压力控制程序可以包括用于通过调节例如处理站的排放系统内的节流阀、流入处理站的气体等等来控制处理站内的压力的编码。加热器控制程序可包括用于控制用于加热衬底的流向加热单元的电流。可选地或者附加地,加热器控制程序可以控制加热输送气体(例如氦)到衬底的输送。等离子控制程序可以包括用于根据本文的实施方式设置一个或者多个处理站内的RF功率电平、频率、占空比以及暴露时间。
在一些实施方式中,存在与系统控制器850关联的用户接口。该用户接口可以包括显示屏、装置和/或处理条件的图像软件显示、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备等。
在一些实施方式中,由系统控制器850调节的参数可以关联于处理条件。非限制性的实施例包括处理气体(或者液体)组分和流率、温度、压力、等离子体条件(例如RF偏置功率电平和暴露次数)等。这些参数可以以配方的形式提供给用户,配方可以使用用户接口输入。
用于监控处理的信号可以从各种处理工具传感器通过系统控制器850的模拟和/或数字输入连接提供。用于控制处理的信号可以通过处理工具800的模拟和数字输出连接输出。可受监控的处理工具传感器的非限制性实施例包括质量流量控制器、压力传感器(例如压力计)、热电偶等待。可以使用适当编程的反馈和控制算法与来自这些传感器的数据一起来维持处理条件。
系统控制器850可以提供用于实现上述沉积处理的程序指令。该程序指令可以控制各种处理参数,例如DC功率电平、RF偏置功率电平、压力、温度等等。这些指令可以控制参数来根据本文所述的各种实施方式执行膜堆叠的原位沉积。
系统控制器通常将包括一个或者多个存储设备以及一个或者多个处理器,该一个或者多个处理器被配置成执行指令以使装置将执行本发明的方法。包含用于控制本发明的处理操作的指令的机器可读的、非暂态介质可以耦合到系统控制器。
上述的各种硬件和方法实施方式可以结合例如用于制作或生产半导体设备、显示器、LED、光伏电池板以及类似产品的光刻图案化工具或者工艺一起使用。典型地,但非必需地,这样的工具/工艺将在共同的制作设施内一起使用或者一起执行。
光刻图案化膜通常包括以下操作中的一些或全部,每个操作能够使用多种可行的工具:(1)使用旋涂或喷涂工具将光致抗蚀剂涂覆在工件上,例如涂覆在上面形成有氮化硅膜的衬底上;(2)使用热板或炉或其它合适的固化工具固化光致抗蚀剂;(3)使用例如晶片步进式曝光机之类的工具将光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便使用诸如湿式台或喷射显影器之类的工具选择性地去除抗蚀剂,从而使其图案化;(5)通过使用干式或等离子体辅助式的刻蚀工具将抗蚀剂图案转移到下伏膜或衬底;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。在一些实施方式中,可灰化硬掩模层(例如无定形碳层)和另一种合适的硬掩模(例如抗反射层)可以在施加光致抗蚀剂之前沉积。
要理解的是,本文所述的配置和/或方法本质上是示例性的,并且这些具体实施方式或实施例不应当视为限定意义,因为可进行许多改变。本文所述的这些具体程序或者方法可以表示一个或者多个任意数量的处理方案。如此,所示意的各种操作可以以所示意的顺序进行、以其他顺序进行、并行地进行或者在一些情况下省略。类似地,所述处理的顺序可以改变。
本公开内容的主题包括各种处理、系统和配置的所有新颖的和非显而易见的组合和子组合,以及其它特征、功能、操作和/或本文公开的属性,及其任意和所有的等同方案。

Claims (21)

1.一种在衬底的后侧上沉积膜的方法,该方法包括:
提供包含前侧和后侧的衬底给沉积反应器,所述衬底的所述前侧包含活性区域,所述活性区域包含前侧沉积材料;
将所述衬底固定在所述沉积反应器内,使得位于所述衬底的所述前侧的所述活性区域不会接触到所述沉积反应器的任意部分;以及
将所述膜沉积在所述衬底的所述后侧上而不在所述衬底的所述前侧上沉积膜。
2.根据权利要求1所述的方法,其中,将所述衬底固定在所述沉积反应器内包括将所述衬底加载到所述沉积反应器内的支撑结构之内或者之上,其中加载所述衬底以使得在所述晶片的所述前侧上的所述活性区域暴露于前侧间隔并且所述晶片的所述后侧基本暴露于沉积区域。
3.根据权利要求2所述的方法,进一步包括使惰性气体流过所述前侧间隔。
4.根据权利要求1所述的方法,其中沉积在所述衬底的所述后侧上的所述膜为电介质膜。
5.根据权利要求1-3中任一项所述的方法,其中,所述前侧沉积材料使得所述衬底弯曲,并且其中在所述衬底的所述后侧上沉积所述膜包括将所述膜沉积到足以使所述晶片的所述弯曲减小到约150μm或者更小的弯曲高度的厚度。
6.根据权利要求5所述的方法,其中,沉积在所述晶片的所述后侧上的所述膜的厚度为小于约2μm,并且低于所述前侧沉积材料的厚度。
7.根据权利要求1-3中任一项所述的方法,其中沉积在所述衬底的所述后侧上的所述膜为牺牲层。
8.根据权利要求7所述的方法,进一步包括:
在所述衬底的所述后侧上沉积所述膜之后,通过由蚀刻、沉积、离子注入、等离子体清洁以及湿式清洁所构成的组中的一个或者多个处理对所述衬底的所述前侧进一步处理,其中,进一步处理所述衬底的所述前侧致使在所述膜上形成颗粒;以及
去除所述膜,其中去除所述膜也去除所述膜上的所述颗粒。
9.根据权利要求8中所述的方法,进一步包括在去除所述膜之后在所述衬底上执行光刻。
10.根据权利要求7中所述的方法,进一步包括:
在所述衬底的所述后侧上沉积所述膜之前,通过由蚀刻、沉积、离子注入、等离子体清洁以及湿式清洁所构成的组中的一个或者多个处理对所述衬底的所述前侧进一步处理,其中,处理所述衬底的所述前侧致使在所述衬底的所述后侧上形成颗粒;
其中,执行在所述衬底的所述后侧上沉积所述膜,使得在所述衬底的所述后侧上的所述颗粒由所述膜覆盖;
当所述膜出现在所述衬底的所述后侧上时执行光刻;以及
在执行光刻之后从所述衬底的所述后侧去除所述膜。
11.根据权利要求1-3中任一项所述的方法,其中所述衬底被固定在所述沉积反应器内,使得所述衬底的整个所述前侧不会接触到所述沉积反应器的任意部分。
12.根据权利要求1-3中任一项所述的方法,其中所述衬底为局部制造的3D-NAND设备。
13.一种用于在衬底的后侧上沉积膜的装置,该装置包括:
反应室;
所述反应室内的衬底支撑机构,其配置成将所述衬底支撑在其周边或者在其周边附近,使得所述衬底的所述前侧上的活性区域不会接触到所述沉积反应器的任意部分,并且使得所述衬底的所述后侧基本暴露;
沉积区域,其通过现有的所述衬底的底侧被限定在一侧;
前侧间隔,其通过现有的所述衬底的所述前侧被限定在一侧;
顶侧进口,其用于提供气体到所述前侧间隔;以及
沉积区域进口,其用于提供气体到所述沉积区域。
14.根据权利要求13所述的装置,进一步包括控制器,该控制器包括用于在所述衬底的所述后侧上沉积所述膜而不在所述衬底的前侧上沉积膜的指令。
15.根据权利要求13所述的装置,其中,所述前侧间隔具有约0.5mm或者更小的高度。
16.根据权利要求13-15中任一项所述的装置,其中所述顶侧进口被定位成,当存在所述晶片时在靠近所述晶片的所述前侧的中心附近输送气体,从而使所述气体在所述晶片的所述前表面上从所述晶片的所述中心向外流动。
17.根据权利要求13-15中任一项所述的装置,其中,所述反应器的一个或者多个部分是能移动的,从而使得所述前侧间隔的高度和/或所述沉积区域的高度能够改变。
18.根据权利要求13-15中任一项所述的装置,其中,所述衬底支撑机构包括支撑环。
19.根据权利要求13-15中任一项所述的装置,其中,所述衬底支撑机构配置成支撑所述衬底,使得所述衬底的整个所述前侧不会接触到所述沉积反应器的任意部分。
20.一种多工具式半导体处理装置,其包括:
前侧模块,其用于在衬底的前侧上沉积材料;
后侧模块,其用于在所述衬底的后侧上沉积材料而基本不会接触到所述衬底的所述前侧上的活性区域,其中所述后侧模块配置成输送气相反应物;以及
控制器,其包括用于在所述前侧模块内在所述衬底的所述前侧上沉积材料的指令、用于输送所述衬底到所述后侧模块的指令、以及用于在所述衬底的所述后侧上沉积材料而不会同时在所述衬底的所述前侧上沉积膜的指令。
21.根据权利要求20所述的多工具式半导体处理装置,其进一步包括用于蚀刻所述衬底的蚀刻模块。
CN201510266980.4A 2014-05-22 2015-05-22 后侧沉积装置和应用 Active CN105088177B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/285,544 US9881788B2 (en) 2014-05-22 2014-05-22 Back side deposition apparatus and applications
US14/285,544 2014-05-22

Publications (2)

Publication Number Publication Date
CN105088177A true CN105088177A (zh) 2015-11-25
CN105088177B CN105088177B (zh) 2018-09-21

Family

ID=54556574

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510266980.4A Active CN105088177B (zh) 2014-05-22 2015-05-22 后侧沉积装置和应用

Country Status (4)

Country Link
US (1) US9881788B2 (zh)
KR (4) KR102379334B1 (zh)
CN (1) CN105088177B (zh)
TW (1) TWI656234B (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109891565A (zh) * 2016-11-09 2019-06-14 朗姆研究公司 有源喷头
CN110943000A (zh) * 2018-09-25 2020-03-31 应用材料公司 用于消除cvd和图案化hvm系统的晶片弯曲的方法和设备
CN111094620A (zh) * 2017-08-31 2020-05-01 朗姆研究公司 用于在衬底选择侧上沉积的pecvd沉积系统
CN111354625A (zh) * 2018-12-20 2020-06-30 南亚科技股份有限公司 多层结构的制备方法
CN111816584A (zh) * 2019-04-11 2020-10-23 三星电子株式会社 喷头、包括其的半导体制造装置以及半导体制造方法
CN112928011A (zh) * 2019-12-06 2021-06-08 Asm Ip私人控股有限公司 基板处理设备、斜面罩及基板处理方法
CN113066755A (zh) * 2021-03-23 2021-07-02 西安微电子技术研究所 一种芯片背面金属化夹具及芯片背面金属化方法
CN113235071A (zh) * 2015-12-17 2021-08-10 朗姆研究公司 用于减少晶片背侧沉积的可变温度硬件及方法
CN113994025A (zh) * 2019-05-02 2022-01-28 莲花应用技术有限责任公司 高电压、低压等离子体增强的原子层沉积
CN114729466A (zh) * 2019-11-27 2022-07-08 朗姆研究公司 用于穿透抗蚀剂镀覆的边缘去除
US11946142B2 (en) 2019-08-16 2024-04-02 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow

Families Citing this family (418)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US20160042968A1 (en) * 2014-08-05 2016-02-11 Applied Materials, Inc. Integrated oxide and si etch for 3d cell channel mobility improvements
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10431451B2 (en) 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10818611B2 (en) * 2015-07-01 2020-10-27 Ii-Vi Delaware, Inc. Stress relief in semiconductor wafers
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
TW201711077A (zh) * 2015-09-04 2017-03-16 漢辰科技股份有限公司 電漿基礎處理系統及其運作方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102742588B1 (ko) * 2015-11-09 2024-12-16 어플라이드 머티어리얼스, 인코포레이티드 저부 처리
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102762543B1 (ko) 2016-12-14 2025-02-05 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN110945159B (zh) 2017-07-28 2022-03-01 东京毅力科创株式会社 用于基板的后侧沉积的系统和方法
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
TWI794318B (zh) * 2017-10-31 2023-03-01 美商蘭姆研究公司 增加反應器處理批量大小的方法和設備
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10651065B2 (en) * 2017-12-06 2020-05-12 Lam Research Corporation Auto-calibration to a station of a process module that spins a wafer
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI852426B (zh) 2018-01-19 2024-08-11 荷蘭商Asm Ip私人控股有限公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
WO2019162041A1 (en) 2018-02-26 2019-08-29 Evatec Ag Stabilizing stress in a layer with respect to thermal loading
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10847419B2 (en) * 2018-03-14 2020-11-24 Raytheon Company Stress compensation and relief in bonded wafers
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102658921B1 (ko) * 2018-03-30 2024-04-18 삼성전자주식회사 반도체 기판의 비활성면 상에 박막을 형성하는 장치 및 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
KR102600229B1 (ko) 2018-04-09 2023-11-10 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US10896821B2 (en) * 2018-09-28 2021-01-19 Lam Research Corporation Asymmetric wafer bow compensation by physical vapor deposition
KR102746093B1 (ko) * 2018-09-28 2024-12-23 램 리써치 코포레이션 비대칭 웨이퍼 보우 보상
CN110970344B (zh) 2018-10-01 2024-10-25 Asmip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102748291B1 (ko) 2018-11-02 2024-12-31 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR102769624B1 (ko) * 2018-12-26 2025-02-20 삼성전자주식회사 반도체 소자 제조 방법 및 반도체 공정 설비
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102727227B1 (ko) 2019-01-22 2024-11-07 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN113366145B (zh) * 2019-01-31 2024-10-11 朗姆研究公司 具有可调式气体出口的喷头
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7603377B2 (ja) 2019-02-20 2024-12-20 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR102782593B1 (ko) 2019-03-08 2025-03-14 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020226855A1 (en) * 2019-05-03 2020-11-12 Applied Materials, Inc. Method and apparatus for backside physical vapor deposition
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7598201B2 (ja) 2019-05-16 2024-12-11 エーエスエム・アイピー・ホールディング・ベー・フェー ウェハボートハンドリング装置、縦型バッチ炉および方法
JP7612342B2 (ja) 2019-05-16 2025-01-14 エーエスエム・アイピー・ホールディング・ベー・フェー ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR102185623B1 (ko) * 2019-05-20 2020-12-02 주식회사 테스 박막증착장치 및 박막증착방법
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200141931A (ko) 2019-06-10 2020-12-21 에이에스엠 아이피 홀딩 비.브이. 석영 에피택셜 챔버를 세정하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI851767B (zh) 2019-07-29 2024-08-11 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
US12169361B2 (en) 2019-07-30 2024-12-17 Asm Ip Holding B.V. Substrate processing apparatus and method
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20210018761A (ko) 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 냉각 장치를 포함한 히터 어셈블리 및 이를 사용하는 방법
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11572618B2 (en) 2019-08-27 2023-02-07 Applied Materials, Inc. Method and chamber for backside physical vapor deposition
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR102733104B1 (ko) 2019-09-05 2024-11-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7233348B2 (ja) 2019-09-13 2023-03-06 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846966B (zh) 2019-10-10 2024-07-01 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US20230032481A1 (en) * 2020-01-03 2023-02-02 Lam Research Corporation Station-to-station control of backside bow compensation deposition
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210093163A (ko) 2020-01-16 2021-07-27 에이에스엠 아이피 홀딩 비.브이. 고 종횡비 피처를 형성하는 방법
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
CN113284789A (zh) 2020-02-03 2021-08-20 Asm Ip私人控股有限公司 形成包括钒或铟层的结构的方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
KR20210103956A (ko) 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법
TWI855223B (zh) 2020-02-17 2024-09-11 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US12173404B2 (en) 2020-03-17 2024-12-24 Asm Ip Holding B.V. Method of depositing epitaxial material, structure formed using the method, and system for performing the method
KR102755229B1 (ko) 2020-04-02 2025-01-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202143328A (zh) 2020-04-21 2021-11-16 荷蘭商Asm Ip私人控股有限公司 用於調整膜應力之方法
TW202208671A (zh) 2020-04-24 2022-03-01 荷蘭商Asm Ip私人控股有限公司 形成包括硼化釩及磷化釩層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132612A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 화합물들을 안정화하기 위한 방법들 및 장치
WO2021221881A1 (en) * 2020-04-28 2021-11-04 Lam Research Corporation Showerhead designs for controlling deposition on wafer bevel/edge
JP2021181612A (ja) 2020-04-29 2021-11-25 エーエスエム・アイピー・ホールディング・ベー・フェー 固体ソースプリカーサ容器
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
ES2932508T3 (es) 2020-05-08 2023-01-20 Procter & Gamble Recipiente de producto detergente con cierre
CN113667953A (zh) 2020-05-13 2021-11-19 Asm Ip私人控股有限公司 用于反应器系统的激光器对准夹具
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145079A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 기판을 처리하기 위한 플랜지 및 장치
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
KR20210148914A (ko) 2020-05-29 2021-12-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US20230352279A1 (en) * 2020-06-25 2023-11-02 Lam Research Corporation Multi-station processing tools with station-varying support features for backside processing
CN113871296A (zh) 2020-06-30 2021-12-31 Asm Ip私人控股有限公司 衬底处理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
HUE064933T2 (hu) 2020-07-09 2024-05-28 Procter & Gamble Mosószertermék és tároló
PL3936451T3 (pl) 2020-07-09 2024-09-02 The Procter & Gamble Company Pojemnik na produkt detergentowy
PL3936450T3 (pl) 2020-07-09 2024-12-16 The Procter & Gamble Company Zastosowanie tekturowego elementu nośnego, pokrywki zawierającej tekturowy element nośny, półfabrykatu do produkcji pokrywki oraz sposobu produkcji pokrywki z tego półfabrykatu
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
KR20220011092A (ko) 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템
KR20220011093A (ko) 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. 몰리브덴층을 증착하기 위한 방법 및 시스템
KR20220021863A (ko) 2020-08-14 2022-02-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202228863A (zh) 2020-08-25 2022-08-01 荷蘭商Asm Ip私人控股有限公司 清潔基板的方法、選擇性沉積的方法、及反應器系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
TW202217045A (zh) 2020-09-10 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積間隙填充流體之方法及相關系統和裝置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR20220036866A (ko) 2020-09-16 2022-03-23 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물 증착 방법
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202218049A (zh) 2020-09-25 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050048A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202229620A (zh) 2020-11-12 2022-08-01 特文特大學 沉積系統、用於控制反應條件之方法、沉積方法
US11830778B2 (en) 2020-11-12 2023-11-28 International Business Machines Corporation Back-side wafer modification
TW202229795A (zh) 2020-11-23 2022-08-01 荷蘭商Asm Ip私人控股有限公司 具注入器之基板處理設備
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US12255053B2 (en) 2020-12-10 2025-03-18 Asm Ip Holding B.V. Methods and systems for depositing a layer
EP4011795B1 (en) 2020-12-11 2023-10-18 The Procter & Gamble Company Detergent product container with lock
TW202233884A (zh) 2020-12-14 2022-09-01 荷蘭商Asm Ip私人控股有限公司 形成臨限電壓控制用之結構的方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TW202242184A (zh) 2020-12-22 2022-11-01 荷蘭商Asm Ip私人控股有限公司 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法
TW202226899A (zh) 2020-12-22 2022-07-01 荷蘭商Asm Ip私人控股有限公司 具匹配器的電漿處理裝置
CN114686858B (zh) * 2020-12-30 2024-03-12 中微半导体设备(上海)股份有限公司 一种薄膜生长系统以及基片托盘和载环组件
CN114724924A (zh) * 2021-01-07 2022-07-08 Asm Ip私人控股有限公司 处理衬底的方法
US11935746B2 (en) * 2021-03-10 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation through mask stress management and resulting structures
EP4059857B1 (en) 2021-03-15 2023-07-12 The Procter & Gamble Company Detergent product container with lock and transversal wall
US20230245874A1 (en) * 2021-04-07 2023-08-03 Lam Research Corporation Systems and methods for controlling a plasma sheath characteristic
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12094716B2 (en) 2021-09-13 2024-09-17 Applied Materials, Inc. Chambers and coatings for reducing backside damage
KR102650914B1 (ko) * 2021-11-17 2024-03-26 주식회사 테스 기판처리장치
USD1060598S1 (en) 2021-12-03 2025-02-04 Asm Ip Holding B.V. Split showerhead cover
EP4238876B1 (en) 2022-01-14 2025-03-05 The Procter & Gamble Company Process for forming a hood for a tray
CN118103296A (zh) 2022-02-11 2024-05-28 宝洁公司 盒和罩盖的高速套装装配
US20230395356A1 (en) * 2022-06-07 2023-12-07 Applied Materials, Inc. Plasma chamber with gas cross-flow, microwave resonators and a rotatable pedestal for multiphase cyclic deposition
WO2024030382A1 (en) * 2022-08-05 2024-02-08 Lam Research Corporation Reducing thermal bow shift
TW202431354A (zh) * 2022-09-28 2024-08-01 美商應用材料股份有限公司 應力管理期間全域曲率的校正
US20250037974A1 (en) * 2023-07-27 2025-01-30 Applied Materials, Inc. Chamber for substrate backside and bevel deposition
GB202311757D0 (en) * 2023-07-31 2023-09-13 Spts Technologies Ltd Pecvd method and apparatus
KR102670080B1 (ko) * 2023-08-09 2024-05-28 (주)이지서티 데이터 재식별 가능성 감소를 위한 데이터 처리 방법
WO2025053884A1 (en) * 2023-09-08 2025-03-13 Lam Research Corporation Selective substrate processing based on electrode regions

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6153012A (en) * 1996-06-04 2000-11-28 Siemens Aktiengesellschaft Device for treating a substrate
JP2003115483A (ja) * 2001-10-05 2003-04-18 Seiko Instruments Inc 基板の湾曲を低減させる薄膜積層素子の製造方法
CN101358337A (zh) * 2008-09-25 2009-02-04 上海蓝光科技有限公司 一种非极性GaN薄膜的生长方法
CN101389415A (zh) * 2006-02-22 2009-03-18 赛迈有限公司 单侧工件处理
CN101901752A (zh) * 2009-05-25 2010-12-01 夏普株式会社 半导体层积结构体的制造方法
US20130183834A1 (en) * 2012-01-13 2013-07-18 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3914065A1 (de) 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
JP2763222B2 (ja) 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
US5384008A (en) 1993-06-18 1995-01-24 Applied Materials, Inc. Process and apparatus for full wafer deposition
US6279506B1 (en) 1995-06-26 2001-08-28 Aixtron Ag Reactor for coating plane substrates and method for producing said substrates
US6051501A (en) 1996-10-09 2000-04-18 Micron Technology, Inc. Method of reducing overetch during the formation of a semiconductor device
JP3612158B2 (ja) 1996-11-18 2005-01-19 スピードファム株式会社 プラズマエッチング方法及びその装置
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6046097A (en) 1999-03-23 2000-04-04 United Microelectronics Corp. Deposition method with improved step coverage
JP4545955B2 (ja) * 2001-01-10 2010-09-15 ルネサスエレクトロニクス株式会社 半導体製造装置及び半導体装置の製造方法
US20020179247A1 (en) 2001-06-04 2002-12-05 Davis Matthew F. Nozzle for introduction of reactive species in remote plasma cleaning applications
JP2003027242A (ja) 2001-07-18 2003-01-29 Hitachi Cable Ltd プラズマcvd装置及びそれを用いた成膜方法
MY148924A (en) 2001-09-29 2013-06-14 Cree Inc Apparatus for inverted multi-wafer mocvd fabrication
JP2003168645A (ja) 2001-12-03 2003-06-13 Hitachi Ltd 半導体薄膜装置、その製造方法及び画像表示装置
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6939808B2 (en) 2002-08-02 2005-09-06 Applied Materials, Inc. Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US20040137745A1 (en) 2003-01-10 2004-07-15 International Business Machines Corporation Method and apparatus for removing backside edge polymer
JP4413084B2 (ja) * 2003-07-30 2010-02-10 シャープ株式会社 プラズマプロセス装置及びそのクリーニング方法
US6838355B1 (en) 2003-08-04 2005-01-04 International Business Machines Corporation Damascene interconnect structures including etchback for low-k dielectric materials
US20070110895A1 (en) * 2005-03-08 2007-05-17 Jason Rye Single side workpiece processing
US7909960B2 (en) 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
US7432209B2 (en) 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7943007B2 (en) 2007-01-26 2011-05-17 Lam Research Corporation Configurable bevel etcher
KR101432561B1 (ko) * 2007-11-23 2014-08-22 (주)소슬 박막 제조 방법 및 박막 제조 장치
US20090291209A1 (en) * 2008-05-20 2009-11-26 Asm International N.V. Apparatus and method for high-throughput atomic layer deposition
DE102009020436A1 (de) * 2008-11-04 2010-09-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren und Vorrichtung zur Plasmabehandlung eines flachen Substrats
EP2251897B1 (en) * 2009-05-13 2016-01-06 Siltronic AG A method for producing a wafer comprising a silicon single crystal substrate having a front and a back side and a layer of SiGe deposited on the front side
US8334017B2 (en) * 2009-09-18 2012-12-18 Applied Materials, Inc. Apparatus and methods for forming energy storage and photovoltaic devices in a linear system
JP2011119472A (ja) * 2009-12-03 2011-06-16 Panasonic Corp 半導体製造装置
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6153012A (en) * 1996-06-04 2000-11-28 Siemens Aktiengesellschaft Device for treating a substrate
JP2003115483A (ja) * 2001-10-05 2003-04-18 Seiko Instruments Inc 基板の湾曲を低減させる薄膜積層素子の製造方法
CN101389415A (zh) * 2006-02-22 2009-03-18 赛迈有限公司 单侧工件处理
CN101358337A (zh) * 2008-09-25 2009-02-04 上海蓝光科技有限公司 一种非极性GaN薄膜的生长方法
CN101901752A (zh) * 2009-05-25 2010-12-01 夏普株式会社 半导体层积结构体的制造方法
US20130183834A1 (en) * 2012-01-13 2013-07-18 Applied Materials, Inc. Methods and apparatus for processing a substrate

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113235071A (zh) * 2015-12-17 2021-08-10 朗姆研究公司 用于减少晶片背侧沉积的可变温度硬件及方法
CN113235071B (zh) * 2015-12-17 2023-12-01 朗姆研究公司 用于减少晶片背侧沉积的可变温度硬件及方法
CN109891565B (zh) * 2016-11-09 2024-04-26 朗姆研究公司 有源喷头
CN109891565A (zh) * 2016-11-09 2019-06-14 朗姆研究公司 有源喷头
US11441222B2 (en) 2017-08-31 2022-09-13 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11725283B2 (en) 2017-08-31 2023-08-15 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US11851760B2 (en) 2017-08-31 2023-12-26 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
CN111094620A (zh) * 2017-08-31 2020-05-01 朗姆研究公司 用于在衬底选择侧上沉积的pecvd沉积系统
TWI800332B (zh) * 2017-08-31 2023-04-21 美商蘭姆研究公司 用於在基板選擇側沉積的pecvd沉積系統
CN115613010A (zh) * 2017-08-31 2023-01-17 朗姆研究公司 用于在衬底选择侧上沉积的pecvd沉积系统
CN110943000A (zh) * 2018-09-25 2020-03-31 应用材料公司 用于消除cvd和图案化hvm系统的晶片弯曲的方法和设备
CN111354625A (zh) * 2018-12-20 2020-06-30 南亚科技股份有限公司 多层结构的制备方法
CN111816584A (zh) * 2019-04-11 2020-10-23 三星电子株式会社 喷头、包括其的半导体制造装置以及半导体制造方法
CN111816584B (zh) * 2019-04-11 2024-02-13 三星电子株式会社 喷头、包括其的半导体制造装置以及半导体制造方法
CN113994025A (zh) * 2019-05-02 2022-01-28 莲花应用技术有限责任公司 高电压、低压等离子体增强的原子层沉积
US11946142B2 (en) 2019-08-16 2024-04-02 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow
CN114729466A (zh) * 2019-11-27 2022-07-08 朗姆研究公司 用于穿透抗蚀剂镀覆的边缘去除
CN112928011A (zh) * 2019-12-06 2021-06-08 Asm Ip私人控股有限公司 基板处理设备、斜面罩及基板处理方法
CN113066755B (zh) * 2021-03-23 2023-06-13 西安微电子技术研究所 一种芯片背面金属化夹具及芯片背面金属化方法
CN113066755A (zh) * 2021-03-23 2021-07-02 西安微电子技术研究所 一种芯片背面金属化夹具及芯片背面金属化方法

Also Published As

Publication number Publication date
TW201608053A (zh) 2016-03-01
TWI656234B (zh) 2019-04-11
US9881788B2 (en) 2018-01-30
CN105088177B (zh) 2018-09-21
KR20230162912A (ko) 2023-11-29
KR102548630B1 (ko) 2023-06-27
KR20150139774A (ko) 2015-12-14
KR102379334B1 (ko) 2022-03-25
US20150340225A1 (en) 2015-11-26
KR20220041810A (ko) 2022-04-01
KR20220106093A (ko) 2022-07-28

Similar Documents

Publication Publication Date Title
CN105088177B (zh) 后侧沉积装置和应用
US10903071B2 (en) Selective deposition of silicon oxide
US10074543B2 (en) High dry etch rate materials for semiconductor patterning applications
JP7022537B2 (ja) プラズマ支援および熱原子層堆積プロセスによる窒化膜形成
US9214333B1 (en) Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
KR102366249B1 (ko) 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
US9589790B2 (en) Method of depositing ammonia free and chlorine free conformal silicon nitride film
CN107523804A (zh) 用于膜轮廓调节的喷头帘式气体方法和系统
KR102708941B1 (ko) 유기실리콘 전구체를 사용한 웨이퍼 표면의 소수성 개질
CN105990108A (zh) 超薄原子层沉积膜厚度的精密控制
CN104081505A (zh) 紫外线辅助的保形膜沉积方法
CN104651807A (zh) 用于低温ald膜的室底涂层制备方法
TW202113142A (zh) 原子層沉積期間之膜特性的原位控制
KR102491768B1 (ko) 비대칭 웨이퍼 보우 보상
US20200105523A1 (en) Asymmetric wafer bow compensation by chemical vapor deposition
US20220384186A1 (en) Methods to enable seamless high quality gapfill

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant