[go: up one dir, main page]

CN104425351A - Trench forming method and semiconductor device manufacturing method - Google Patents

Trench forming method and semiconductor device manufacturing method Download PDF

Info

Publication number
CN104425351A
CN104425351A CN201310412253.5A CN201310412253A CN104425351A CN 104425351 A CN104425351 A CN 104425351A CN 201310412253 A CN201310412253 A CN 201310412253A CN 104425351 A CN104425351 A CN 104425351A
Authority
CN
China
Prior art keywords
layer
substrate
etching
hard mask
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201310412253.5A
Other languages
Chinese (zh)
Inventor
唐兆云
闫江
李峻峰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN201310412253.5A priority Critical patent/CN104425351A/en
Priority to PCT/CN2013/086126 priority patent/WO2015035691A1/en
Publication of CN104425351A publication Critical patent/CN104425351A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/01Manufacture or treatment
    • H10D30/021Manufacture or treatment of FETs having insulated gates [IGFET]
    • H10D30/031Manufacture or treatment of FETs having insulated gates [IGFET] of thin-film transistors [TFT]
    • H10D30/0321Manufacture or treatment of FETs having insulated gates [IGFET] of thin-film transistors [TFT] comprising silicon, e.g. amorphous silicon or polysilicon
    • H10D30/0323Manufacture or treatment of FETs having insulated gates [IGFET] of thin-film transistors [TFT] comprising silicon, e.g. amorphous silicon or polysilicon comprising monocrystalline silicon
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/67Thin-film transistors [TFT]
    • H10D30/6729Thin-film transistors [TFT] characterised by the electrodes
    • H10D30/673Thin-film transistors [TFT] characterised by the electrodes characterised by the shapes, relative sizes or dispositions of the gate electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/67Thin-film transistors [TFT]
    • H10D30/6729Thin-film transistors [TFT] characterised by the electrodes
    • H10D30/6737Thin-film transistors [TFT] characterised by the electrodes characterised by the electrode materials
    • H10D30/6739Conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/01Manufacture or treatment
    • H10D64/017Manufacture or treatment using dummy gates in processes wherein at least parts of the final gates are self-aligned to the dummy gates, i.e. replacement gate processes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/01Manufacture or treatment
    • H10D64/025Manufacture or treatment forming recessed gates, e.g. by using local oxidation
    • H10D64/027Manufacture or treatment forming recessed gates, e.g. by using local oxidation by etching at gate locations
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/01Manufacture or treatment
    • H10D64/018Spacers formed inside holes at the prospective gate locations, e.g. holes left by removing dummy gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/60Electrodes characterised by their materials
    • H10D64/66Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
    • H10D64/667Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes the conductor comprising a layer of alloy material, compound material or organic material contacting the insulator, e.g. TiN workfunction layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/60Electrodes characterised by their materials
    • H10D64/66Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
    • H10D64/68Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator
    • H10D64/691Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator comprising metallic compounds, e.g. metal oxides or metal silicates 

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Element Separation (AREA)
  • Thin Film Transistor (AREA)

Abstract

本申请公开了一种沟槽形成方法以及一种半导体器件制造方法。一示例方法可以包括:在衬底上形成硬掩膜层;在硬掩膜层上形成刻蚀停止确定层;分别对刻蚀停止确定层和硬掩膜层进行构图,以在其中形成与要形成的沟槽相对应的图案;以构图的刻蚀停止确定层和硬掩膜层为掩模,对衬底进行刻蚀,以在其中形成沟槽,其中,对衬底的刻蚀同时对刻蚀停止确定层进行刻蚀;以及检测指示刻蚀停止确定层被刻蚀到终点的信号,以确定对衬底刻蚀的停止。

The application discloses a method for forming a trench and a method for manufacturing a semiconductor device. An example method may include: forming a hard mask layer on a substrate; forming an etch stop defining layer on the hard mask layer; patterning the etch stop defining layer and the hard mask layer respectively to form a A pattern corresponding to the formed trench; using the patterned etch stop determining layer and the hard mask layer as a mask, the substrate is etched to form a trench therein, wherein the etching of the substrate simultaneously Etching the etching stop determining layer; and detecting a signal indicating that the etching stop determining layer is etched to an end point to determine the stop of etching the substrate.

Description

沟槽形成方法和半导体器件制造方法Trench forming method and semiconductor device manufacturing method

技术领域technical field

本公开涉及半导体领域,更具体地,涉及一种沟槽形成方法和一种半导体器件制造方法。The present disclosure relates to the field of semiconductors, and more particularly, to a method for forming a trench and a method for manufacturing a semiconductor device.

背景技术Background technique

在许多应用中需要在衬底中形成凹入的沟槽。然而,随着器件的不断小型化,难以有效控制这种沟槽的形成,特别是其深度及深度一致性。Forming recessed trenches in a substrate is desired in many applications. However, with the continuous miniaturization of devices, it is difficult to effectively control the formation of such trenches, especially their depth and depth uniformity.

发明内容Contents of the invention

本公开的目的至少部分地在于提供一种沟槽形成方法以及一种半导体器件制造方法,以更好地控制所形成的沟槽的深度及深度一致性。The purpose of the present disclosure is at least in part to provide a trench forming method and a semiconductor device manufacturing method to better control the depth and depth uniformity of the formed trenches.

根据本公开的一个方面,提供了一种在衬底中形成沟槽的方法,包括:在衬底上形成硬掩膜层;在硬掩膜层上形成刻蚀停止确定层;分别对刻蚀停止确定层和硬掩膜层进行构图,以在其中形成与要形成的沟槽相对应的图案;以构图的刻蚀停止确定层和硬掩膜层为掩模,对衬底进行刻蚀,以在其中形成沟槽,其中,对衬底的刻蚀同时对刻蚀停止确定层进行刻蚀;以及检测指示刻蚀停止确定层被刻蚀到终点的信号,以确定对衬底刻蚀的停止。According to an aspect of the present disclosure, there is provided a method of forming a trench in a substrate, comprising: forming a hard mask layer on the substrate; forming an etch stop determining layer on the hard mask layer; Patterning the stop determining layer and the hard mask layer to form a pattern corresponding to the groove to be formed therein; using the patterned etching stop determining layer and the hard mask layer as a mask to etch the substrate, to form a trench therein, wherein the etching of the substrate is simultaneously performed on the etching stop determining layer; and detecting a signal indicating that the etching stop determining layer is etched to an end point to determine the etching of the substrate stop.

根据本公开的另一方面,提供了一种制造半导体器件的方法,包括:根据上述方法,在衬底中形成沟槽;在沟槽的侧壁上形成侧墙;在沟槽中填充遮蔽层;在衬底中沟槽两侧形成源/漏区;以及去除沟槽中填充的遮蔽层,并在沟槽中形成栅堆叠。According to another aspect of the present disclosure, there is provided a method of manufacturing a semiconductor device, comprising: according to the above method, forming a trench in a substrate; forming sidewalls on sidewalls of the trench; filling the trench with a shielding layer ; forming source/drain regions on both sides of the trench in the substrate; and removing the masking layer filled in the trench, and forming a gate stack in the trench.

根据本发明的示例性实施例,在硬掩膜层上形成了刻蚀停止确定层。通过检测指示该刻蚀停止确定层被刻蚀到终点的信号,可以确定对衬底刻蚀的停止。这样,可以改善得到的沟槽的深度一致性。According to an exemplary embodiment of the present invention, an etch stop determination layer is formed on the hard mask layer. By detecting a signal indicating that the etch stop determination layer is etched to an end point, the stop of etching of the substrate can be determined. In this way, the depth uniformity of the resulting grooves can be improved.

附图说明Description of drawings

通过以下参照附图对本公开实施例的描述,本公开的上述以及其他目的、特征和优点将更为清楚,在附图中:The above and other objects, features and advantages of the present disclosure will be more clearly described through the following description of the embodiments of the present disclosure with reference to the accompanying drawings, in which:

图1-6是示出了根据本公开实施例的在衬底中形成沟槽的流程中多个阶段的示意图;以及1-6 are schematic diagrams illustrating various stages in the process of forming a trench in a substrate according to an embodiment of the present disclosure; and

图7-17是示出了根据本公开另一实施例的基于沟槽来制造半导体器件的流程中多个阶段的示意图。7-17 are schematic diagrams illustrating various stages in the process of manufacturing a semiconductor device based on trenches according to another embodiment of the present disclosure.

具体实施方式Detailed ways

以下,将参照附图来描述本公开的实施例。但是应该理解,这些描述只是示例性的,而并非要限制本公开的范围。此外,在以下说明中,省略了对公知结构和技术的描述,以避免不必要地混淆本公开的概念。Hereinafter, embodiments of the present disclosure will be described with reference to the drawings. It should be understood, however, that these descriptions are exemplary only, and are not intended to limit the scope of the present disclosure. Also, in the following description, descriptions of well-known structures and techniques are omitted to avoid unnecessarily obscuring the concepts of the present disclosure.

在附图中示出了根据本公开实施例的各种结构示意图。这些图并非是按比例绘制的,其中为了清楚表达的目的,放大了某些细节,并且可能省略了某些细节。图中所示出的各种区域、层的形状以及它们之间的相对大小、位置关系仅是示例性的,实际中可能由于制造公差或技术限制而有所偏差,并且本领域技术人员根据实际所需可以另外设计具有不同形状、大小、相对位置的区域/层。Various structural schematic diagrams according to embodiments of the present disclosure are shown in the accompanying drawings. The figures are not drawn to scale, with certain details exaggerated and possibly omitted for clarity of presentation. The shapes of the various regions and layers shown in the figure, as well as their relative sizes and positional relationships are only exemplary, and may deviate due to manufacturing tolerances or technical limitations in practice, and those skilled in the art will Regions/layers with different shapes, sizes, and relative positions can be additionally designed as required.

在本公开的上下文中,当将一层/元件称作位于另一层/元件“上”时,该层/元件可以直接位于该另一层/元件上,或者它们之间可以存在居中层/元件。另外,如果在一种朝向中一层/元件位于另一层/元件“上”,那么当调转朝向时,该层/元件可以位于该另一层/元件“下”。In the context of the present disclosure, when a layer/element is referred to as being "on" another layer/element, the layer/element can be directly on the other layer/element, or there may be intervening layers/elements in between. element. Additionally, if a layer/element is "on" another layer/element in one orientation, the layer/element can be located "below" the other layer/element when the orientation is reversed.

根据本公开的实施例,提供了一种在衬底中形成沟槽的方法。根据该方法,在衬底上形成硬掩膜层,该硬掩膜层可以在随后对衬底进行刻蚀时充当掩模。为了加强对衬底刻蚀的控制,特别是对刻蚀深度及深度一致性的控制,可以在硬掩膜层上形成一刻蚀停止确定层。该刻蚀停止确定层的材料可以选择为能够随衬底一起被刻蚀。这样,可以通过检测指示刻蚀停止确定层被刻蚀到终点(即,基本被完全刻蚀掉)的信号,来确定对衬底刻蚀的停止。例如,可以根据所要刻蚀的沟槽的深度以及刻蚀停止确定层和衬底各自的刻蚀速率(例如,在两者的材料相同的情况下,它们的刻蚀速率可以大致相同),确定刻蚀停止确定层的厚度。According to an embodiment of the present disclosure, a method of forming a trench in a substrate is provided. According to the method, a hard mask layer is formed on the substrate, which can serve as a mask when the substrate is subsequently etched. In order to strengthen the control of substrate etching, especially the control of etching depth and depth consistency, an etching stop determination layer can be formed on the hard mask layer. The material of the etch stop determining layer can be selected to be etched together with the substrate. In this way, the stop of the etching of the substrate can be determined by detecting a signal indicating that the etching stop determination layer is etched to the end (ie, substantially completely etched away). For example, the respective etching rates of the layer and the substrate can be determined according to the depth of the groove to be etched and the etching stop (for example, when the materials of the two are the same, their etching rates can be approximately the same), determine The etch stop determines the thickness of the layer.

在对衬底刻蚀之前,可以分别对刻蚀停止确定层和硬掩膜层进行构图,以在其中形成与要形成的沟槽相对应的图案。这样,随后可以它们为掩模,对衬底进行刻蚀,以在其中形成相应的沟槽。Before etching the substrate, the etch stop determining layer and the hard mask layer may be respectively patterned to form patterns therein corresponding to trenches to be formed. In this way, the substrate can then be etched using them as a mask to form corresponding trenches therein.

在如此形成沟槽之后,可以该形成有沟槽的衬底为基础,进一步制造半导体器件如场效应晶体管(FET)。根据一示例,可以在沟槽内形成栅堆叠。为此,可以在沟槽的侧壁上形成侧墙(spacer),其随后充当栅侧墙。为避免源/漏形成处理对栅堆叠的影响,可以先形成源/漏区,再形成栅堆叠。例如,可以在沟槽中填充遮蔽层,以遮蔽沟槽(及其下方的衬底部分,其随后充当沟道区)。随后,例如可以通过离子注入等方式,在衬底中沟槽两侧形成源/漏区。接着,可以去除遮蔽层,并在沟槽中形成栅堆叠。栅堆叠可以是各种合适的形式,例如高K栅介质和金属栅导体(以及可选的夹于它们之间的功函数调节层)的堆叠。After the trenches are thus formed, semiconductor devices such as field effect transistors (FETs) can be further fabricated on the basis of the trenched substrate. According to an example, a gate stack may be formed within the trench. To this end, spacers may be formed on the sidewalls of the trenches, which then serve as gate spacers. In order to avoid the influence of the source/drain formation process on the gate stack, the source/drain region can be formed first, and then the gate stack can be formed. For example, a masking layer may be filled in the trench to shield the trench (and the underlying substrate portion, which then acts as a channel region). Subsequently, source/drain regions may be formed on both sides of the trench in the substrate, for example, by means of ion implantation. Next, the masking layer can be removed, and a gate stack can be formed in the trench. The gate stack can be in various suitable forms, such as a stack of a high-K gate dielectric and a metal gate conductor (and optionally a work function adjusting layer sandwiched therebetween).

根据一有利示例,为了避免源/漏区接触部的制造困难(特别是在器件不断小型化的情况下),在形成源/漏区之后,可以对衬底位于沟槽两侧的部分进行硅化处理,以形成与源/漏区的接触部。由于沟槽中存在遮蔽层(通常为电介质材料),因此这种硅化处理基本上不会对沟槽(及其下方的衬底部分)造成影响。从而,接触部自对准于沟槽两侧的源/漏区。而且,这种接触部的形成不需要接触孔的刻蚀和填充,简化了工艺。According to an advantageous example, in order to avoid the manufacturing difficulties of the contact portion of the source/drain region (especially in the case of continuous miniaturization of devices), after the source/drain region is formed, the part of the substrate located on both sides of the trench can be silicided processing to form contacts to the source/drain regions. This silicidation process has essentially no effect on the trench (and the portion of the substrate below it) due to the presence of a masking layer (usually a dielectric material) in the trench. Thus, the contacts are self-aligned to the source/drain regions on both sides of the trench. Moreover, the formation of such a contact portion does not require etching and filling of a contact hole, which simplifies the process.

本公开可以各种形式呈现,以下将描述其中一些示例。The disclosure can be presented in various forms, some examples of which are described below.

如图1所示,提供衬底1000。衬底1000可以是各种形式的合适衬底,例如体半导体衬底如Si、Ge等,化合物半导体衬底如SiGe、GaAs、GaSb、AlAs、InAs、InP、GaN、SiC、InGaAs、InSb、InGaSb等,绝缘体上半导体衬底(SOI)等。在此,以SOI衬底及硅系材料为例进行描述。但是需要指出的是,本公开不限于此。As shown in FIG. 1 , a substrate 1000 is provided. The substrate 1000 may be a suitable substrate in various forms, for example, a bulk semiconductor substrate such as Si, Ge, etc., a compound semiconductor substrate such as SiGe, GaAs, GaSb, AlAs, InAs, InP, GaN, SiC, InGaAs, InSb, InGaSb etc., semiconductor-on-insulator substrate (SOI), etc. Here, an SOI substrate and a silicon-based material are taken as examples for description. However, it should be noted that the present disclosure is not limited thereto.

具体地,SOI衬底1000可以包括层叠的基底衬底1000-1、埋入绝缘层1000-2和SOI层1000-3。例如,基底衬底1000-1可以包括体硅。埋入绝缘层1000-2可以包括氧化物(如氧化硅),厚度例如为约典型的如SOI层1000-3可以包括晶体硅,厚度例如为约典型的如 Specifically, the SOI substrate 1000 may include a stacked base substrate 1000-1, a buried insulating layer 1000-2, and an SOI layer 1000-3. For example, the base substrate 1000-1 may include bulk silicon. The buried insulating layer 1000-2 may include oxide (such as silicon oxide) with a thickness of, for example, about typical as The SOI layer 1000-3 may comprise crystalline silicon with a thickness of, for example, about typical as

在衬底1000中,还形成了用于限定有源区的浅沟槽隔离(STI)1002。STI1002例如可以包括氧化物,且延伸进入到埋入绝缘层1000-2中,以确保有效的电隔离。本领域技术人员可以想到多种方式来形成这种STI,在此不再赘述。另外,在衬底1000的表面上,还可以形成有垫氧化物(pad oxide)层1004。垫氧化物层1004例如可以通过热氧化或淀积来形成,厚度可以为约典型的如 In the substrate 1000, shallow trench isolations (STIs) 1002 for defining active regions are also formed. STI 1002 may, for example, comprise oxide and extend into buried insulating layer 1000-2 to ensure effective electrical isolation. Those skilled in the art can think of many ways to form this STI, which will not be repeated here. In addition, a pad oxide layer 1004 may also be formed on the surface of the substrate 1000 . The pad oxide layer 1004 can be formed, for example, by thermal oxidation or deposition, and the thickness can be about typical as

然后,如图2所示,可以在衬底1000(或者,在垫氧化物层1004)上,例如通过淀积如低压化学气相沉积(LPCVD),形成硬掩膜层1006。例如,硬掩膜层1006可以包括氮化物(如氮化硅)或氮氧化物(如氮氧化硅),厚度为约典型的如 Then, as shown in FIG. 2, a hard mask layer 1006 may be formed on the substrate 1000 (or, on the pad oxide layer 1004), eg, by deposition such as low pressure chemical vapor deposition (LPCVD). For example, the hard mask layer 1006 may comprise a nitride (such as silicon nitride) or an oxynitride (such as silicon oxynitride) with a thickness of about typical as

如上所述,为了改善对刻蚀的控制,可以在硬掩膜层1006上,例如通过淀积,形成刻蚀停止确定层1010。在该示例中,刻蚀停止确定层1010包括与衬底相同的硅材料,例如非晶硅。但是,本公开不限于此,刻蚀停止确定层1010也可以包括不同于衬底的其他材料。另外,为了改善硬掩膜层1006与刻蚀停止确定层1010之间的结合,可以在硬掩膜层1006上先形成(例如,通过淀积)一垫氧化物层1008,其厚度可以约为典型的如然后再在该垫氧化物层1008上形成刻蚀停止确定层1010。As described above, to improve etch control, an etch stop defining layer 1010 may be formed on the hard mask layer 1006, eg, by deposition. In this example, etch stop determining layer 1010 includes the same silicon material as the substrate, such as amorphous silicon. However, the present disclosure is not limited thereto, and the etch stop determining layer 1010 may also include other materials than the substrate. In addition, in order to improve the bonding between the hard mask layer 1006 and the etch stop defining layer 1010, a pad oxide layer 1008 may be first formed (eg, deposited) on the hard mask layer 1006, and its thickness may be about typical as An etch stop defining layer 1010 is then formed on the pad oxide layer 1008 .

在此,可以根据随后采用的刻蚀方案对衬底(具体地,SOI层1000-3)和刻蚀停止确定层1010的刻蚀速率以及需要刻蚀的深度,来确定刻蚀停止确定层1010的厚度。在该示例中,由于SOI层1000-3和刻蚀停止确定层1010均为硅材料(一个为晶体硅,一个为非晶硅),因此通过选择适当的刻蚀方案,它们的刻蚀速率可以大致相同。在这种情况下,可以将刻蚀停止确定层1010的厚度设置为与需要刻蚀的深度基本上相同。Here, the etch stop determining layer 1010 can be determined according to the etching rate of the substrate (specifically, the SOI layer 1000-3) and the etch stop determining layer 1010 and the depth to be etched according to the subsequently adopted etching scheme. thickness of. In this example, since both the SOI layer 1000-3 and the etching stop determining layer 1010 are silicon materials (one is crystalline silicon and the other is amorphous silicon), their etching rates can be achieved by selecting an appropriate etching scheme. Much the same. In this case, the thickness of the etch stop determining layer 1010 may be set to be substantially the same as the depth to be etched.

接下来,可以进行刻蚀。具体地,如图3所示,可以在刻蚀停止确定层1010上形成光刻胶1012,并通过光刻对光刻胶1012进行构图,以在其中形成与将要形成的沟槽相对应的开口G1。然后,以该构图的光刻胶1012为掩模,可以依次对刻蚀停止确定层1010和硬掩膜层1006进行选择性刻蚀如反应离子刻蚀(RIE),以将开口G1的图案转移到其中,从而在其中形成开口G2。在该示例中,还刻蚀了垫氧化物层1004和1008,从而也在其中形成开口G2。然后,可以去除光刻胶1012,如图5所示。Next, etching can be performed. Specifically, as shown in FIG. 3, a photoresist 1012 may be formed on the etching stop determining layer 1010, and the photoresist 1012 is patterned by photolithography to form an opening corresponding to the groove to be formed therein. G1. Then, using the patterned photoresist 1012 as a mask, selective etching such as reactive ion etching (RIE) can be performed on the etch stop determining layer 1010 and the hard mask layer 1006 in sequence, so as to transfer the pattern of the opening G1 into it, thereby forming an opening G2 therein. In this example, pad oxide layers 1004 and 1008 are also etched, thereby also forming opening G2 therein. Then, the photoresist 1012 may be removed, as shown in FIG. 5 .

接下来,如图6所示,可以构图后的刻蚀停止确定层1010和硬掩膜层1006为掩模,对衬底(具体地,SOI层1000-3)进行刻蚀如RIE,以在其中形成沟槽G3。在此,可以根据刻蚀停止确定层1010被刻蚀到终点的信号,来确定停止对衬底刻蚀的时刻。例如,可以一检测到终点信号,就停止对衬底的刻蚀;或者,可以在检测到终点信号之后,再进行一定程度的过刻蚀。对于终点信号的检测,本领域技术人员能够设想到多种方案。例如,可以通过检测刻蚀产物,来判断刻蚀停止确定层1010是否已被刻蚀完(例如,在检测到含氮产物时,可以判断刻蚀已经到达硬掩膜层1006,且因此判断刻蚀停止确定层1010已被刻蚀完)。Next, as shown in FIG. 6, the patterned etch stop determination layer 1010 and the hard mask layer 1006 can be used as a mask to etch the substrate (specifically, the SOI layer 1000-3) such as RIE to The groove G3 is formed therein. Here, the time to stop etching the substrate can be determined according to the signal indicating that the etching stop determining layer 1010 has been etched to an end point. For example, the etching of the substrate can be stopped as soon as the end signal is detected; or, a certain degree of over-etching can be performed after the end signal is detected. For the detection of the endpoint signal, those skilled in the art can conceive of various schemes. For example, it can be judged whether the etching stop determination layer 1010 has been etched by detecting the etching product (for example, when a nitrogen-containing product is detected, it can be judged that the etching has reached the hard mask layer 1006, and thus it can be judged etch stop determining layer 1010 has been etched).

根据一示例,在刻蚀后,沟槽下方剩余的SOI层1000-3的厚度为约2-20nm。沟槽下方的这部分SOI层随后可以充当器件的沟道区CH。随后,可以去除垫氧化物层1008、硬掩膜层1006和垫氧化物层1004。According to an example, after etching, the thickness of the SOI layer 1000-3 remaining under the trench is about 2-20 nm. This portion of the SOI layer below the trench can then act as the channel region CH of the device. Subsequently, pad oxide layer 1008, hard mask layer 1006, and pad oxide layer 1004 may be removed.

这样,就在衬底(具体地,SOI层1000-3)中形成了沟槽G3。由于可以有效控制沟槽G3的刻蚀停止条件,从而可以有效控制沟槽G3的深度及其深度一致性。Thus, trench G3 is formed in the substrate (specifically, SOI layer 1000-3). Since the etching stop condition of the trench G3 can be effectively controlled, the depth of the trench G3 and its depth consistency can be effectively controlled.

以衬底中形成的这种沟槽G3为基础,可以制作各种结构。以下,描述一制造半导体器件如FET的示例,其中可以在沟槽G3中形成栅堆叠。Based on such groove G3 formed in the substrate, various structures can be fabricated. Hereinafter, an example of fabricating a semiconductor device such as a FET is described, in which a gate stack may be formed in the trench G3.

与沟槽中要形成的栅堆叠相适应,可以在沟槽的侧壁上形成栅侧墙。具体地,如图7所示,可以在形成有沟槽的衬底上依次形成氧化物层1014(例如通过原位气相生长(ISSG))和氮化物层1016(例如通过淀积)。氧化物层1014的厚度可以为约典型的如;氮化物层1016的厚度可以为约典型的如之后,如图8所示,可以对氮化物层1016进行各向异性刻蚀如RIE,从而形成侧墙。这里需要指出的是,根据另一示例,可以不形成这种氧化物层1014,而只形成氮化物层1016。Compatible with the gate stack to be formed in the trench, gate spacers may be formed on the sidewalls of the trench. Specifically, as shown in FIG. 7 , an oxide layer 1014 (for example, by in-situ vapor growth (ISSG)) and a nitride layer 1016 (for example, by deposition) can be sequentially formed on the substrate on which the trench is formed. The thickness of the oxide layer 1014 can be about typical as ; The thickness of the nitride layer 1016 can be about typical as Afterwards, as shown in FIG. 8 , anisotropic etching such as RIE may be performed on the nitride layer 1016 to form sidewalls. It should be noted here that, according to another example, the oxide layer 1014 may not be formed, but only the nitride layer 1016 may be formed.

在形成氧化物层1014的情况下,在形成氧化物层1014之后且在形成氮化物层1016之前,可选地还可以进行阱注入和阈值电压调节注入。In the case of forming the oxide layer 1014, after forming the oxide layer 1014 and before forming the nitride layer 1016, optionally, well implantation and threshold voltage adjustment implantation may also be performed.

随后,可以在沟槽中填充遮蔽层。具体地,如图9所示,可以在图8所示的结构上,例如通过高深宽比淀积工艺(HARP)或高密度等离子体(HDP)淀积,形成一层较厚足以填满沟槽的遮蔽材料1018。该遮蔽材料1018可以包括氧化物。然后,如图10所示,可以进行平坦化处理例如化学机械抛光(CMP)。该平坦化处理可以SOI层1000-3(在该示例中,硅)为停止层。这样,遮蔽层1018留于沟槽内,且露出了SOI层1000-3位于沟槽两侧的部分,以便于后继的源/漏区处理。Subsequently, a masking layer may be filled in the trenches. Specifically, as shown in FIG. 9, a layer thick enough to fill the trench can be formed on the structure shown in FIG. 8, for example, by high aspect ratio deposition process (HARP) or high density plasma (HDP) deposition. Masking material 1018 for the slot. The masking material 1018 may include an oxide. Then, as shown in FIG. 10, a planarization process such as chemical mechanical polishing (CMP) may be performed. This planarization process may use the SOI layer 1000-3 (silicon in this example) as a stop layer. In this way, the shielding layer 1018 remains in the trench and exposes the portions of the SOI layer 1000-3 located on both sides of the trench, so as to facilitate the subsequent processing of the source/drain regions.

然后,如图11所示,例如可以通过离子注入,在衬底中沟槽两侧(特别是沟道区CH两侧)形成源/漏区(未示出)。例如,对于n型器件,可以注入n型杂质如P、As等;对于p型器件B等,可以注入p型杂质。在离子注入之后,还可以进行退火如尖峰退火,以激活注入的离子。Then, as shown in FIG. 11 , source/drain regions (not shown) may be formed on both sides of the trench (especially both sides of the channel region CH) in the substrate, for example, by ion implantation. For example, for an n-type device, n-type impurities such as P, As, etc. can be implanted; for a p-type device B, etc., p-type impurities can be implanted. After ion implantation, annealing such as spike annealing may also be performed to activate the implanted ions.

这里需要指出的是,形成源/漏区的方法不限于离子注入。可以通过选择性刻蚀,去除SOI层1000-3位于沟槽两侧的一部分。然后,可以通过外延生长另外的半导体层(未示出)来形成源/漏区。在外延生长的同时,可以进行原位掺杂。生长的半导体层可以包括不同于SOI层1000-3的材料(例如,SiGe或Si:C),从而可以向沟道区CH施加应力,以增强器件性能。It should be pointed out here that the method of forming the source/drain region is not limited to ion implantation. A portion of the SOI layer 1000-3 located on both sides of the trench can be removed by selective etching. Source/drain regions may then be formed by epitaxially growing an additional semiconductor layer (not shown). Simultaneously with the epitaxial growth, in-situ doping can be performed. The grown semiconductor layer may include a material different from the SOI layer 1000-3 (eg, SiGe or Si:C), so that stress may be applied to the channel region CH to enhance device performance.

根据一有利示例,可以通过硅化处理,在沟槽两侧直接形成源/漏接触部。具体地,如图13所示,可以在衬底上例如通过淀积,形成一金属层1020。金属层1020可以包括Ni、Ti、Co或其合金,其量足以与之下的SOI层1000-3充分反应以生成金属硅化物。之后,可以进行退火如快速热退火(RTA),使金属层1020与SOI层1000-3(具体地,其中的硅)发生硅化反应,从而得到金属硅化物1022,并可以去除多余的金属层1020,如图14所示。这种金属硅化物1022自对准于SOI层1000-3中形成的源/漏区,并因此可以从当源/漏区的接触部。随后,如图15所示,例如可以通过BOE或者稀释氢氟酸溶液,去除遮蔽层1018。According to an advantageous example, source/drain contact portions can be directly formed on both sides of the trench through silicidation. Specifically, as shown in FIG. 13 , a metal layer 1020 may be formed on the substrate, for example, by deposition. The metal layer 1020 may include Ni, Ti, Co or alloys thereof in an amount sufficient to fully react with the underlying SOI layer 1000-3 to form a metal silicide. Afterwards, annealing such as rapid thermal annealing (RTA) can be performed, so that the metal layer 1020 and the SOI layer 1000-3 (specifically, the silicon therein) undergo a silicide reaction, thereby obtaining a metal silicide 1022, and the redundant metal layer 1020 can be removed , as shown in Figure 14. This metal silicide 1022 is self-aligned to the source/drain regions formed in the SOI layer 1000-3, and thus can serve as a contact to the source/drain regions. Subsequently, as shown in FIG. 15 , the masking layer 1018 may be removed, for example, by BOE or dilute hydrofluoric acid solution.

在这种情况下,为了避免在去除遮蔽层1018时刻蚀时间过长而损害形成的金属硅化物1022,在进行硅化处理之前,可以如图12所示,部分去除遮蔽层1018。图13示出了在部分去除遮蔽层1018之后的结构上形成金属层1020的情况。In this case, in order to avoid damaging the formed metal silicide 1022 due to too long etching time when removing the masking layer 1018 , the masking layer 1018 may be partially removed as shown in FIG. 12 before the silicidation process. FIG. 13 shows a situation where a metal layer 1020 is formed on the structure after partial removal of the masking layer 1018 .

在图14的示例中,将金属硅化物1022示出为延伸SOI层1000-3的整个厚度。但是,本公开不限于此。例如,金属硅化物1022可以形成于SOI层1000-3靠近表面的上部,而没有延伸到SOI层1000-3的底部。In the example of FIG. 14, metal suicide 1022 is shown extending the entire thickness of SOI layer 1000-3. However, the present disclosure is not limited thereto. For example, the metal silicide 1022 may be formed on the upper portion of the SOI layer 1000-3 near the surface without extending to the bottom of the SOI layer 1000-3.

接着,可以在沟槽中侧墙1016内侧形成栅堆叠。具体地,如图16所示,可以在图15所示的结构上,依次形成栅介质层1026和栅导体层1030。栅介质层1026可以包括高K栅介质如HfO2,厚度例如为约栅导体层1030可以包括金属栅导体如Ti、Ni等。另外,在栅介质层1026与衬底之间例如可以通过热氧化或淀积,形成一界面氧化物层1024,厚度为约在高K栅介质层和金属少导体之间可以包括功函数调节层1028,如TiN。随后,可以进行平坦化处理如CMP,以露出源/漏接触部1022。可以看出,栅堆叠与源/漏接触部1022具有基本上相同的高度。这有助于后继互连结构的制作。Next, a gate stack may be formed inside the spacer 1016 in the trench. Specifically, as shown in FIG. 16 , a gate dielectric layer 1026 and a gate conductor layer 1030 may be sequentially formed on the structure shown in FIG. 15 . The gate dielectric layer 1026 may include a high-K gate dielectric such as HfO 2 , with a thickness of, for example, about The gate conductor layer 1030 may include a metal gate conductor such as Ti, Ni, or the like. In addition, between the gate dielectric layer 1026 and the substrate, for example, an interface oxide layer 1024 can be formed by thermal oxidation or deposition, with a thickness of about A work function adjustment layer 1028, such as TiN, may be included between the high-K gate dielectric layer and the metal-poor conductor. Subsequently, a planarization process such as CMP may be performed to expose the source/drain contact portion 1022 . It can be seen that the gate stack has substantially the same height as the source/drain contact 1022 . This facilitates the fabrication of subsequent interconnect structures.

这样,就得到了根据该实施例的半导体器件。该半导体器件可以包括嵌入于衬底中形成的沟槽内的栅堆叠。栅堆叠可以包括栅介质层1026和栅导体层1030(以及可选的界面氧化物层1024和功函数调节层1028)。衬底中处于栅堆叠下方的部分CH可以用作该器件的沟道区。该半导体器件还包括在衬底中栅堆叠两侧(更具体地,沟道区两侧)形成的源/漏区以及在衬底中形成的与源/漏区的源/漏接触部1022。源/漏接触部1022可以包括通过沟槽两侧的衬底部分经硅化处理而形成的金属硅化物。In this way, the semiconductor device according to this embodiment is obtained. The semiconductor device may include a gate stack embedded within a trench formed in a substrate. The gate stack may include a gate dielectric layer 1026 and a gate conductor layer 1030 (and optionally an interface oxide layer 1024 and a work function adjusting layer 1028). The part of CH in the substrate under the gate stack can be used as the channel region of the device. The semiconductor device further includes source/drain regions formed on both sides of the gate stack in the substrate (more specifically, both sides of the channel region), and source/drain contact portions 1022 formed in the substrate with the source/drain regions. The source/drain contact portion 1022 may include a metal silicide formed by siliciding the substrate portions on both sides of the trench.

这里需要指出的是,尽管在以上描述中以SOI衬底为例,但是本公开的技术可以适用于其他各种衬底。另外,在以上描述的实施例中,形成的沟槽用来在其中形成栅堆叠,但是本公开的技术可以适用于各种需要形成沟槽的应用。It should be pointed out here that although the SOI substrate is taken as an example in the above description, the technology disclosed in the present disclosure may be applicable to various other substrates. In addition, in the above-described embodiments, trenches are formed to form gate stacks therein, but the technology of the present disclosure can be applied to various applications requiring the formation of trenches.

在以上的描述中,对于各层的构图、刻蚀等技术细节并没有做出详细的说明。但是本领域技术人员应当理解,可以通过各种技术手段,来形成所需形状的层、区域等。另外,为了形成同一结构,本领域技术人员还可以设计出与以上描述的方法并不完全相同的方法。另外,尽管在以上分别描述了各实施例,但是这并不意味着各个实施例中的措施不能有利地结合使用。In the above description, technical details such as patterning and etching of each layer are not described in detail. However, those skilled in the art should understand that various technical means can be used to form layers, regions, etc. of desired shapes. In addition, in order to form the same structure, those skilled in the art can also design a method that is not exactly the same as the method described above. In addition, although the various embodiments are described above separately, this does not mean that the measures in the various embodiments cannot be advantageously used in combination.

以上对本公开的实施例进行了描述。但是,这些实施例仅仅是为了说明的目的,而并非为了限制本公开的范围。本公开的范围由所附权利要求及其等价物限定。不脱离本公开的范围,本领域技术人员可以做出多种替代和修改,这些替代和修改都应落在本公开的范围之内。The embodiments of the present disclosure have been described above. However, these examples are for illustrative purposes only and are not intended to limit the scope of the present disclosure. The scope of the present disclosure is defined by the appended claims and their equivalents. Various substitutions and modifications can be made by those skilled in the art without departing from the scope of the present disclosure, and these substitutions and modifications should all fall within the scope of the present disclosure.

Claims (15)

1. form a method for groove in the substrate, comprising:
Substrate forms hard mask layer;
Hard mask layer is formed etching stopping and determines layer;
Respectively etching stopping is determined that layer and hard mask layer carry out composition, with the pattern that the groove formed with will be formed is corresponding wherein;
Determine that layer and hard mask layer are for mask, etch substrate with the etching stopping of composition, to form groove wherein, wherein, to etching stopping, the etching of substrate is determined that layer etches simultaneously; And
Detect instruction etching stopping and determine that layer is etched into the signal of terminal, to determine the stopping to substrate etching.
2. method according to claim 1, wherein, substrate comprises silicon, and etching stopping determines that layer comprises amorphous silicon.
3. method according to claim 2, wherein, hard mask layer comprises nitride.
4. method according to claim 3, also comprises:
Substrate is formed the first pad oxide skin(coating), and wherein hard mask layer is formed on this first pad oxide skin(coating); And/or
Hard mask layer is formed the second pad oxide skin(coating), and wherein etching stopping determines that layer is formed on this second pad oxide skin(coating).
5. manufacture a method for semiconductor device, comprising:
According to the method such as according to any one of claim 1-4, form groove in the substrate;
Form side wall on the sidewalls of the trench;
Fill shielding layer in the trench;
Groove both sides formation source/drain region in the substrate; And
Remove the shielding layer of filling in groove, and it is stacking to form grid in the trench.
6. method according to claim 5, wherein, formation source/drain region after and removal shielding layer before, the method also comprises:
Part substrate being positioned to groove both sides carries out silicidation, to form the contact site with source/drain region.
7. method according to claim 5, wherein, substrate comprises semiconductor-on-insulator SOI substrate, and SOI substrate comprises the base substrate, buried insulating layer and the soi layer that stack gradually, and wherein the S0I layer thickness of beneath trenches is about 2-20nm.
8. method according to claim 5, wherein, forms side wall and comprises:
The fluted substrate of formation forms oxide skin(coating);
Form nitride layer on the oxide layer; And
Anisotropic etching is carried out to nitride layer, to form side wall.
9. method according to claim 5, wherein, the thickness of side wall is about 3-50nm.
10. method according to claim 5, wherein, shielding layer comprises oxide.
11. methods according to claim 5, wherein, form source/drain region and comprise:
Ion implantation is carried out to substrate.
12. methods according to claim 5, wherein, carry out silicidation and comprise:
Substrate forms metal level; And
Anneal, make metal level and substrate generation silicification reaction.
13. methods according to claim 12, wherein, before formation metal level, the method also comprises:
Part removes shielding layer.
14. methods according to claim 12, wherein, metal level comprises Ni, Ti, Co or its alloy.
15. methods according to claim 5, wherein, grid are stacking comprises high-K gate dielectric and metal gate conductor.
CN201310412253.5A 2013-09-11 2013-09-11 Trench forming method and semiconductor device manufacturing method Pending CN104425351A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201310412253.5A CN104425351A (en) 2013-09-11 2013-09-11 Trench forming method and semiconductor device manufacturing method
PCT/CN2013/086126 WO2015035691A1 (en) 2013-09-11 2013-10-29 Ditch groove forming method and semiconductor component preparation method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310412253.5A CN104425351A (en) 2013-09-11 2013-09-11 Trench forming method and semiconductor device manufacturing method

Publications (1)

Publication Number Publication Date
CN104425351A true CN104425351A (en) 2015-03-18

Family

ID=52664980

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310412253.5A Pending CN104425351A (en) 2013-09-11 2013-09-11 Trench forming method and semiconductor device manufacturing method

Country Status (2)

Country Link
CN (1) CN104425351A (en)
WO (1) WO2015035691A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109755171A (en) * 2017-11-06 2019-05-14 中芯国际集成电路制造(上海)有限公司 The forming method of groove and the forming method of fleet plough groove isolation structure
CN111276417A (en) * 2020-02-20 2020-06-12 上海华力集成电路制造有限公司 Method for controlling shape of etching opening of contact hole

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112151443B (en) * 2020-09-25 2024-07-16 长江存储科技有限责任公司 Method for manufacturing semiconductor device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040077163A1 (en) * 2002-10-21 2004-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for STI etching using endpoint detection
US20050023631A1 (en) * 2003-07-31 2005-02-03 Varghese Ronnie P. Controlled dry etch of a film
CN101471291A (en) * 2007-12-24 2009-07-01 东部高科股份有限公司 Semiconductor device and method for manufacturing the device
CN102034708A (en) * 2009-09-27 2011-04-27 无锡华润上华半导体有限公司 Manufacturing method of trench DMOS (double-diffused metal oxide semiconductor) transistor
CN102299156A (en) * 2010-06-28 2011-12-28 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008153686A (en) * 1997-06-30 2008-07-03 Toshiba Corp Method of manufacturing semiconductor device
JP2006173429A (en) * 2004-12-17 2006-06-29 Elpida Memory Inc Manufacturing method of semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040077163A1 (en) * 2002-10-21 2004-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for STI etching using endpoint detection
US20050023631A1 (en) * 2003-07-31 2005-02-03 Varghese Ronnie P. Controlled dry etch of a film
CN101471291A (en) * 2007-12-24 2009-07-01 东部高科股份有限公司 Semiconductor device and method for manufacturing the device
CN102034708A (en) * 2009-09-27 2011-04-27 无锡华润上华半导体有限公司 Manufacturing method of trench DMOS (double-diffused metal oxide semiconductor) transistor
CN102299156A (en) * 2010-06-28 2011-12-28 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109755171A (en) * 2017-11-06 2019-05-14 中芯国际集成电路制造(上海)有限公司 The forming method of groove and the forming method of fleet plough groove isolation structure
CN111276417A (en) * 2020-02-20 2020-06-12 上海华力集成电路制造有限公司 Method for controlling shape of etching opening of contact hole
CN111276417B (en) * 2020-02-20 2022-08-09 上海华力集成电路制造有限公司 Method for controlling shape of etching opening of contact hole

Also Published As

Publication number Publication date
WO2015035691A1 (en) 2015-03-19

Similar Documents

Publication Publication Date Title
US9892912B2 (en) Method of manufacturing stacked nanowire MOS transistor
US9219129B2 (en) Inverted thin channel mosfet with self-aligned expanded source/drain
CN103579295B (en) Semiconductor device and method for manufacturing the same
KR102234118B1 (en) Non-conformal oxide liner and manufacturing methods thereof
US8969963B2 (en) Vertical source/drain junctions for a finFET including a plurality of fins
CN102891087A (en) Semiconductor device structure insulated from a bulk silicon substrate and method of forming the same
US10170471B2 (en) Bulk fin formation with vertical fin sidewall profile
US12009406B2 (en) FinFET device and method
CN105845678A (en) Method for forming semiconductor structure and semiconductor structure thereof
CN105244379A (en) Semiconductor device and method for manufacturing the same
US11769821B2 (en) Semiconductor device having a corner spacer
CN103579315B (en) Semiconductor device and method for manufacturing the same
CN104425351A (en) Trench forming method and semiconductor device manufacturing method
CN104681563B (en) Semiconductor device and method for manufacturing the same
CN104134698B (en) FinFET and manufacturing method thereof
CN104078363A (en) Semiconductor device manufacturing method
US20220384617A1 (en) Semiconductor Device and Method
CN112151449B (en) Semiconductor structure and forming method thereof
CN105448985B (en) Semiconductor device and method for manufacturing the same
CN103985748B (en) Semiconductor arrangement and method for the production thereof
CN103545366B (en) Semiconductor device and method for manufacturing the same
CN105489606A (en) Semiconductor device and method for manufacturing the same
CN101675512A (en) Formation of separation layers in semiconductor devices
CN103681382B (en) Semiconductor device and method for manufacturing the same
CN107799410A (en) A kind of semiconductor devices and preparation method thereof, electronic installation

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20150318

WD01 Invention patent application deemed withdrawn after publication