CN105244379A - Semiconductor device and method for manufacturing the same - Google Patents
Semiconductor device and method for manufacturing the same Download PDFInfo
- Publication number
- CN105244379A CN105244379A CN201410328588.3A CN201410328588A CN105244379A CN 105244379 A CN105244379 A CN 105244379A CN 201410328588 A CN201410328588 A CN 201410328588A CN 105244379 A CN105244379 A CN 105244379A
- Authority
- CN
- China
- Prior art keywords
- fin structure
- gate
- substrate
- semiconductor device
- region
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 31
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 12
- 238000000034 method Methods 0.000 title claims description 45
- 239000000463 material Substances 0.000 claims abstract description 77
- 239000000758 substrate Substances 0.000 claims abstract description 44
- 238000005530 etching Methods 0.000 claims description 20
- 239000002019 doping agent Substances 0.000 claims description 16
- 238000002955 isolation Methods 0.000 claims description 9
- 239000012212 insulator Substances 0.000 claims description 8
- -1 SiGeSn Inorganic materials 0.000 claims description 7
- 125000006850 spacer group Chemical group 0.000 claims description 7
- 229910001218 Gallium arsenide Inorganic materials 0.000 claims description 6
- 229910005898 GeSn Inorganic materials 0.000 claims description 6
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 6
- 239000007769 metal material Substances 0.000 claims description 6
- 229910002704 AlGaN Inorganic materials 0.000 claims description 5
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 claims description 5
- 229910003811 SiGeC Inorganic materials 0.000 claims description 5
- 229910020328 SiSn Inorganic materials 0.000 claims description 5
- 229910052785 arsenic Inorganic materials 0.000 claims description 5
- 229910052796 boron Inorganic materials 0.000 claims description 5
- 229910003465 moissanite Inorganic materials 0.000 claims description 5
- 229910052698 phosphorus Inorganic materials 0.000 claims description 5
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 5
- 238000001039 wet etching Methods 0.000 claims description 5
- 229910052799 carbon Inorganic materials 0.000 claims description 4
- 229910052738 indium Inorganic materials 0.000 claims description 4
- 229910052757 nitrogen Inorganic materials 0.000 claims description 4
- 229910002601 GaN Inorganic materials 0.000 claims description 3
- 238000005468 ion implantation Methods 0.000 claims description 3
- 238000004140 cleaning Methods 0.000 claims description 2
- 230000007547 defect Effects 0.000 abstract description 6
- 239000010410 layer Substances 0.000 description 54
- 229910052751 metal Inorganic materials 0.000 description 11
- 239000002184 metal Substances 0.000 description 10
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 9
- 239000011810 insulating material Substances 0.000 description 7
- 229910052710 silicon Inorganic materials 0.000 description 7
- 238000000137 annealing Methods 0.000 description 6
- 230000004888 barrier function Effects 0.000 description 6
- 229910003481 amorphous carbon Inorganic materials 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 229910052732 germanium Inorganic materials 0.000 description 4
- 150000002500 ions Chemical class 0.000 description 4
- 229920000620 organic polymer Polymers 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- 229910015890 BF2 Inorganic materials 0.000 description 3
- 239000013078 crystal Substances 0.000 description 3
- 229910003460 diamond Inorganic materials 0.000 description 3
- 239000010432 diamond Substances 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 150000002739 metals Chemical class 0.000 description 3
- 238000001451 molecular beam epitaxy Methods 0.000 description 3
- 229910052750 molybdenum Inorganic materials 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- MWUXSHHQAYIFBG-UHFFFAOYSA-N nitrogen oxide Inorganic materials O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- CKUAXEQHGKSLHN-UHFFFAOYSA-N [C].[N] Chemical compound [C].[N] CKUAXEQHGKSLHN-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 125000003118 aryl group Chemical group 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 239000000969 carrier Substances 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 239000010408 film Substances 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 229940104869 fluorosilicate Drugs 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- 239000007943 implant Substances 0.000 description 2
- 238000002513 implantation Methods 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 125000003367 polycyclic group Chemical group 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 238000007650 screen-printing Methods 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 238000005507 spraying Methods 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910052582 BN Inorganic materials 0.000 description 1
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 1
- 229910052693 Europium Inorganic materials 0.000 description 1
- 229910021193 La 2 O 3 Inorganic materials 0.000 description 1
- 229910052779 Neodymium Inorganic materials 0.000 description 1
- 229910020684 PbZr Inorganic materials 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- TZHYBRCGYCPGBQ-UHFFFAOYSA-N [B].[N] Chemical compound [B].[N] TZHYBRCGYCPGBQ-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 238000000231 atomic layer deposition Methods 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- 229910052747 lanthanoid Inorganic materials 0.000 description 1
- 150000002602 lanthanoids Chemical class 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910021424 microcrystalline silicon Inorganic materials 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229910021426 porous silicon Inorganic materials 0.000 description 1
- 229910052702 rhenium Inorganic materials 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- BJAARRARQJZURR-UHFFFAOYSA-N trimethylazanium;hydroxide Chemical compound O.CN(C)C BJAARRARQJZURR-UHFFFAOYSA-N 0.000 description 1
Landscapes
- Insulated Gate Type Field-Effect Transistor (AREA)
- Thin Film Transistor (AREA)
Abstract
Description
技术领域technical field
本发明涉及一种半导体器件及其制造方法,特别是涉及一种基于Ge材料的鳍片场效应晶体管(FinFET)及其制造方法。The invention relates to a semiconductor device and a manufacturing method thereof, in particular to a fin field effect transistor (FinFET) based on Ge material and a manufacturing method thereof.
背景技术Background technique
随着半导体器件尺寸持续缩小,增强沟道载流子的迁移率成为非常重要的技术。在衬底应力层的设计中不同的材料的特性不同,例如晶格常数、介电常数、禁带宽度、特别是载流子迁移率等等,如下表1所示。As the size of semiconductor devices continues to shrink, enhancing the mobility of channel carriers has become a very important technology. In the design of the substrate stress layer, different materials have different characteristics, such as lattice constant, dielectric constant, band gap, especially carrier mobility, etc., as shown in Table 1 below.
表1Table 1
由表1可见,在上述这些可能的衬底材料中,Ge具有最高的空穴迁移率以及较高的电子迁移率,使用Ge作为半导体器件的衬底将大大增强载流子迁移率,因而能制造更快的大规模集成电路(LSIC)。It can be seen from Table 1 that among the above-mentioned possible substrate materials, Ge has the highest hole mobility and higher electron mobility, and using Ge as the substrate of semiconductor devices will greatly enhance the carrier mobility, thus enabling Manufacturing faster large-scale integrated circuits (LSICs).
此外,由表1可见,Ge还具有与Si材料相近的晶格常数,因此Ge能较容易地集成在半导体工艺中常用的Si衬底上,使得无需对于工艺做出很大改进就能制造性能更佳的半导体器件,提升了性能的同时还降低了成本。In addition, it can be seen from Table 1 that Ge also has a lattice constant similar to that of Si materials, so Ge can be easily integrated on Si substrates commonly used in semiconductor processes, making it possible to manufacture performance Better semiconductor devices that increase performance while reducing cost.
另一方面,为了应对半导体器件的不断小型化所带来的挑战,已经提出了多种高性能器件,特别是在当前的亚20nm技术中,三维多栅器件(FinFET或Tri-gate)是主要的器件结构,这种结构增强了栅极控制能力、抑制了漏电与短沟道效应。On the other hand, in order to meet the challenges brought by the continuous miniaturization of semiconductor devices, a variety of high-performance devices have been proposed, especially in the current sub-20nm technology, three-dimensional multi-gate devices (FinFET or Tri-gate) are the main The device structure, which enhances gate control capability, suppresses leakage and short channel effects.
例如,双栅SOI结构的MOSFET与传统的单栅体Si或者SOIMOSFET相比,能够抑制短沟道效应(SCE)以及漏致感应势垒降低(DIBL)效应,具有更低的结电容,能够实现沟道轻掺杂,可以通过设置金属栅极的功函数来调节阈值电压,能够得到约2倍的驱动电流,降低了对于有效栅氧厚度(EOT)的要求。而三栅器件与双栅器件相比,栅极包围了沟道区顶面以及两个侧面,栅极控制能力更强。进一步地,全环绕纳米线多栅器件更具有优势。For example, compared with the traditional single-gate body Si or SOIMOSFET, the double-gate SOI MOSFET can suppress the short-channel effect (SCE) and drain-induced barrier lowering (DIBL) effects, and has lower junction capacitance. The channel is lightly doped, and the threshold voltage can be adjusted by setting the work function of the metal gate, which can obtain about 2 times the driving current and reduce the requirements for the effective gate oxide thickness (EOT). Compared with the double-gate device, the gate of the triple-gate device surrounds the top surface and two sides of the channel region, and the control ability of the gate is stronger. Furthermore, the full-surround nanowire multi-gate device has more advantages.
然而,由于Ge的晶格常数与Si仍然有差异,在形成小尺寸器件、特别是鳍片场效应晶体管(FinFET)时,难以完全采用Ge材料形成鳍片结构,因此难以有效地进一步增强FinFET的沟道区载流子迁移率。并且,Ge与Si界面处由于晶格失配存在的缺陷会使得外延在Si上的基于Ge的小尺寸器件存在可靠性下降的问题。However, because the lattice constant of Ge is still different from that of Si, it is difficult to completely use Ge material to form the fin structure when forming small-scale devices, especially Fin Field Effect Transistors (FinFETs), so it is difficult to effectively further enhance the channel of FinFETs. carrier mobility in the channel region. Moreover, the defects existing at the interface between Ge and Si due to lattice mismatch will lead to the problem of reduced reliability of small-sized devices based on Ge epitaxially on Si.
发明内容Contents of the invention
因此,本发明的目的在于进一步提高FinFET沟道区载流子迁移率以提高半导体器件电学性能和可靠性。Therefore, the object of the present invention is to further increase the mobility of carriers in the channel region of the FinFET to improve the electrical performance and reliability of the semiconductor device.
本发明提供了一种半导体器件,包括:鳍片结构,在衬底之上沿第一方向延伸分布,其中鳍片结构的材质与衬底材质不同;源区、沟道区、漏区,在鳍片结构顶部中,沿第一方向延伸分布;栅极堆叠,在沟道区之上沿第二方向延伸分布;栅极侧墙,在栅极堆叠沿第一方向的两侧。The present invention provides a semiconductor device, comprising: a fin structure extending and distributed on a substrate along a first direction, wherein the material of the fin structure is different from that of the substrate; The top of the fin structure extends and distributes along the first direction; the gate stack extends and distributes above the channel region along the second direction; the gate sidewalls are on both sides of the gate stack along the first direction.
其中,鳍片结构具有突入衬底表面的向下突起。Wherein, the fin structure has a downward protrusion protruding into the surface of the substrate.
其中,鳍片结构的材质包括Ge、GaAs、GaN、InGaN、InGaAs、InP、AlGaN及其组合。Wherein, the material of the fin structure includes Ge, GaAs, GaN, InGaN, InGaAs, InP, AlGaN and combinations thereof.
其中,源区、漏区的顶部具有抬升源区和抬升漏区,具有与鳍片结构不同的材质以向沟道区施加应力。Wherein, the tops of the source region and the drain region have a raised source region and a raised drain region, and have a material different from that of the fin structure to apply stress to the channel region.
其中,抬升源区和抬升漏区的材质包括SiGe、GeSn、SiC、SiGeC、SiGeSn、SiSn及其组合。Wherein, the materials of the raised source region and the raised drain region include SiGe, GeSn, SiC, SiGeC, SiGeSn, SiSn and combinations thereof.
其中,鳍片结构的中部进一步包括穿通停止层,穿通停止层为与沟道区导电类型相反的掺杂区、或者绝缘体。Wherein, the middle part of the fin structure further includes a punch-through stop layer, and the punch-through stop layer is a doped region with a conductivity type opposite to that of the channel region, or an insulator.
其中,栅极堆叠包括高K材料的栅极绝缘层以及金属材料的栅极导电层。Wherein, the gate stack includes a gate insulating layer of high-K material and a gate conductive layer of metal material.
本发明还公开了一种半导体器件制造方法,包括:在衬底之上形成沿第一方向延伸分布的第一鳍片结构以及第一鳍片结构之间的浅沟槽隔离;刻蚀去除第一鳍片结构,在浅沟槽隔离之间留下第一沟槽;在第一沟槽中外延生长不同于衬底的半导体材料,形成第二鳍片结构;在第二鳍片结构上形成沿第二方向延伸分布的栅极堆叠、以及位于栅极堆叠沿第一方向两侧的源漏区,第二鳍片结构在栅极堆叠结构下方的部分构成沟道区。The invention also discloses a semiconductor device manufacturing method, comprising: forming first fin structures extending along the first direction on the substrate and shallow trench isolation between the first fin structures; etching and removing the first fin structures; A fin structure, leaving a first trench between shallow trench isolations; epitaxially growing a semiconductor material different from the substrate in the first trench to form a second fin structure; forming on the second fin structure The gate stack extending along the second direction, and the source and drain regions located on both sides of the gate stack along the first direction, and the part of the second fin structure below the gate stack structure constitutes a channel region.
其中,刻蚀形成第一沟槽时进一步包括,在第一沟槽底部刻蚀衬底形成凹陷。Wherein, forming the first trench by etching further includes etching the substrate at the bottom of the first trench to form a recess.
其中,采用湿法腐蚀刻蚀衬底形成第一沟槽。Wherein, the substrate is etched by wet etching to form the first trench.
其中,刻蚀去除第一鳍片结构之前进一步包括,清洁第一鳍片结构顶部。Wherein, before removing the first fin structure by etching, it further includes cleaning the top of the first fin structure.
其中,外延生长第二鳍片结构之后进一步包括,回刻浅沟槽隔离以暴露第二鳍片结构的顶部。Wherein, after the epitaxial growth of the second fin structure, further includes, etching back the shallow trench isolation to expose the top of the second fin structure.
其中,形成栅极堆叠之前进一步包括,采用垂直和/或倾斜离子注入在第二鳍片结构中部形成穿通停止层。Wherein, before forming the gate stack, it further includes forming a punch-through stop layer in the middle of the second fin structure by using vertical and/or oblique ion implantation.
其中,对于nFinFET注入选自B、In、BF2的掺杂剂,或者对于pFinFET注入选自As、P的掺杂剂,形成与沟道区导电类型相反的掺杂区构成穿通停止层;或者,注入选自C、N、O的掺杂剂并退火反应形成绝缘体的穿通停止层。Wherein, implanting a dopant selected from B, In, and BF2 for nFinFET , or implanting a dopant selected from As and P for pFinFET, forming a doped region opposite to the conductivity type of the channel region to form a punch-through stop layer; or , implanting dopants selected from C, N, O and annealing to form a punch-through stop layer of the insulator.
其中,形成栅极堆叠、源漏区的步骤进一步包括:在第二鳍片结构上形成沿第二方向延伸分布的假栅极堆叠和栅极侧墙;在栅极侧墙沿第一方向两侧的第二鳍片结构顶部形成轻掺杂源漏区;在轻掺杂源漏区顶部外延生长抬升源漏区;去除假栅极堆叠形成栅极开口;在栅极开口中沉积高K材料的栅极绝缘层以及金属材料的栅极导电层。Wherein, the step of forming the gate stack and the source and drain regions further includes: forming dummy gate stacks and gate spacers extending along the second direction on the second fin structure; Form lightly doped source and drain regions on the top of the second fin structure on the side; epitaxially grow and lift the source and drain regions on top of the lightly doped source and drain regions; remove dummy gate stacks to form gate openings; deposit high-K materials in the gate openings The gate insulating layer and the gate conductive layer of metal material.
其中,第二鳍片结构的材质包括Ge、GaAs、GaN、InGaN、InGaAs、InP、AlGaN及其组合。Wherein, the material of the second fin structure includes Ge, GaAs, GaN, InGaN, InGaAs, InP, AlGaN and combinations thereof.
其中,抬升源漏区的材质包括SiGe、GeSn、SiC、SiGeC、SiGeSn、SiSn及其组合。Wherein, the materials of the raised source and drain regions include SiGe, GeSn, SiC, SiGeC, SiGeSn, SiSn and combinations thereof.
依照本发明的半导体器件及其制造方法,从衬底中细微凹槽开始外延生长不同材料的器件鳍片结构,阻止了界面缺陷向上传播,提高了器件的可靠性,并且有效提高了器件的沟道区载流子迁移率。According to the semiconductor device and its manufacturing method of the present invention, the device fin structure of different materials is epitaxially grown from the fine groove in the substrate, which prevents the upward propagation of interface defects, improves the reliability of the device, and effectively improves the device's trench carrier mobility in the channel region.
附图说明Description of drawings
以下参照附图来详细说明本发明的技术方案,其中:Describe technical scheme of the present invention in detail below with reference to accompanying drawing, wherein:
图1至图17分别显示了依照本发明的半导体器件制作方法各步骤的示意图。1 to 17 respectively show the schematic diagrams of each step of the manufacturing method of the semiconductor device according to the present invention.
具体实施方式detailed description
以下参照附图并结合示意性的实施例来详细说明本发明技术方案的特征及其技术效果,公开了进一步提高FinFET沟道区载流子迁移率以提高半导体器件电学性能和可靠性的Ge鳍片FinFET及其制造方法。需要指出的是,类似的附图标记表示类似的结构,本申请中所用的术语“第一”、“第二”、“上”、“下”等等可用于修饰各种器件结构或工艺步骤。这些修饰除非特别说明并非暗示所修饰器件结构或工艺步骤的空间、次序或层级关系。The features and technical effects of the technical solution of the present invention will be described in detail below with reference to the accompanying drawings and in conjunction with schematic embodiments, and a Ge fin that further improves the carrier mobility in the channel region of the FinFET to improve the electrical performance and reliability of the semiconductor device is disclosed. On-chip FinFET and method of manufacturing the same. It should be pointed out that similar reference numerals represent similar structures, and the terms "first", "second", "upper", "lower" and the like used in this application can be used to modify various device structures or process steps . These modifications do not imply spatial, sequential or hierarchical relationships of the modified device structures or process steps unless otherwise specified.
参照图1的剖视图,在衬底1上形成掩模图形PR。衬底1可以是体Si、绝缘层上Si(SOI)等常用的半导体硅基衬底,或者体Ge、绝缘体上Ge(GeOI),也可以是SiGe、GaAs、GaN等化合物半导体衬底,还可以是蓝宝石、SiC、AlN等绝缘衬底,衬底的选择依据其上要制作的具体半导体器件的电学性能需要而设定。在本发明中,实施例所举的半导体器件例如为基于CMOS工艺的FinFET,因此从与其他工艺兼容以及成本控制的角度考虑,优选体硅或SOI作为衬底1的材料。采用旋涂、喷涂、丝网印刷、CVD等工艺,在衬底1的顶表面上形成掩模材料并且采用传统的曝光/刻蚀工艺形成沿第一方向延伸(垂直于纸面)的平行的多个掩模图形PR。掩模图形PR可以是光刻胶的软质掩模,还可以是氮化物、氧化物或其堆叠结构(例如ONO结构)的硬质掩模。Referring to the cross-sectional view of FIG. 1 , a mask pattern PR is formed on a substrate 1 . The substrate 1 can be a commonly used semiconductor silicon-based substrate such as bulk Si, Si on insulating layer (SOI), or bulk Ge, Ge on insulator (GeOI), or a compound semiconductor substrate such as SiGe, GaAs, GaN, or the like. It can be an insulating substrate such as sapphire, SiC, AlN, etc. The choice of the substrate is set according to the electrical performance requirements of the specific semiconductor device to be fabricated on it. In the present invention, the semiconductor device mentioned in the embodiment is, for example, a FinFET based on a CMOS process. Therefore, from the perspective of compatibility with other processes and cost control, bulk silicon or SOI is preferably used as the material of the substrate 1 . Using processes such as spin coating, spray coating, screen printing, CVD, etc., a mask material is formed on the top surface of the substrate 1, and a conventional exposure/etching process is used to form parallel lines extending along the first direction (perpendicular to the paper surface). A plurality of mask patterns PR. The mask pattern PR can be a soft mask of photoresist, or a hard mask of nitride, oxide or a stacked structure thereof (such as an ONO structure).
参照图2的剖视图,以掩模图形PR为掩模,刻蚀衬底1,形成了从衬底1顶表面垂直向上竖起的多个沿第一方向平行的鳍片结构1F,以及在多个鳍片结构1F之间留下了凹槽1T。刻蚀工艺优选采用各向异性的刻蚀方法,例如采用氟基等离子干法刻蚀、RIE,或者采用TMAH、KOH湿法腐蚀。优选地,控制刻蚀参数,使得鳍片1F或者凹槽1T的深宽比大于5:1并且优选大于10:1。2, using the mask pattern PR as a mask, the substrate 1 is etched to form a plurality of fin structures 1F vertically upward from the top surface of the substrate 1 and parallel to the first direction. A groove 1T is left between the fin structures 1F. The etching process preferably adopts anisotropic etching method, such as adopting fluorine-based plasma dry etching, RIE, or adopting TMAH, KOH wet etching. Preferably, the etching parameters are controlled such that the aspect ratio of the fin 1F or the groove 1T is greater than 5:1 and preferably greater than 10:1.
参照图3的剖视图,在鳍片结构1F之间的凹槽1T中填充绝缘材料形成隔离结构。优选地,先采用等离子刻蚀、灰化等干法工艺或者采用氧化剂与酸液混合物的湿法工艺去除了掩模图形PR。接着,采用高深宽比沉积工艺(HARP)、高密度等离子化学气相沉积工艺(HDPCVD)、或者可流动化学气相沉积工艺(flowableCVD)在多个鳍片结构1F之间的凹槽1T中填充形成了绝缘材料2。绝缘材料2例如氧化硅、氮氧化硅、或者低K材料,其中低k材料包括但不限于有机低k材料(例如含芳基或者多元环的有机聚合物)、无机低k材料(例如无定形碳氮薄膜、多晶硼氮薄膜、氟硅玻璃、BSG、PSG、BPSG)、多孔低k材料(例如二硅三氧烷(SSQ)基多孔低k材料、多孔二氧化硅、多孔SiOCH、掺C二氧化硅、掺F多孔无定形碳、多孔金刚石、多孔有机聚合物)。此时,由于鳍片结构1F相对于衬底1存在突起,使得形成的绝缘材料2的顶部也在鳍片结构1F顶部对应位置处具有相应的突起。Referring to the cross-sectional view of FIG. 3 , insulating material is filled in the groove 1T between the fin structures 1F to form an isolation structure. Preferably, the mask pattern PR is firstly removed by using a dry process such as plasma etching, ashing, or a wet process using a mixture of an oxidizing agent and an acid solution. Next, a high aspect ratio deposition process (HARP), a high density plasma chemical vapor deposition process (HDPCVD), or a flowable chemical vapor deposition process (flowableCVD) is used to fill the grooves 1T between the multiple fin structures 1F to form insulating material2. The insulating material 2 is such as silicon oxide, silicon oxynitride, or a low-k material, wherein the low-k material includes but not limited to an organic low-k material (such as an organic polymer containing an aryl group or a polycyclic ring), an inorganic low-k material (such as an amorphous Carbon nitrogen thin film, polycrystalline boron nitrogen thin film, fluorosilicate glass, BSG, PSG, BPSG), porous low-k material (such as disilatrioxane (SSQ) based porous low-k material, porous silicon dioxide, porous SiOCH, doped C silica, F-doped porous amorphous carbon, porous diamond, porous organic polymer). At this time, since the fin structure 1F has a protrusion relative to the substrate 1 , the top of the formed insulating material 2 also has a corresponding protrusion at a corresponding position on the top of the fin structure 1F.
参照图4的剖视图,对绝缘材料2执行平坦化工艺,直至暴露鳍片结构1F顶部。平坦化工艺可以是CMP,或者是针对绝缘材料2与鳍片结构1F的刻蚀选择性而执行的回刻工艺(etch-back)。留在鳍片结构1F之间、占据了原来凹槽1T位置的绝缘材料2构成了器件的隔离结构,也称作浅沟槽隔离(STI)。Referring to the cross-sectional view of FIG. 4 , a planarization process is performed on the insulating material 2 until the top of the fin structure 1F is exposed. The planarization process may be CMP, or an etch-back process (etch-back) performed for the etch selectivity of the insulating material 2 and the fin structure 1F. The insulating material 2 remaining between the fin structures 1F and occupying the position of the original groove 1T constitutes an isolation structure of the device, also called shallow trench isolation (STI).
参照图5的剖视图,选择性刻蚀去除鳍片结构1F。优选采用各向异性的刻蚀工艺,例如氟基等离子干法刻蚀或RIE,或者采用湿法腐蚀工艺。在本发明一个优选实施例中,针对Si材质的鳍片结构1F采用稀释的三甲基氢氧化铵(dTMAH)的碱性腐蚀液,形成了具有良好的垂直侧壁的沟槽1T’。由于Si衬底各个晶向对于TAMH的腐蚀速率不同,例如(111)晶面最慢,因此最终会在衬底1中形成了沿(111)晶面的倾斜的凹槽1R,该凹槽深度例如仅1~5nm。优选地,在dTMAH腐蚀Si鳍片1F之前,先采用100:1体积比的稀释氢氟酸(dHF)清洗(时长例如30秒)鳍片结构1F的顶面,以去除表面原生的氧化物以提高后续刻蚀选择性和速率。Referring to the cross-sectional view of FIG. 5 , the fin structure 1F is selectively etched away. An anisotropic etching process, such as fluorine-based plasma dry etching or RIE, or a wet etching process is preferably used. In a preferred embodiment of the present invention, dilute trimethylammonium hydroxide (dTMAH) alkaline etching solution is used for the fin structure 1F made of Si to form trenches 1T' with good vertical sidewalls. Since the corrosion rate of each crystal direction of the Si substrate is different for TAMH, for example, the (111) crystal plane is the slowest, so finally an inclined groove 1R along the (111) crystal plane will be formed in the substrate 1, and the depth of the groove is For example, only 1 to 5 nm. Preferably, before dTMAH corrodes the Si fin 1F, the top surface of the fin structure 1F is cleaned (eg, 30 seconds) with dilute hydrofluoric acid (dHF) at a volume ratio of 100:1 to remove native oxides on the surface and Improve subsequent etch selectivity and rate.
参照图6的剖视图,在沟槽1T’中选择性外延生长器件材料层3。采用MOCVD、MBE、ALD、HDPCVD等工艺,在STI之间的沟槽1T’以及沟槽底部的凹槽1R中外延生长了器件材料层3,其材质例如Ge,但是也可以是表1中的GaAs,或者表1中未列出的其他化合物半导体材料,例如GaN、InGaN、InGaAs、InP、AlGaN等等。由于STI材质为绝缘的氧化物,因此外延生长仅开始于凹槽1R直至越过STI顶部形成突起,该过程也称作选择性外延生长。值得注意的是,在该过程中,由于外延从具有倾斜侧面的凹槽1R开始生长,因此底部先行堆积生长的薄器件材料构成了上方继续填充的厚器件材料层的成核层,器件材料与Si界面处的错位、晶格失配等缺陷将局限在原凹槽1R附近,或者不会越过STI高度/沟槽1T’深度的1/3,确保了顶部器件材料层的生长质量良好。Referring to the cross-sectional view of Fig. 6, the device material layer 3 is selectively epitaxially grown in the trench 1T'. Using MOCVD, MBE, ALD, HDPCVD and other processes, the device material layer 3 is epitaxially grown in the trench 1T' between the STIs and the groove 1R at the bottom of the trench. The material is such as Ge, but it can also be in Table 1. GaAs, or other compound semiconductor materials not listed in Table 1, such as GaN, InGaN, InGaAs, InP, AlGaN, etc. Since the STI material is an insulating oxide, the epitaxial growth only starts from the groove 1R until a protrusion is formed beyond the top of the STI. This process is also called selective epitaxial growth. It is worth noting that in this process, since the epitaxial growth starts from the groove 1R with inclined sides, the thin device material that is piled up and grown at the bottom first constitutes the nucleation layer of the thick device material layer that continues to be filled above, and the device material and Defects such as dislocation and lattice mismatch at the Si interface will be confined near the original groove 1R, or will not exceed 1/3 of the STI height/trench 1T' depth, ensuring good growth quality of the top device material layer.
参照图7的剖视图,对器件材料层(例如Ge层)采用平坦化工艺处理,暴露STI顶部。例如采用CMP或者回刻工艺,去除了超过STI顶部的器件材料层3,使得留下的器件材料构成了器件的鳍片结构3F。图7所示的鳍片结构3F上部与图4所示的鳍片结构1F基本上是共形的,只是鳍片结构1F仅用于限定鳍片结构3F、STI的形状,因此鳍片结构1F实际上可以采用类似后栅工艺的命名规则而叫做伪鳍片结构(dummyfin)或者牺牲鳍片结构,最终留下的与衬底1材质不同的器件材料构成的鳍片结构3F可称作最终鳍片结构或真鳍片结构,用于形成未来器件的沟道区以及限定源漏区位置。鳍片结构3F具有与凹槽1R形状相同的突入衬底1中的部分,如上所述,通过该部分消除了器件材料层(例如Ge层)的缺陷传播,提高了器件可靠性。Referring to the cross-sectional view of FIG. 7 , the device material layer (eg, Ge layer) is planarized to expose the top of the STI. For example, CMP or an etch-back process is used to remove the device material layer 3 beyond the top of the STI, so that the remaining device material constitutes the fin structure 3F of the device. The upper part of the fin structure 3F shown in FIG. 7 is substantially conformal to the fin structure 1F shown in FIG. In fact, it can be called a dummy fin structure (dummy fin) or a sacrificial fin structure by adopting a naming rule similar to that of the gate-last process, and the final fin structure 3F composed of a device material different from that of the substrate 1 can be called the final fin structure. The sheet structure or true fin structure is used to form the channel region of future devices and define the position of the source and drain regions. The fin structure 3F has a portion protruding into the substrate 1 having the same shape as the groove 1R. As mentioned above, the defect propagation of the device material layer (eg, Ge layer) is eliminated through this portion, thereby improving device reliability.
参照图8的剖视图,刻蚀去除一部分STI,露出了鳍片结构3F。针对STI材质,可以选用各向异性干法刻蚀工艺,或者采用dHF、dBOE(稀释的缓释刻蚀剂)湿法腐蚀去除STI的一部分。露出的鳍片结构3F的高度可以取决于FinFET器件中包围栅极的形貌需要而定。在本发明一个优选实施例中,露出的鳍片结构3F的高度小于等于鳍片3F高度的1/2。Referring to the cross-sectional view of FIG. 8 , a part of the STI is etched away, exposing the fin structure 3F. For the STI material, an anisotropic dry etching process can be selected, or a part of the STI can be removed by wet etching of dHF or dBOE (diluted slow-release etchant). The height of the exposed fin structure 3F may depend on the topographical requirements surrounding the gate in the FinFET device. In a preferred embodiment of the present invention, the height of the exposed fin structure 3F is less than or equal to 1/2 of the height of the fin 3F.
参照图9的剖视图,任选的,在鳍片结构3F中部形成穿通停止层(PTS)4。优选地,可以采用垂直和/或倾斜离子注入,向鳍片结构3F中部注入掺杂离子,随后退火激活杂质,形成了与通常本征的鳍片结构3F材质、掺杂类型、浓度不同的穿通停止层4,用于抑制减小FinFET沿垂直衬底方向的泄漏电流。在本发明一个优选实施例中,可以对于nFinFET注入B、In、BF2等掺杂剂,对于pFinFET注入As、P等掺杂剂,由此与鳍片结构3F上下材料之间形成pn结从而通过反向偏置的二极管抑制泄漏。此外,在本发明另一个优选实施例中,还可以注入C、N、O等容易与鳍片结构3F的材质发生化学反应的掺杂离子,注入之后采用高温退火(例如600至900摄氏度)使得掺杂离子与鳍片结构3F的材料反应形成绝缘体(例如氧化物、氮化硅、碳化物等)的PTS4,由此通过绝缘体4隔断与衬底之间的泄漏通路。可以调整注入的剂量、能量、角度以及退火温度,合理控制PTS4的位置。在本发明一个优选实施例中,PTS4顶面与STI顶面齐平,鳍片结构3F在PTS4上部的区域将用于形成器件的沟道区(channel),因此记做3C。在本发明另一优选实施例中,PTS4底面高于衬底1的顶面。Referring to the cross-sectional view of FIG. 9 , optionally, a punch through stop layer (PTS) 4 is formed in the middle of the fin structure 3F. Preferably, vertical and/or oblique ion implantation can be used to implant dopant ions into the middle of the fin structure 3F, followed by annealing to activate the impurities to form a through hole different from the usual intrinsic fin structure 3F material, doping type, and concentration The stop layer 4 is used to suppress and reduce the leakage current of the FinFET along the direction perpendicular to the substrate. In a preferred embodiment of the present invention, dopants such as B, In, and BF2 can be implanted into nFinFET , and As, P, etc. dopants can be implanted into pFinFET, thereby forming a pn junction with the upper and lower materials of the fin structure 3F so that Leakage is suppressed by a reverse biased diode. In addition, in another preferred embodiment of the present invention, C, N, O and other dopant ions that are likely to chemically react with the material of the fin structure 3F can also be implanted. The dopant ions react with the material of the fin structure 3F to form PTS4 of an insulator (such as oxide, silicon nitride, carbide, etc.), thereby blocking the leakage path with the substrate through the insulator 4 . The implant dose, energy, angle and annealing temperature can be adjusted to reasonably control the position of PTS4. In a preferred embodiment of the present invention, the top surface of the PTS4 is flush with the top surface of the STI, and the area of the fin structure 3F above the PTS4 will be used to form the channel region of the device, so it is marked as 3C. In another preferred embodiment of the present invention, the bottom surface of the PTS 4 is higher than the top surface of the substrate 1 .
参照图10的剖视图,在器件上沉积形成伪栅极堆叠层5。采用PECVD、HDPCVD、MBE、ALD、蒸发、氧化、溅射等工艺,在整个器件上沉积了由伪栅极绝缘层5A以及伪栅极导电层5B。层5A材质例如氧化硅,层5B材质例如多晶硅、非晶硅、微晶硅、多晶锗、非晶锗、非晶碳等等,两者材质选择以提高与周围其他材料的刻蚀选择性。堆叠层5完全覆盖了鳍片结构3F顶部(3C)的顶部和侧壁,并且覆盖了STI的顶部。Referring to the cross-sectional view of FIG. 10 , a dummy gate stack layer 5 is deposited and formed on the device. Using PECVD, HDPCVD, MBE, ALD, evaporation, oxidation, sputtering and other processes, a dummy gate insulating layer 5A and a dummy gate conductive layer 5B are deposited on the entire device. The material of layer 5A is such as silicon oxide, and the material of layer 5B is such as polycrystalline silicon, amorphous silicon, microcrystalline silicon, polycrystalline germanium, amorphous germanium, amorphous carbon, etc. The materials of the two are selected to improve the etching selectivity with other surrounding materials . The stacked layer 5 completely covers the top and sidewalls of the top (3C) of the fin structure 3F, and covers the top of the STI.
参照图11的顶视图,对伪栅极堆叠层5进行图形化,形成沿第二方向BB(图10和图11中水平左右方向)延伸的伪栅极堆叠结构,露出了沿第一方向AA(图10中垂直纸面方向,图11中纸面中上下方向)两侧的鳍片结构3F的顶部3C。Referring to the top view of FIG. 11, the dummy gate stack layer 5 is patterned to form a dummy gate stack structure extending along the second direction BB (horizontal left-right direction in FIG. 10 and FIG. 11), exposing the dummy gate stack structure along the first direction AA. (vertical direction in FIG. 10 , vertical direction in FIG. 11 ) the top 3C of the fin structure 3F on both sides.
参照图12的顶视图,在伪栅极堆叠结构5A/5B沿第一方向AA的两侧形成栅极侧墙6。例如先采用PECVD、溅射等工艺形成氮化硅、氮氧化硅、类金刚石无定形碳(DLC)等绝缘介质材料,然后采用各向异性刻蚀工艺去除了水平部分而仅在伪栅极堆叠结构5两侧上保留了栅极侧墙6。Referring to the top view of FIG. 12 , gate spacers 6 are formed on both sides of the dummy gate stack structure 5A/ 5B along the first direction AA. For example, PECVD, sputtering and other processes are used to form insulating dielectric materials such as silicon nitride, silicon oxynitride, diamond-like amorphous carbon (DLC), and then anisotropic etching process is used to remove the horizontal part and only stack the dummy gate. The gate spacers 6 remain on both sides of the structure 5 .
参照图13的顶视图,在鳍片结构3F顶部3C中、伪栅极堆叠结构5两侧形成了轻掺杂源漏区3L(包括LDD结构的源区3LS和漏区3LD)。对于pFinFET注入B、In、BF2等掺杂剂,对于nFinFET注入As、P等掺杂剂(形成与PTS4掺杂区掺杂类型相反的轻掺杂源漏区)。随后采用尖峰退火、快速退火等工艺激活注入掺杂剂。Referring to the top view of FIG. 13 , lightly doped source and drain regions 3L (including source region 3LS and drain region 3LD of LDD structure) are formed in the top 3C of the fin structure 3F and on both sides of the dummy gate stack structure 5 . Dopants such as B, In, and BF2 are implanted for pFinFET , and dopants such as As and P are implanted for nFinFET (to form a lightly doped source and drain region opposite to the doping type of the PTS4 doped region). Then, the implanted dopant is activated by processes such as spike annealing and rapid annealing.
参照图14的顶视图,形成源漏区。优选地,采用dHF等溶液腐蚀清洁轻掺杂源漏区3LS/3LD的顶部,去除注入、退火过程中的原生氧化物。在本发明一个实施例中,通过提高掺杂剂剂量、注入能量等在伪栅极堆叠结构5沿第一方向的两侧形成重掺杂的源漏区3HS/3HD,注入离子的类型与LDD结构相同,只是浓度更高。优选地,在本发明另一个实施例中,采用选择性外延生长技术在轻掺杂源漏区上外延生长了不同材质的抬升源漏区,并且同时采用原位掺杂技术形成了高浓度。抬升源漏区通过控制材料类型,例如对于Ge沟道的NMOS采用SiGe、SiGeC,SiC等;对于Ge沟道的PMOS采用GeSn(在鳍片结构/沟道区为Ge之外其他材质时,可以采用GeSn、SiGeSn、SiSn等其他材质),可以向伪栅极堆叠结构5下方的鳍片沟道区3C施加不同的应力,从而有效地增加沟道区载流子迁移率。Referring to the top view of FIG. 14, source and drain regions are formed. Preferably, the top of the lightly doped source and drain region 3LS/3LD is etched and cleaned by using a solution such as dHF to remove native oxides during implantation and annealing. In one embodiment of the present invention, heavily doped source and drain regions 3HS/3HD are formed on both sides of the dummy gate stack structure 5 along the first direction by increasing the dopant dose, implantation energy, etc., and the type of implanted ions is related to the LDD Same structure, just higher concentration. Preferably, in another embodiment of the present invention, the raised source and drain regions of different materials are epitaxially grown on the lightly doped source and drain regions by using selective epitaxial growth technology, and at the same time, high concentration is formed by using in-situ doping technology. Raise the source and drain regions by controlling the material type, for example, SiGe, SiGeC, SiC, etc. are used for NMOS of Ge channel; GeSn is used for PMOS of Ge channel (when the fin structure/channel region is made of other materials than Ge, it can be By using other materials such as GeSn, SiGeSn, SiSn, etc.), different stresses can be applied to the fin channel region 3C under the dummy gate stack structure 5, thereby effectively increasing the carrier mobility in the channel region.
参照图15A,其示出沿图14的第一方向AA线得到的剖视图。图15B则为沿图14的第二方向BB线得到的剖视图,与图1至图9方向一致。由图15B可见,外延生长的包含应力的抬升源漏区3HS/3HD包围了LDD结构的轻掺杂源漏区3LS/3LD的侧面和顶部,在附图中例如为菱形或钻石形。Referring to FIG. 15A , it shows a cross-sectional view taken along line AA of the first direction in FIG. 14 . FIG. 15B is a cross-sectional view taken along the line BB in the second direction of FIG. 14 , which is consistent with the directions in FIGS. 1 to 9 . It can be seen from FIG. 15B that the epitaxially grown stress-included raised source and drain regions 3HS/3HD surround the side and top of the lightly doped source and drain regions 3LS/3LD of the LDD structure, such as rhombus or diamond shape in the drawing.
参照图16的沿第二方向BB的剖视图,在整个器件上形成层间介质层(ILD)7。例如采用旋涂、喷涂、丝网印刷、CVD等工艺形成低k材料的ILD7,包括但不限于有机低k材料(例如含芳基或者多元环的有机聚合物)、无机低k材料(例如无定形碳氮薄膜、多晶硼氮薄膜、氟硅玻璃、BSG、PSG、BPSG)、多孔低k材料(例如二硅三氧烷(SSQ)基多孔低k材料、多孔二氧化硅、多孔SiOCH、掺C二氧化硅、掺F多孔无定形碳、多孔金刚石、多孔有机聚合物)。优选地,采用CMP工艺平坦化ILD7直至暴露伪栅极导电层5B顶部。Referring to the cross-sectional view of FIG. 16 along the second direction BB, an interlayer dielectric layer (ILD) 7 is formed on the entire device. For example, ILD7 using spin coating, spray coating, screen printing, CVD and other processes to form low-k materials, including but not limited to organic low-k materials (such as organic polymers containing aryl or polycyclic rings), inorganic low-k materials (such as Shaped carbon-nitrogen films, polycrystalline boron-nitride films, fluorosilicate glass, BSG, PSG, BPSG), porous low-k materials (such as disilatrioxane (SSQ)-based porous low-k materials, porous silica, porous SiOCH, C-doped silica, F-doped porous amorphous carbon, porous diamond, porous organic polymer). Preferably, a CMP process is used to planarize the ILD 7 until the top of the dummy gate conductive layer 5B is exposed.
参照图17的沿第二方向BB的剖视图,继续后栅工艺。例如,选择性刻蚀去除伪栅极导电层5B和伪栅极绝缘层5A,在ILD7中留下栅极开口。采用HDPCVD、MOCVD、MBE、ALD等工艺,在栅极开口中依次沉积高k材料的栅极绝缘层8A以及金属材料的栅极导电层8B。其中,高k材料包括但不限于氮化物(例如SiN、AlN、TiN)、金属氧化物(主要为副族和镧系金属元素氧化物,例如MgO、Al2O3、Ta2O5、TiO2、ZnO、ZrO2、HfO2、CeO2、Y2O3、La2O3)、氮氧化物(如HfSiON);钙钛矿相氧化物(例如PbZrxTi1-xO3(PZT)、BaxSr1-xTiO3(BST))。栅极导电层8B则可为多晶硅、多晶锗硅、或金属,其中金属可包括Co、Ni、Cu、Al、Pd、Pt、Ru、Re、Mo、Ta、Ti、Hf、Zr、W、Ir、Eu、Nd、Er、La等金属单质、或这些金属的合金以及这些金属的氮化物,栅极导电层8B中还可掺杂有C、F、N、O、B、P、As等元素以调节功函数。栅极导电层8B与栅极绝缘层8A之间还优选通过PVD、CVD、ALD等常规方法形成氮化物的阻挡层(未示出),阻挡层材质为MxNy、MxSiyNz、MxAlyNz、MaAlxSiyNz,其中M为Ta、Ti、Hf、Zr、Mo、W或其它元素。更优选地,栅极导电层8B与阻挡层不仅采用上下叠置的复合层结构,还可以采用混杂的注入掺杂层结构,也即构成栅极导电层8B与阻挡层的材料同时沉积在栅极绝缘层8A上,因此栅极导电层包括上述阻挡层的材料。之后,进一步刻蚀ILD7形成暴露抬升源漏区3HD/3HS的接触孔,在接触孔内填充W、Al、Cu、Ti、Ta、Mo等金属、金属合金、金属氮化物等形成接触塞9B。并进一步优选地在此之前在接触孔内形成镍基金属硅化物9A以降低接触电阻。Referring to the cross-sectional view of FIG. 17 along the second direction BB, the gate-last process continues. For example, selective etching removes the dummy gate conductive layer 5B and the dummy gate insulating layer 5A, leaving a gate opening in the ILD 7 . A gate insulating layer 8A of high-k material and a gate conductive layer 8B of metal material are sequentially deposited in the gate opening by using processes such as HDPCVD, MOCVD, MBE, and ALD. Among them, high-k materials include but are not limited to nitrides (such as SiN, AlN, TiN), metal oxides (mainly subgroup and lanthanide metal element oxides, such as MgO, Al 2 O 3 , Ta 2 O 5 , TiO 2 , ZnO, ZrO 2 , HfO 2 , CeO 2 , Y 2 O 3 , La 2 O 3 ), nitrogen oxides (such as HfSiON); perovskite phase oxides (such as PbZr x Ti 1-x O 3 (PZT ), Ba x Sr 1-x TiO 3 (BST)). The gate conductive layer 8B can be polysilicon, polysilicon germanium, or metal, wherein the metal can include Co, Ni, Cu, Al, Pd, Pt, Ru, Re, Mo, Ta, Ti, Hf, Zr, W, Ir, Eu, Nd, Er, La and other metal elements, or alloys of these metals and nitrides of these metals, the gate conductive layer 8B can also be doped with C, F, N, O, B, P, As, etc. element to adjust the work function. Between the gate conductive layer 8B and the gate insulating layer 8A, a nitride barrier layer (not shown) is preferably formed by conventional methods such as PVD, CVD, ALD, etc., and the material of the barrier layer is M x N y , M x Si y N z , M x Aly N z , Ma Al x Si y N z , wherein M is Ta, Ti, Hf, Zr, Mo, W or other elements. More preferably, the gate conductive layer 8B and the barrier layer not only adopt a composite layer structure stacked up and down, but also adopt a mixed implanted doped layer structure, that is, the materials constituting the gate conductive layer 8B and the barrier layer are deposited on the gate at the same time. Therefore, the gate conductive layer includes the material of the above-mentioned barrier layer. After that, ILD7 is further etched to form contact holes exposing the raised source and drain regions 3HD/3HS, and W, Al, Cu, Ti, Ta, Mo and other metals, metal alloys, metal nitrides, etc. are filled in the contact holes to form contact plugs 9B. And it is further preferable to form a nickel-based metal silicide 9A in the contact hole before this to reduce the contact resistance.
最终,形成了如图17所示的本发明的新型FinFET,其包括:在衬底1之上沿第一方向延伸的多个鳍片结构3F,其中鳍片结构3F具有突入衬底1表面的向下突起,鳍片3F材质与衬底1材质不同;鳍片结构3F中部具有穿通停止层4,为具有掺杂剂而与鳍片结构3F其他部分导电类型不同形成PN结反向偏置的掺杂区,或者为绝缘材料构成的绝缘体;鳍片结构3F顶部包含沿第一方向延伸分布的轻掺杂源区3LS、沟道区3C、轻掺杂漏区3LD;沟道区3C上方具有高k材料的栅极绝缘层8A与金属材料的栅极导电层8B的栅极堆叠8;栅极堆叠8两侧具有栅极侧墙;轻掺杂源漏区3LD/3LS上具有不同材质的可以向沟道区3C提供应力的抬升源漏区3HS/3HD;抬升源漏区上具有金属硅化物9A以及接触插塞9B,埋设在层间介质层7中。各个部件的具体材质和工艺如上所述,在此不再赘述。Finally, the novel FinFET of the present invention as shown in FIG. 17 is formed, which includes: a plurality of fin structures 3F extending above the substrate 1 along the first direction, wherein the fin structures 3F have protrusions protruding into the surface of the substrate 1 Protruding downwards, the material of the fin 3F is different from that of the substrate 1; the middle part of the fin structure 3F has a punch-through stop layer 4, which has a dopant and is different from the conductivity type of other parts of the fin structure 3F to form a reverse biased PN junction The doped region, or an insulator made of insulating material; the top of the fin structure 3F includes a lightly doped source region 3LS extending along the first direction, a channel region 3C, and a lightly doped drain region 3LD; above the channel region 3C there is A gate stack 8 of a gate insulating layer 8A of high-k material and a gate conductive layer 8B of a metal material; there are gate spacers on both sides of the gate stack 8; lightly doped source and drain regions 3LD/3LS have different material The raised source and drain regions 3HS/3HD that can provide stress to the channel region 3C; the raised source and drain regions have metal silicide 9A and contact plugs 9B buried in the interlayer dielectric layer 7 . The specific materials and processes of each component are as described above, and will not be repeated here.
依照本发明的半导体器件及其制造方法,从衬底中细微凹槽开始外延生长不同材料的器件鳍片结构,阻止了界面缺陷向上传播,提高了器件的可靠性,并且有效提高了器件的沟道区载流子迁移率。According to the semiconductor device and its manufacturing method of the present invention, the device fin structure of different materials is epitaxially grown from the fine groove in the substrate, which prevents the upward propagation of interface defects, improves the reliability of the device, and effectively improves the device's trench carrier mobility in the channel region.
尽管已参照一个或多个示例性实施例说明本发明,本领域技术人员可以知晓无需脱离本发明范围而对工艺流程做出各种合适的改变和等价方式。此外,由所公开的教导可做出许多可能适于特定情形或材料的修改而不脱离本发明范围。因此,本发明的目的不在于限定在作为用于实现本发明的最佳实施方式而公开的特定实施例,而所公开的器件结构及其制造方法将包括落入本发明范围内的所有实施例。While the invention has been described with reference to one or more exemplary embodiments, it will be apparent to those skilled in the art that various suitable changes and equivalents can be made to the process flowsheets without departing from the scope of the invention. In addition, many modifications, possibly suited to a particular situation or material, may be made from the disclosed teaching without departing from the scope of the invention. Therefore, it is intended that the invention not be limited to the particular embodiment disclosed as the best mode for carrying out this invention, but that the disclosed device structures and methods of making the same will include all embodiments falling within the scope of the invention .
Claims (17)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201410328588.3A CN105244379A (en) | 2014-07-10 | 2014-07-10 | Semiconductor device and method for manufacturing the same |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201410328588.3A CN105244379A (en) | 2014-07-10 | 2014-07-10 | Semiconductor device and method for manufacturing the same |
Publications (1)
Publication Number | Publication Date |
---|---|
CN105244379A true CN105244379A (en) | 2016-01-13 |
Family
ID=55041948
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201410328588.3A Pending CN105244379A (en) | 2014-07-10 | 2014-07-10 | Semiconductor device and method for manufacturing the same |
Country Status (1)
Country | Link |
---|---|
CN (1) | CN105244379A (en) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105448985A (en) * | 2014-08-14 | 2016-03-30 | 中国科学院微电子研究所 | Semiconductor device and method for manufacturing the same |
CN106206318A (en) * | 2016-08-12 | 2016-12-07 | 中国科学院微电子研究所 | Fin type field effect transistor and preparation method thereof |
CN107026083A (en) * | 2016-02-02 | 2017-08-08 | 中芯国际集成电路制造(上海)有限公司 | The manufacture method of semiconductor device |
CN108831926A (en) * | 2018-06-11 | 2018-11-16 | 中国科学院微电子研究所 | Semiconductor device and method for manufacturing the same |
CN109817637A (en) * | 2017-11-22 | 2019-05-28 | 旺宏电子股份有限公司 | Semiconductor structure for three-dimensional memory element and method of making the same |
CN112447593A (en) * | 2019-08-30 | 2021-03-05 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1489219A (en) * | 2002-10-08 | 2004-04-14 | ���ǵ�����ʽ���� | Transistor structure including separate anti-punchthrough layer and method of forming same |
CN102157555A (en) * | 2010-02-11 | 2011-08-17 | 台湾积体电路制造股份有限公司 | Fin field effect transistor |
CN102956466A (en) * | 2011-08-26 | 2013-03-06 | 联华电子股份有限公司 | Fin-shaped transistor and manufacturing method thereof |
CN103794498A (en) * | 2012-10-29 | 2014-05-14 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor device and method for preparing same |
CN103855010A (en) * | 2012-11-30 | 2014-06-11 | 中国科学院微电子研究所 | FinFET and manufacturing method thereof |
-
2014
- 2014-07-10 CN CN201410328588.3A patent/CN105244379A/en active Pending
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN1489219A (en) * | 2002-10-08 | 2004-04-14 | ���ǵ�����ʽ���� | Transistor structure including separate anti-punchthrough layer and method of forming same |
CN102157555A (en) * | 2010-02-11 | 2011-08-17 | 台湾积体电路制造股份有限公司 | Fin field effect transistor |
CN102956466A (en) * | 2011-08-26 | 2013-03-06 | 联华电子股份有限公司 | Fin-shaped transistor and manufacturing method thereof |
CN103794498A (en) * | 2012-10-29 | 2014-05-14 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor device and method for preparing same |
CN103855010A (en) * | 2012-11-30 | 2014-06-11 | 中国科学院微电子研究所 | FinFET and manufacturing method thereof |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105448985A (en) * | 2014-08-14 | 2016-03-30 | 中国科学院微电子研究所 | Semiconductor device and method for manufacturing the same |
CN107026083A (en) * | 2016-02-02 | 2017-08-08 | 中芯国际集成电路制造(上海)有限公司 | The manufacture method of semiconductor device |
CN106206318A (en) * | 2016-08-12 | 2016-12-07 | 中国科学院微电子研究所 | Fin type field effect transistor and preparation method thereof |
CN106206318B (en) * | 2016-08-12 | 2019-06-11 | 中国科学院微电子研究所 | Fin type field effect transistor and preparation method thereof |
CN109817637A (en) * | 2017-11-22 | 2019-05-28 | 旺宏电子股份有限公司 | Semiconductor structure for three-dimensional memory element and method of making the same |
CN109817637B (en) * | 2017-11-22 | 2020-10-09 | 旺宏电子股份有限公司 | Semiconductor structure for three-dimensional memory element and manufacturing method thereof |
CN108831926A (en) * | 2018-06-11 | 2018-11-16 | 中国科学院微电子研究所 | Semiconductor device and method for manufacturing the same |
CN112447593A (en) * | 2019-08-30 | 2021-03-05 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
CN112447593B (en) * | 2019-08-30 | 2024-03-01 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN105470135B (en) | Semiconductor device manufacturing method | |
US20190252546A1 (en) | Semiconductor Structures and Methods with High Mobility and High Energy Bandgap Materials | |
US9865686B2 (en) | Semiconductor device and manufacturing method therefor | |
CN104112665B (en) | Semiconductor device and method for manufacturing the same | |
CN105470133B (en) | Semiconductor device manufacturing method | |
CN105489652A (en) | Semiconductor device and method for manufacturing the same | |
CN103545208B (en) | Semiconductor device manufacturing method | |
CN103578991B (en) | Semiconductor device manufacturing method | |
CN105244379A (en) | Semiconductor device and method for manufacturing the same | |
CN103531455B (en) | Semiconductor device and method for manufacturing the same | |
CN103839820B (en) | Semiconductor device manufacturing method | |
CN104167359B (en) | Semiconductor device manufacturing method | |
CN104112667A (en) | Semiconductor device and method for manufacturing the same | |
CN104167393A (en) | Semiconductor device manufacturing method | |
CN103811543B (en) | Semiconductor device and method for manufacturing the same | |
CN105470136B (en) | Semiconductor device manufacturing method | |
CN104124164A (en) | Semiconductor device and method for manufacturing the same | |
CN103839819A (en) | Semiconductor device and method for manufacturing the same | |
CN103839818B (en) | Semiconductor device manufacturing method | |
CN103579315B (en) | Semiconductor device and method for manufacturing the same | |
CN105336786A (en) | Semiconductor device and method for manufacturing the same | |
CN105448985B (en) | Semiconductor device and method for manufacturing the same | |
CN104112668B (en) | Semiconductor device and method for manufacturing the same | |
CN104217949A (en) | Semiconductor device and method for manufacturing the same | |
CN104218081A (en) | Semiconductor device and method for manufacturing the same |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
RJ01 | Rejection of invention patent application after publication | ||
RJ01 | Rejection of invention patent application after publication |
Application publication date: 20160113 |