CN104282530A - 包括等温处理区的等离子体处理设备 - Google Patents
包括等温处理区的等离子体处理设备 Download PDFInfo
- Publication number
- CN104282530A CN104282530A CN201410317527.7A CN201410317527A CN104282530A CN 104282530 A CN104282530 A CN 104282530A CN 201410317527 A CN201410317527 A CN 201410317527A CN 104282530 A CN104282530 A CN 104282530A
- Authority
- CN
- China
- Prior art keywords
- panel
- backboard
- radio frequency
- radio
- plenum chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Metallurgy (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
Abstract
本发明涉及包括等温处理区的等离子体处理设备,即涉及一种具有等温处理区的用于处理半导体衬底的沉积设备,其包括化学离析室,半导体衬底在化学离析室中处理。处理气体源流体连通喷头模块,喷头模块从处理气体源输送处理气体到等温处理区,其中喷头模块包括:面板,其中面板的下表面形成限定等温处理区的腔体的上壁;背板;和隔离环,隔离环包围面板和背板。至少一个压缩密封件被压缩在面板与背板之间,在面板与背板之间形成中心气体充气室。衬底基座模块被配置为加热并支撑半导体衬底,其中基座模块的上表面在化学离析室内形成限定等温处理区的腔体的下壁。真空源流体连通等温处理区,以便从等温处理区抽空处理气体。
Description
技术领域
本发明属于用于进行化学沉积的设备,并且可以在进行等离子体增强化学沉积薄膜的过程中找到特定用途。
背景技术
等离子体处理设备用于通过以下技术处理半导体衬底:蚀刻、物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、脉冲沉积层(PDL)、等离子体增强脉冲沉积层(PEPDL)处理和抗蚀剂剥离。在等离子体处理中使用的一种等离子体处理设备包括含顶电极和底电极的反应室。在电极之间施加射频(RF)功率以激发处理气体为等离子体,用于处理反应室中的半导体衬底。在这种室中,半导体衬底上不均匀的加热会导致不均匀的衬底处理。
发明内容
本文公开了一种用于处理半导体衬底的沉积设备,其中所述沉积设备具有等温处理区。所述沉积设备包括化学离析室,半导体衬底在所述化学离析室中处理。处理气体源与所述化学离析室流体连通,以供应处理气体到所述化学离析室中,其中喷头模块从所述处理气体源输送处理气体到所述等温处理区。所述喷头模块包括:面板,其中所述面板的下表面形成限定所述等温处理区的腔体的上壁;背板;隔离环,所述隔离环包围所述面板和所述背板,其中所述隔离环支撑所述背板;支撑元件,所述支撑元件将所述面板连接到所述背板;和至少一个压缩密封件,所述至少一个压缩密封件在所述面板与所述背板之间形成中心充气室的外周。所述支撑元件与所述面板之间的接触面积小于所述面板的总表面积的1%。衬底基座模块被配置为加热并支撑半导体衬底,其中所述基座模块的上表面在所述化学离析室内形成限定所述等温处理区的所述腔体的下壁,并且抽空设备流体连通所述等温处理区,用于从所述处理区抽空处理气体。
本文还公开了一种等离子体处理设备的喷头模块。所述喷头模块从处理气体源输送处理气体到等温处理区。所述喷头模块包括:面板,其中所述面板的下表面形成限定所述等温处理区的腔体的上壁;背板;隔离环,所述隔离环包围所述面板和所述背板,其中所述隔离环支撑所述背板;支撑元件,所述支撑元件将所述面板连接到所述背板;和至少一个压缩密封件,所述至少一个压缩密封件在所述面板与所述背板之间形成中心充气室的外周。所述支撑元件与所述面板之间的接触面积小于所述面板的总表面积的1%。
附图说明
图1示出了根据本文公开的实施方式的化学沉积设备的概观的示意图。
图2图示了一个方框图,其描绘了被设置用于实施本文公开的实施方式的多种设备组件,其中等离子体可以用于在产生薄膜期间增强沉积和/或反应物质之间的表面反应。
图3图示了根据本文公开的实施方式设置的基座模块和喷头模块的横截面。
图4A至图4E均图示了根据本文公开的实施方式设置的喷头模块的横截面。
图5A、图5B均图示了根据本文公开的实施方式设置的喷头模块的横截面。
图6图示了根据本文公开的实施方式设置的喷头模块的横截面。
具体实施方式
在以下详细说明中,阐述了多个具体实施方式以便提供对本文公开的设备和方法的透彻理解。然而,本领域技术人员会认识到,在没有这些具体细节或通过使用替代的要素或方法的情况下可以实施本实施方式。在其他情况下,不再描述公知的方法、过程和/或部件以便不会不必要地模糊本文所述的实施方式的发面。本文中使用的术语“约”指的是±10%。
如上所述,本文的实施方式提供了用于操作例如等离子体增强化学气相沉积之类的化学沉积的设备及相关方法。所述设备和方法特别适用于结合在多步骤沉积工艺中要求分离自限制沉积工艺的基于半导体制造的电介质沉积方法(例如,原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、等离子体增强化学气相沉积(PECVD)、脉冲沉积层(PDL)或等离子体增强脉冲沉积层(PEPDL)的处理)使用,但是它们不限于此。
上述方法会存在与接收沉积材料的晶片或衬底上不均匀的温度有关的一些缺陷。例如,当与周围的室部件热接触的被动加热喷头损失热量到周围的部件时,会在衬底上形成不均匀的温度。因此,形成处理区的上壁的喷头优选地与周围的部件热绝缘,使得可以形成等温的处理区,从而在衬底上形成均匀的温度,并且在喷头的表面(即,处理区的上壁)上形成均匀的温度。衬底上均匀的温度有助于对半导体衬底进行均匀处理,其中衬底温度提供用于沉积工艺的激活能量,并且因此是用于驱动沉积反应的控制手段。喷头上的均匀温度同样有助于在半导体衬底上方均匀的气相反应。均匀的温度同样有助于选择具有较低的热导率但具有较高耐腐蚀性的材料。
另外,一般存在两种主要类型的沉积喷头:吊灯式喷头和吸顶式喷头。吊灯式喷头的一端具有连接到室顶部的杆,另一端具有面板,颇似吊灯。杆的一部分可以伸出室顶部从而使气体管道和射频功率能连接上。因此,在处理期间必须抽空的更大的室体积(例如吊灯式设计需要更大的室体积)会成为生产量的阻碍。然而,顶吸式喷头集成在室顶部中并且没有杆,因此,可以减小必须抽空的室体积。本文公开的实施方式属于顶吸式喷头,其中顶吸式喷头减小了在处理期间必须通过真空源抽空的室体积。顶吸式喷头会通过导热从喷头的表面和主体丧失热量到室的剩余部分。这不仅降低了喷头面板的温度,而且在暴露于处理区的喷头的表面上引入了径向温度不均匀性。本文公开的实施方式减小了通过导热从喷头传到室的热量损失,并且增强了在暴露于处理区的喷头的表面上径向温度的均匀性,从而形成等温处理区。
图1是示出了根据本文公开的实施方式的化学沉积设备201的概观的示意图。衬底13坐靠在活动基座模块223上,该活动基座模块223可以相对于喷头模块211升高或下降,也可以垂直移动。反应材料气体经由气体管道203引入室的处理区318。要注意,该设备可以根据使用的反应气体的数量进行修改以具有一个或多个气体管道。室通过连接到真空源209的真空管道235被抽空。真空源可以是真空泵。
本文公开的实施方式优选地在等离子体增强化学沉积设备(即,PECVD设备、PEALD设备或PEPDL设备)中实施。图2提供了一个简单的方框图,其描绘了用于实施本文公开的实施方式的多个设备部件,其中等离子体用于增强沉积。如图所示,处理区318用于容纳由电容性耦合等离子体系统产生的等离子体,该系统包括与基座模块223结合工作的喷头模块211,其中基座模块223被加热。例如连接到匹配网络206的至少一个高频(HF)射频发生器204以及任选的低频(LF)射频发生器202之类的一个或多个射频源连接到喷头模块211。在替代实施方式中,高频发生器204可以连接到基座模块223。匹配网络206供应的功率和频率足以从处理气体/蒸气产生等离子体。在一个实施方式中,使用高频发生器和低频发生器两者,在替代实施方式中,仅使用高频发生器。在典型工艺中,高频发生器一般工作在约2MHz至100MHz;在优选实施方式中,工作在13.56MHz或27MHz。低频发生器一般工作在50kHz至2MHz,在优选实施方式中,工作在约350kHz至600kHz。工艺参数可以根据室体积、衬底大小和其他因素进行缩放。类似地,处理气体的流速可以取决于真空室或处理区的自由体积。
在室中,基座模块223支撑在上面可以沉积例如薄膜之类的材料的衬底13。基座模块223可以包括拨叉或升降销以在沉积和/或等离子体处理反应期间或间隙保持并转移衬底。在实施方式中,衬底13可以被配置为停靠在基座模块223的表面上,然而,在替代实施方式中,基座模块223可以包括用于将衬底13保持在基座模块223的表面上的静电卡盘、机械卡盘或真空卡盘。基座模块223可以连接用于加热衬底13到所需温度的加热装置220。一般来讲,衬底13根据将要沉积的材料维持在约25℃至500℃或更大的温度。
在某些实施方式中,采用系统控制器228来控制沉积期间的工艺条件、后沉积处理和/或其他工艺操作。控制器228通常包括一个或多个存储设备和一个或多个处理器。处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制板等。
在某些实施方式中,控制器228控制设备的所有活动。系统控制器228执行包括成组指令的系统控制软件,成组指令用于控制处理操作的计时、低频发生器202和高频发生器204操作的频率和功率、前体和惰性气体及其相关混合物的流速和温度、加热装置220和喷头模块211的温度、室的压力和特定工艺的其他参数。在一些实施方式中可以实施存储在与控制器相关联的存储设备上的其他计算机程序。
通常存在与控制器228相关联的用户界面。用户界面可以包括显示屏、设备和/或处理条件的图像软件显示器以及用户输入设备,用户输入设备如,指向设备、键盘、触屏、麦克风等。
非临时性计算机的机器可读介质可以包括用于控制设备的程序指令。用于控制处理操作的计算机程序代码可以编写成任何常规的计算机可读的编程语言,例如,汇编语言、C、C++、Pascal、Fortran等。由处理器执行编译后的目标代码或脚本以执行程序中指定的任务。
控制器参数涉及例如处理步骤的计时、前体和惰性气体的流速和温度、晶片的温度、室的压力和特定工艺的其他参数等处理条件。这些参数通过配方的形式提供给使用者,并且可以利用用户界面来输入。
用于监测过程的信号可以通过系统控制器的模拟和/或数字输入通信线(connection)来提供。用于控制过程的信号可以输出到设备的模拟和数字输出通信线。
系统软件可以被设计或配置成许多不同的方式。例如,可以编写多种室部件的子程序或控制对象以控制进行沉积工艺所需的室部件的操作。实现该目的的程序或程序段的实例包括处理步骤的衬底计时代码、前体和惰性气体的流速和温度代码以及室的压力代码。
图3更详细地图示了化学离析室319的横截面,该化学离析室319包括图1和图2的基座模块223和喷头模块211,其中基座模块223和喷头模块211形成在里面处理半导体衬底的腔体318。腔体318形成在喷头模块211与基座模块223之间,其中喷头模块211的面板301的下表面形成腔体318的上壁和侧壁,并且基座模块223的上表面形成腔体318的下壁。在共同转让的美国专利7,737,035中可以找到包括双室密封件的沉积设备的示例性实施方式,该专利的全部内容通过引用的方式并入本文中。
基座模块223包括底部射频电极317,衬底13坐靠在基座模块223上。优选地,底部射频电极317接地。在处理期间,衬底13的顶部与喷头模块211的底面之间的距离优选地约5mm至16mm。基座模块223包括加热器(参见图2),并且喷头模块211的面板301在处理期间被基座模块223释放的热量加热,并且在处理期间受到腔体318中的等离子体的撞击。在一些实施方式中,室内部的温度可以通过喷头模块211和基座模块223中的加热机构来维持。优选地,衬底13位于等温环境下。通过维持腔体318的每个暴露表面在所需的温度来形成等温处理区。等温处理区允许衬底13均匀受热并且维持在所需的温度,使得不会在衬底13上形成不期望的温度梯度。为了形成等温处理区,使从面板301到背板302的热量损失以及从面板301到隔离环303的热量损失最小化。在一个实施方式中,喷头模块211可以被加热到高于约250℃,并且/或者基座模块223可以被加热到高于约250℃至500℃或高于约500℃。在一些实施方式中,腔体318的每个暴露表面是由陶瓷材料形成的。
喷头模块211包括面板301、背板302和隔离环303,其中隔离环包围面板301和背板302并且支撑背板302。隔离环303优选地是由例如三氧化二铝(Al2O3)或氮化铝(AlN)之类的陶瓷材料形成的并且能被支撑在化学离析室319的接地的室壁322上。
背板302是由金属材料形成的。例如,背板302可以是由例如Al6061之类的铝合金或不锈钢形成的,其中背板302可以包括陶瓷外涂层,例如,氧化铝外涂层、氧化钇外涂层或聚合物涂层,具体取决于预期用途。在优选实施方式中,背板302是射频热点,即,由射频源提供能量。在一个实施方式中,背板302可以是冷却的背板。面板301优选地是由陶瓷或金属材料形成的,并且在优选实施方式中,背板可以是由三氧化二铝(Al2O3)或氮化铝(AlN)形成的。面板301可以导电的,或者是由嵌有射频电极310的陶瓷材料制成的,其中嵌入的射频电极310优选地是网状电极。气体充气室308形成在面板301与背板302之间。优选地,面板301与背板302之间的气体充气室308的高度在提供最小的充气室308体积的同时使气流能很好地从充气室308流到腔体318。优选地,充气室308的高度约为2mm至6mm。至少一个射频接触件312电性连接背板302和嵌入面板301中的射频电极310。在一个实施方式中,射频接触件312可以是环形射频接触件,该环形射频接触件是由具有至少一个弯曲的金属带制成的,其中射频接触件312形成面板301与背板302之间的气体充气室308的外周。优选地,射频接触件312形成面板301与背板302之间的热壅塞(thermal choke)。
图4A图示了形成等温处理区的喷头模块211和衬底支架223的示例性实施方式,其中支撑元件将喷头模块的面板连接到喷头模块的背板。优选地,暴露于等温处理区的每个表面是维持在所需温度的陶瓷表面。喷头模块211包括面板301、背板302和隔离环303,其中面板的下表面形成限定等温处理区的腔体318上壁以及任选地腔体318的侧壁,并且其中隔离环303包围面板301和背板302。背板302可以包括一个或多个气体入口305和一个或多个气体出口315(参见图4E),并且面板301优选地包括多个通孔304,这些通孔用于输送处理气体到等温处理区,输送惰性气体到等温处理区,或者从等温处理区清除处理气体和/或惰性气体。
例如,完全延伸到晶片上方的面板的中心区可以包括同心的气体入口和气体出口以输送处理气体并且从等温处理区提取反应后的气体。在美国专利No.5,102,523或No.5,614,026中公开了同心入口和出口的合适构造,这两个专利通过引用的方式并入本文中。
至少一个压缩密封件可以位于背板与面板之间,其中每个压缩密封件可以形成至少一个气体充气室的边界。例如,两个压缩密封件可以用于形成气体充气室,其中第一压缩密封件是被压缩在面板301与背板302之间的第一环形杆密封件306a,并且其中第一环形杆密封件306a形成内部气体充气室308a。内部气体充气室308a可以流体连通背板302的一个或多个气体入口305以及面板301的一个或多个通孔304,使得可以输送处理气体穿过这些通孔进入限定等温处理区的腔体318。第二压缩密封件可以是包围第一环形杆密封件306a并且被压缩在面板301与背板302之间的第二环形杆密封件306b,其中第二环形杆密封件306b形成包围内部气体充气室308a的中间充气室308b。中间充气室308b可以流体连通背板302的一个或多个气体出口315以及面板301的一个或多个通孔304,使得真空源可以从腔体318中的等温处理区清除处理气体。在一个实施方式中,外部气体充气室308c包围中间充气室308b。优选地,外部气体充气室308c可以输送惰性气体到面板301与等温环303之间流体连通腔体318中的等温处理区的间隙,并且其中隔离环303形成外部气体充气室308c的外周。优选地,每个环形杆密封件306a、306b提供反作用于面板301和背板302的弹力,其中每个杆密封件306a、306b具有至少一个弯曲,该弯曲在与面板301接触的下自由端以及与背板302接触的上自由端之间的长度为约0.5英寸至1.5英寸,厚度为约0.003英寸至0.009英寸。优选地,每个杆密封件306a、306b具有S形、C形、E形、Z形或V形横截面。
在一个实施方式中,面板301利用支撑元件连接到背板302上,该支撑元件包括多个凸轮锁组件309。在联接时,每个凸轮锁组件309被配置为压缩面板301与背板302之间的每个环形杆密封件306a、306b。每个受压的环形杆密封件306a、306b在面板301与背板302之间形成热壅塞,使得在面板301与背板302之间形成气体充气室308a、308b、308c的同时可以使从面板301到背板302的热损失最小化。
优选地,每个凸轮锁组件309包括安装在插槽513中的螺柱(锁销)505。插槽513可以安装在面板中的插槽孔513a中。在一个实施方式中,插槽513包括外螺纹,其中插槽513安装在具有对应的内螺纹的插槽孔513a中。可替代地,插槽513可以结合到插槽孔513a中。凸轮锁组件309能快速地、干净利索且精确地将面板301连接到背板302上。螺柱505可以是由包括例如不锈钢(例如Nitronic-60)或钼在内的金属或金属合金形成的,并且可以被碟形弹簧组515(例如,不锈钢Belleville垫圈或由例如Haynes之类的合金形成的垫圈)包围。螺柱505和碟形弹簧组515布置在插槽513中使得由于两种零部件之间的热膨胀的差异,在面板301与背板302之间可能发生有限量的侧向运动。
每个凸轮锁组件309的其他部分可以包括安装在背板302的背板孔511中的凸轮轴轴承组件507,该背板孔511被配置为接收螺柱505。在共同转让的美国专利8,272,346中可以找到凸轮锁组件的示例性实施方式,该凸轮锁组件可以用于将喷头组件的面板连接到喷头组件的背板,该专利的全部内容通过引用的方式并入本文中。
每个凸轮锁组件309可以包括形成射频接触件312的导电插槽,其中射频接触件312电性连接面板301的射频电极310和作为射频热点的背板302。每个射频接触件312可以是由金属或任何合适的导电材料形成的,然而,在优选实施方式中,每个射频接触件312是由钨形成的。可替代地,每个射频接触件312可以是由不锈钢或奥氏体镍铬基合金形成的。另外,每个射频接触件312可以包括镍外涂层。每个凸轮锁组件309优选地在面板301与背板302之间提供最小的接触面积,使得面板301可以与喷头模块211的剩余部分热绝缘。热绝缘喷头模块211的面板301就减小了从面板的上表面到背板302的热损失以及在面板的外周到包围面板301的隔离环303的热损失,从而在腔体318内形成等温处理区。使面板301热绝缘允许在面板301的下表面上维持所需的温度,并且导致更加均匀的衬底处理。支撑元件(凸轮锁)与面板之间的接触面积小于面板的总表面积的1%。优选地,总接触面积小于面板的总表面积的0.5%,小于面板的总表面积的0.3%,小于面板的总表面积的0.2%,小于面板的总表面积的0.1%,或小于面板的总表面积的0.05%。如图4C所示,射频接触件312可以形成凸轮锁组件309的插槽,其中射频接触件312的下部312a可以安装在面板301中,以便使面板301与背板302之间的接触面积最小化并且使两者之间热传递减少。射频接触件312的下部312a优选地钎焊到嵌入式射频电极310上。
图4B图示了喷头模块211和衬底支架223的实施方式,该喷头模块和衬底支架被配置为在具有改善的射频分布的腔体318中形成等温处理区。如图4B所示,基座模块223的底部射频电极317可以变长,使得该电极可以延伸到腔体318的外周,或超出该外周。优选作为接地电极的底部射频电极317延伸超出腔体318的外周减小了基座模块223与周围的接地室壁322之间的电场强度,使得同样减小了导致两者之间产生电弧的电势。优选地,底部射频电极317的直径大于约12.5英寸,并且更优选地,底部射频电极317的直径大于约15英寸,例如直径约为15.6英寸。
在一个实施方式中,如图4D所示,隔离环303包括将面板301连接到背板302的支撑元件,并且压缩面板301与背板302之间的每个环形杆密封件306a、306b,其中隔离环303包括位于面板301外部下的内部环形凸缘313,并且内部环形凸缘313上具有支撑面板301的至少一个向上延伸的凸起314。至少一个向上延伸的凸起314与面板301接触,并且提供使面板301抵靠背板302偏置的热壅塞(即,维持面板301相对于背板302平行)。优选地,至少三个向上延伸的凸起314支撑面板301。至少一个向上延伸的凸起314使隔离环303与面板301之间的接触面积最小化,使得面板301可以在其外周与隔离环303以及喷头模块211的剩余部分热绝缘。使面板301与喷头模块211的剩余部分热绝缘可以在腔体318内提供等温处理区,从而导致更加均匀的衬底处理。隔离环303的至少一个向上延伸的凸起314与面板301之间的最大总接触面积小于约0.05平方英寸,并且优选地小于约0.02平方英寸,并且更优选地小于约0.01平方英寸。在联接时,隔离环303被配置为压缩面板301与背板302之间的第一环形杆密封件306a,以便形成内部气体充气室308a,并且压缩第二环形杆密封件306b,以便形成中间气体充气室308b。在一个实施方式中,面板301的上表面可以包括环形凹槽360,其中每个环形杆密封件306a、306b的下部被支撑在对应的环形凹槽360中。在又一个的实施方式中,背板302的下表面也可以包括环形凹槽360,其中每个环形杆密封件306a、306b的上部被支撑在对应的环形凹槽360中。射频接触件312电性连接嵌入面板301的射频电极310和作为射频热点的背板302。射频接触件312可以是由任何合适的导电材料形成的,合适的导电材料如,不锈钢、钨、奥氏体镍铬基合金,优选地包括外部镀镍的,例如镀镍等。在优选实施方式中,射频接触件312是由具有至少一个弯曲的金属带制成的环形射频接触件,其中该射频接触件电性连接到嵌入陶瓷面板中的射频电极,并且其中环形射频接触件包围第二环形杆密封件306b并且在背板302与面板301之间形成外部气体充气室308c的外周。背板302中的气体入口305可以被配置为输送惰性气体到外部气体充气室308c,其中,惰性气体接着可以经由在面板301中的流体连通外部气体充气室308c的通孔304被输送到腔体318的外周。射频接触件312中具有至少一个可压缩的弯曲,其中该弯曲的直径被配置为使得导致在隔离环303的内表面303a与射频接触件312的外表面之间产生电弧的电势最小化。
在一个实施方式中,如图4E所示,支撑元件包括射频接触件312,该射频接触件将面板301连接到背板302,并且将面板301电性连接到背板302。射频接触件312优选为由具有至少一个弯曲的金属带制成的环形射频接触件,其中射频接触件312在面板301与背板302之间提供压缩力以压缩杆密封件306a、306b。至少一个弯曲优选地具有一直径,该直径被配置为使得导致隔离环303的内表面303a与射频接触件312的外表面之间产生电弧的电势最小化。环形射频接触件312在背板302与面板301之间形成外部气体充气室308c的外周。射频接触件312的下端优选地钎焊到嵌入面板301中的射频电极310的暴露部分上,同时射频接触件312的上端优选地使用合适的机械紧固件320连接到背板302,该紧固件可以是螺栓、螺钉等。在一个优选的实施方式中,约9至12个机械紧固件320可以将射频接触件312的上端连接到背板302,然而,在替代实施方式中,可以利用更多的紧固件320。射频接触件312优选地在与面板接触的下自由端以及与背板接触的上自由端之间具有约0.5英寸至1.5英寸的长度,约0.003英寸至0.009英寸的厚度。优选地,射频接触件具有S形、C形、E形、Z形或V形横截面。在连接时,射频接触件312压缩面板301与背板302之间的每个环形杆密封件306a、306b,其中射频接触件312包围第二环形杆密封件306b并且形成充气室308c。射频接触件312电性连接面板301的射频电极310和作为射频热点的背板302。射频接触件312可以是由任何合适的导电材料形成的,合适的导电材料如,不锈钢、钨、奥氏体镍铬基合金,并且射频接触件312优选地包括外部镀镍层,例如镀镍的等。
优选地,面板301在其外周的上表面301a上包括至少一个向上延伸的间隔物307(图5A),其中至少一个向上延伸的间隔物307在面板301与背板302之间维持所需的充气室高度,并且其中至少一个间隔物307被配置为维持面板301相对于背板302平行。在优选实施方式中,至少三个向上延伸的间隔物307位于面板301与背板302之间。在替代实施方式中,至少一个间隔物307能够以向下延伸的方式设置在背板302的下表面302a上。例如,间隔物307可以是被压入背板302的下表面302a中的蓝宝石球。面板301的至少一个向上延伸的间隔物307与背板302之间的总接触面积小于约0.5平方英寸,优选地小于约0.05平方英寸,并且更优选地小于约0.01平方英寸。
图5B图示了喷头模块211的实施方式,其中至少一个间隔物307位于面板301与背板302之间,其中所述间隔物307在两者之间维持所需的气体充气室高度,其中所述至少一个间隔物307被配置为维持面板301相对于背板302平行。优选地,至少三个间隔物307位于面板301与背板302之间。间隔物307可以整合到面板301中(参见图5A),或者可替代地间隔物307可以是位于气体充气室308外周的球,其中间隔物307可自由移动使得间隔物可以允许面板301和背板302热膨胀和热收缩,进而可以在两者之间维持所需的充气室高度。优选地,间隔物307是由陶瓷材料形成的。在替代的优选实施方式中,间隔物307可以是由石英或蓝宝石形成的。
图6图示了喷头模块211的实施方式,其中射频接触件312与面板301的金属表面401形成摩擦接触,该金属表面401与嵌入面板301的射频电极310电接触,其中射频接触件312电连接面板301的射频电极310和作为射频热点的背板302。面板301的下表面形成腔体318的上壁,其中面板301的下表面包括绕着其外周的同类材料的环402,其中环402的内表面形成腔体318的侧壁,该侧壁限定腔体318中的等温处理区。优选地,环402使用合适的紧固件(例如,螺钉403)结合或机械连接到面板301的下表面上。
虽然参照具体实施方式详细描述了包括等温处理区的等离子体处理设备,但是本领域的技术人员会明白在不脱离所附权利要求书的范围的情况下可以进行多种变更和修改并且采用等同方案。
Claims (20)
1.一种具有等温处理区的用于处理半导体衬底的沉积设备,其包括:
化学离析室,半导体衬底在所述化学离析室中处理;
处理气体源,其与所述化学离析室流体连通,以供应处理气体到所述化学离析室中;
喷头模块,其将来自所述处理气体源的处理气体输送到所述等温处理区,其中所述喷头模块包括:面板,其中所述面板的下表面形成限定所述等温处理区的腔体的上壁;背板;隔离环,所述隔离环包围所述面板和所述背板,其中所述隔离环支撑所述背板;支撑元件,所述支撑元件将所述面板连接到所述背板;和至少一个压缩密封件,所述至少一个压缩密封件在所述面板与所述背板之间形成中心充气室的外周,其中所述支撑元件与所述面板之间的接触面积小于所述面板的总表面积的1%;以及
衬底基座模块,其被配置为加热并支撑半导体衬底,其中所述基座模块的上表面在所述化学离析室内形成限定所述等温处理区的所述腔体的下壁。
2.如权利要求1所述的沉积设备,其中所述沉积设备包括:
(a)射频能量源,其适于在所述等温处理区中将所述处理气体激发成等离子体状态;
(b)控制系统,其被配置为控制由所述沉积设备执行的过程;
(c)非临时性计算机的机器可读介质,其包括用于控制所述沉积设备的程序指令;和/或
(d)真空源,其与所述等温处理区流体连通,以便从所述等温处理区抽空处理气体。
3.如权利要求1所述的沉积设备,其中所述面板是陶瓷面板并且所述支撑元件包括:
(a)多个凸轮锁组件,其中每个凸轮锁组件包括射频接触件,所述射频接触件电性连接到嵌入所述陶瓷面板中的射频电极;
(b)环形射频接触件,其由具有至少一个弯曲的金属带制成,其中所述射频接触件电性连接到嵌入所述陶瓷面板中的射频电极,并且其中所述环形射频接触件在所述背板与所述陶瓷面板之间形成外部气体充气室的外周;或者
(c)至少一个向上延伸的凸起,其与所述陶瓷面板接触,其中所述至少一个向上延伸的凸起位于所述隔离环的内部环形凸缘上,其中所述隔离环的内部环形凸缘位于所述陶瓷面板的外部下方,并且其中所述沉积设备进一步包括环形射频接触件,所述环形射频接触件由具有至少一个弯曲的金属带制成,其中所述射频接触件电性连接到嵌入所述陶瓷面板中的射频电极,并且其中所述环形射频接触件在所述背板与所述陶瓷面板之间形成外部气体充气室的外周。
4.如权利要求3所述的沉积设备,其中所述环形射频接触件:
(a)包括钨、不锈钢或奥氏体镍铬基合金;
(b)包括金属材料并且具有镍外涂层;
(c)钎焊到嵌入所述面板中的射频电极;
(d)在与所述面板接触的下自由端以及与背板接触的上自由端之间具有约0.5英寸至1.5英寸的长度,以及约0.003英寸至0.009英寸的厚度;
(e)具有S形、C形、E形、Z形或V形横截面;并且/或者
(f)与所述面板的金属表面形成摩擦接触,其中所述金属表面与嵌入所述面板中的射频电极电接触。
5.如权利要求1所述的沉积设备,其中所述压缩密封件:
(a)包括被压缩在所述面板与所述背板之间的环形杆密封件;
(b)包括钨、不锈钢或奥氏体镍铬基合金;
(c)包括金属材料并且具有镍外涂层;
(d)提供反作用于所述背板和所述面板的弹力;
(e)包括由金属带材制成的可压缩环,所述可压缩环在其横截面上具有至少一个弯曲,其中在与所述面板接触的下自由端以及与所述背板接触的上自由端之间的长度是约0.5英寸至1.5英寸,厚度是约0.003英寸至0.009英寸;并且/或者
(f)包括由金属带材制成的可压缩环,所述可压缩环具有S形、C形、E形、Z形或V形横截面。
6.如权利要求1所述的沉积设备,其中所述至少一个压缩密封件包括第一和第二压缩密封件,其中:
所述第一压缩密封件是第一环形杆密封件,所述第一环形杆密封件被压缩在所述面板与所述背板之间并且在所述面板与所述背板之间形成内部气体充气室;并且
所述第二压缩密封件是第二环形杆密封件,所述第二环形杆密封件被压缩在所述面板与所述背板之间,其中所述第二杆密封件包围所述第一杆密封件并且形成包围所述内部气体充气室的中间气体充气室,并且其中外部气体充气室包围所述中间气体充气室。
7.如权利要求1所述的沉积设备,其中所述总接触面积小于
(a)所述面板的总表面积的0.5%;
(b)所述面板的总表面积的0.3%;
(c)所述面板的总表面积的0.2%;
(d)所述面板的总表面积的0.1%;或
(e)所述面板的总表面积的0.05%。
8.如权利要求3所述的沉积设备,其中所述面板与所述隔离环的所述至少一个向上延伸的凸起之间的接触面积具有的最大总接触面积:
(a)小于约0.05平方英寸;
(b)小于约0.02平方英寸;或
(c)小于约0.01平方英寸。
9.如权利要求1所述的沉积设备,其中所述面板与所述背板之间包括至少一个间隔物,其中所述至少一个间隔物被配置为维持所述面板相对于所述背板平行。
10.如权利要求1所述的沉积设备,其中:
(a)所述面板是由三氧化二铝或氮化铝形成的并且包括嵌入其中的射频电极,其中所述嵌入的射频电极电性连接到射频接触件;
(b)所述面板是由金属材料形成的并且电性连接到射频接触件;
(c)所述衬底基座模块包括底部射频电极,其中所述底部射频电极的外周朝所述腔体的外周以外延伸;
(d)所述面板与所述背板之间的所述充气室具有约2mm至6mm的高度;
(e)所述面板的下表面形成所述腔体的所述上壁和侧壁;
(f)所述面板的下表面在其外周包括同类材料的环,其中所述环的内表面形成所述腔体的所述侧壁;
(g)所述腔体的每个暴露表面是由陶瓷材料形成的;
(h)所述至少一个压缩密封件包括位于所述面板的环形凹槽中的环形杆密封件;
(i)所述至少一个压缩密封件包括位于所述背板的环形凹槽中的环形杆密封件;和/或
(j)所述隔离在所述面板与所述背板之间形成外部气体充气室的外周。
11.一种在根据权利要求1所述的沉积设备中处理半导体衬底的方法,其包括:
将来自所述处理气体源的处理气体供应到所述等温处理区中;并且
在所述等温处理区中处理半导体衬底;
其中该处理是以下各项中的至少一种:化学气相沉积、等离子体增强的化学气相沉积、原子层沉积、等离子体增强的原子层沉积、脉冲沉积层和/或等离子体增强的脉冲沉积层。
12.一种等离子体处理设备的喷头模块,所述喷头模块被配置为输送处理气体到所述等离子体处理设备的等温处理区,所述喷头模块包括:
面板,其中所述面板的下表面形成限定所述等温处理区的腔体的上壁;
背板;
隔离环,其包围所述面板和所述背板,其中所述隔离环支撑所述背板;
支撑元件,其将所述面板连接到所述背板;以及
至少一个压缩密封件,其在所述面板与所述背板之间形成中心气体充气室的外周,
其中所述支撑元件与所述面板之间的接触面积小于所述面板的总表面积的1%。
13.如权利要求12所述的喷头模块,其中所述面板是陶瓷面板并且所述支撑元件包括:
(a)多个凸轮锁组件,其中每个凸轮锁组件包括射频接触件,所述射频接触件电性连接到嵌入所述陶瓷面板中的射频电极;
(b)环形射频接触件,其由金属带制成,所述金属带在其横截面上具有至少一个弯曲,其中所述射频接触件电性连接到嵌入所述陶瓷面板中的射频电极,并且其中所述环形射频接触件在所述背板与所述陶瓷面板之间形成外部气体充气室的外周;或者
(c)至少一个向上延伸的凸起,其与所述陶瓷面板接触,其中所述至少一个向上延伸的凸起位于所述隔离环的内部环形凸缘上,其中所述隔离环的内部环形凸缘位于所述陶瓷面板的外部下方,并且其中所述喷头模块进一步包括环形射频接触件,所述环形射频接触件由金属带制成,所述金属带在其横截面上具有至少一个弯曲,其中所述射频接触件电性连接到嵌入所述陶瓷面板中的射频电极,并且其中所述环形射频接触件在所述背板与所述陶瓷面板之间形成外部气体充气室的外周。
14.如权利要求13所述的喷头模块,其中所述环形射频接触件:
(a)包括钨、不锈钢或奥氏体镍铬基合金;
(b)包括金属材料并且具有镍外涂层;
(c)钎焊到嵌入所述面板中的射频电极;
(d)在与所述面板接触的下自由端以及与背板接触的上自由端之间具有约0.5英寸至1.5英寸的长度,以及约0.003英寸至0.009英寸的厚度;
(e)具有S形、C形、E形、Z形或V形横截面;并且/或者
(f)与所述面板的金属表面形成摩擦接触,其中所述金属表面与嵌入所述面板中的射频电极电接触。
15.如权利要求12所述的喷头模块,其中所述面板与所述背板之间包括至少一个间隔物,其中所述间隔物被配置为维持所述面板相对于所述背板平行。
16.如权利要求12所述的喷头模块,其中所述压缩密封件:
(a)包括压缩在所述面板与所述背板之间的环形杆密封件;
(b)包括钨、不锈钢或奥氏体镍铬基合金;
(c)包括金属材料并且具有镍外涂层;
(d)提供反作用于所述背板和所述面板的弹力;
(e)包括由金属带材制成的可压缩环,所述可压缩环在其横截面上具有至少一个弯曲,其中在与所述面板接触的下自由端以及与所述背板接触的上自由端之间的长度是约0.5英寸至1.5英寸,厚度是约0.003英寸至0.009英寸;并且/或者
(f)包括由金属带材制成的可压缩环,所述可压缩环具有S形、C形、E形、Z形或V形横截面。
17.如权利要求12所述的喷头模块,其中所述至少一个压缩密封件包括第一和第二压缩密封件,其中:
所述第一压缩密封件是第一环形杆密封件,所述第一环形杆密封件被压缩在所述面板与所述背板之间并且在所述面板与所述背板之间形成内部气体充气室;并且
所述第二压缩密封件是第二环形杆密封件,所述第二环形杆密封件被压缩在所述面板与所述背板之间,其中所述第二杆密封件包围所述第一杆密封件并且形成包围所述内部气体充气室的中间气体充气室,并且其中外部气体充气室包围所述中间气体充气室。
18.如权利要求12所述的喷头模块,其中所述接触面积小于
(a)所述面板的总表面积的0.5%;
(b)所述面板的总表面积的0.3%;
(c)所述面板的总表面积的0.2%;
(d)所述面板的总表面积的0.1%;或
(e)所述面板的总表面积的0.05%。
19.如权利要求13所述的喷头模块,其中所述面板与所述隔离环的所述至少一个向上延伸的凸起之间的接触面积具有的最大总接触面积:
(a)小于约0.05平方英寸;
(b)小于约0.02平方英寸;或
(c)小于约0.01平方英寸。
20.如权利要求12所述的喷头模块,其中:
(a)所述面板是由三氧化二铝或氮化铝形成的并且包括嵌入其中的射频电极,其中所述嵌入的射频电极电性连接到射频接触件;
(b)所述面板是由金属材料形成的并且电性连接到射频接触件;
(c)所述面板与所述背板之间的所述充气室具有约2mm至6mm的高度;
(d)所述面板的下表面形成所述腔体的所述上壁和侧壁;
(e)所述面板的下表面在其外周包括同类材料的环,其中所述环的内表面形成所述腔体的所述侧壁;
(f)所述腔体的每个暴露表面是由陶瓷材料形成的;
(g)所述至少一个压缩密封件包括位于所述面板的环形凹槽中的环形杆密封件;
(h)所述至少一个压缩密封件包括位于所述背板的环形凹槽中的环形杆密封件;和/或
(i)所述隔离环在所述面板与所述背板之间形成外部气体充气室的外周。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/934,624 US10808317B2 (en) | 2013-07-03 | 2013-07-03 | Deposition apparatus including an isothermal processing zone |
US13/934,624 | 2013-07-03 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN104282530A true CN104282530A (zh) | 2015-01-14 |
CN104282530B CN104282530B (zh) | 2018-11-16 |
Family
ID=52133092
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201410317527.7A Active CN104282530B (zh) | 2013-07-03 | 2014-07-03 | 包括等温处理区的等离子体处理设备 |
Country Status (6)
Country | Link |
---|---|
US (1) | US10808317B2 (zh) |
JP (1) | JP6573754B2 (zh) |
KR (2) | KR102264728B1 (zh) |
CN (1) | CN104282530B (zh) |
SG (2) | SG10201710505QA (zh) |
TW (1) | TWI679295B (zh) |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN105938792A (zh) * | 2015-03-06 | 2016-09-14 | 朗姆研究公司 | 最小化teos氧化物膜沉积期间接缝效应的方法和装置 |
CN110088885A (zh) * | 2016-12-14 | 2019-08-02 | 朗姆研究公司 | 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有热控制的集成喷头 |
CN111052299A (zh) * | 2017-09-05 | 2020-04-21 | 朗姆研究公司 | 具有整体式热阻流部的高温射频连接 |
US10808317B2 (en) | 2013-07-03 | 2020-10-20 | Lam Research Corporation | Deposition apparatus including an isothermal processing zone |
CN112251734A (zh) * | 2015-05-12 | 2021-01-22 | 朗姆研究公司 | 衬底基座 |
CN113614900A (zh) * | 2019-01-11 | 2021-11-05 | 应用材料公司 | 具有导电电极的陶瓷喷头 |
CN114351117A (zh) * | 2020-10-13 | 2022-04-15 | 东部超导科技(苏州)有限公司 | 喷淋板、配置喷淋板的mocvd反应系统及其使用方法 |
CN115613010A (zh) * | 2017-08-31 | 2023-01-17 | 朗姆研究公司 | 用于在衬底选择侧上沉积的pecvd沉积系统 |
US12116669B2 (en) | 2017-12-08 | 2024-10-15 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
Families Citing this family (146)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8673080B2 (en) | 2007-10-16 | 2014-03-18 | Novellus Systems, Inc. | Temperature controlled showerhead |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
WO2012122054A2 (en) | 2011-03-04 | 2012-09-13 | Novellus Systems, Inc. | Hybrid ceramic showerhead |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) * | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US10741365B2 (en) | 2014-05-05 | 2020-08-11 | Lam Research Corporation | Low volume showerhead with porous baffle |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9738975B2 (en) | 2015-05-12 | 2017-08-22 | Lam Research Corporation | Substrate pedestal module including backside gas delivery tube and method of making |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9824884B1 (en) | 2016-10-06 | 2017-11-21 | Lam Research Corporation | Method for depositing metals free ald silicon nitride films using halide-based precursors |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10943808B2 (en) * | 2016-11-25 | 2021-03-09 | Applied Materials, Inc. | Ceramic electrostatic chuck having a V-shape seal band |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10435788B2 (en) * | 2017-03-14 | 2019-10-08 | Eastman Kodak | Deposition system with repeating motion profile |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US11598003B2 (en) * | 2017-09-12 | 2023-03-07 | Applied Materials, Inc. | Substrate processing chamber having heated showerhead assembly |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
KR102204026B1 (ko) * | 2018-07-06 | 2021-01-18 | 주식회사 케이에스엠컴포넌트 | 세라믹 샤워 헤드 및 그를 구비한 화학 기상 증착 장치 |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10889894B2 (en) | 2018-08-06 | 2021-01-12 | Applied Materials, Inc. | Faceplate with embedded heater |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
JP2022546404A (ja) | 2019-08-28 | 2022-11-04 | ラム リサーチ コーポレーション | 金属の堆積 |
DE102020112568A1 (de) * | 2020-02-14 | 2021-08-19 | AIXTRON Ltd. | Gaseinlassorgan für einen CVD-Reaktor |
DE102020103946A1 (de) * | 2020-02-14 | 2021-08-19 | AIXTRON Ltd. | Gaseinlasseinrichtung für einen CVD-Reaktor |
CN111501025B (zh) * | 2020-04-23 | 2022-05-27 | 北京北方华创微电子装备有限公司 | 沉积设备 |
US11242600B2 (en) | 2020-06-17 | 2022-02-08 | Applied Materials, Inc. | High temperature face plate for deposition application |
US20220084796A1 (en) * | 2020-09-11 | 2022-03-17 | Applied Materials, Inc. | Plasma source with floating electrodes |
US20240295026A1 (en) * | 2020-09-17 | 2024-09-05 | Lam Research Corporation | Hybrid showerhead with separate faceplate for high temperature process |
CN118019572A (zh) | 2021-10-01 | 2024-05-10 | 阳光技术有限责任公司 | 具有用于离子收集的纤维晶格的离子产生系统 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5900103A (en) * | 1994-04-20 | 1999-05-04 | Tokyo Electron Limited | Plasma treatment method and apparatus |
CN101663417A (zh) * | 2007-03-30 | 2010-03-03 | 朗姆研究公司 | 用于半导体材料处理设备的具有低颗粒表现的喷头电极和喷头电极总成 |
CN102212798A (zh) * | 2004-02-24 | 2011-10-12 | 应用材料股份有限公司 | 适应热膨胀的喷头装备 |
US20120222815A1 (en) * | 2011-03-04 | 2012-09-06 | Mohamed Sabri | Hybrid ceramic showerhead |
US20130299605A1 (en) * | 2012-05-09 | 2013-11-14 | Lam Research Corporation | Compression member for use in showerhead electrode assembly |
Family Cites Families (56)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE4025396A1 (de) | 1990-08-10 | 1992-02-13 | Leybold Ag | Einrichtung fuer die herstellung eines plasmas |
JP2989063B2 (ja) | 1991-12-12 | 1999-12-13 | キヤノン株式会社 | 薄膜形成装置および薄膜形成方法 |
US5449410A (en) | 1993-07-28 | 1995-09-12 | Applied Materials, Inc. | Plasma processing apparatus |
KR950020993A (ko) | 1993-12-22 | 1995-07-26 | 김광호 | 반도체 제조장치 |
GB9411911D0 (en) | 1994-06-14 | 1994-08-03 | Swan Thomas & Co Ltd | Improvements in or relating to chemical vapour deposition |
JP3360098B2 (ja) | 1995-04-20 | 2002-12-24 | 東京エレクトロン株式会社 | 処理装置のシャワーヘッド構造 |
US5614026A (en) | 1996-03-29 | 1997-03-25 | Lam Research Corporation | Showerhead for uniform distribution of process gas |
US5781693A (en) | 1996-07-24 | 1998-07-14 | Applied Materials, Inc. | Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween |
US5810048A (en) | 1996-08-21 | 1998-09-22 | Zeiner-Gundersen; Dag H. | Metal face sealing coupling |
JP3480271B2 (ja) | 1997-10-07 | 2003-12-15 | 東京エレクトロン株式会社 | 熱処理装置のシャワーヘッド構造 |
JP4151862B2 (ja) | 1998-02-26 | 2008-09-17 | キヤノンアネルバ株式会社 | Cvd装置 |
US6086677A (en) | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6302964B1 (en) | 1998-06-16 | 2001-10-16 | Applied Materials, Inc. | One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6190732B1 (en) | 1998-09-03 | 2001-02-20 | Cvc Products, Inc. | Method and system for dispensing process gas for fabricating a device on a substrate |
KR100378871B1 (ko) | 2000-02-16 | 2003-04-07 | 주식회사 아펙스 | 라디칼 증착을 위한 샤워헤드장치 |
US6553932B2 (en) | 2000-05-12 | 2003-04-29 | Applied Materials, Inc. | Reduction of plasma edge effect on plasma enhanced CVD processes |
US6461435B1 (en) | 2000-06-22 | 2002-10-08 | Applied Materials, Inc. | Showerhead with reduced contact area |
US6878402B2 (en) | 2000-12-06 | 2005-04-12 | Novellus Systems, Inc. | Method and apparatus for improved temperature control in atomic layer deposition |
US6695318B2 (en) | 2001-01-17 | 2004-02-24 | Tokyo Electron Limited | Electronic device processing equipment having contact gasket between chamber parts |
US6886491B2 (en) | 2001-03-19 | 2005-05-03 | Apex Co. Ltd. | Plasma chemical vapor deposition apparatus |
US6827815B2 (en) | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
WO2004088181A1 (ja) | 2003-03-31 | 2004-10-14 | Nichias Corporation | リング状金属ガスケット |
US6983892B2 (en) | 2004-02-05 | 2006-01-10 | Applied Materials, Inc. | Gas distribution showerhead for semiconductor processing |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US8317968B2 (en) | 2004-04-30 | 2012-11-27 | Lam Research Corporation | Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing |
US7375946B2 (en) | 2004-08-16 | 2008-05-20 | Applied Materials, Inc. | Method and apparatus for dechucking a substrate |
US7429410B2 (en) * | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
US20060288934A1 (en) * | 2005-06-22 | 2006-12-28 | Tokyo Electron Limited | Electrode assembly and plasma processing apparatus |
US20070044714A1 (en) * | 2005-08-31 | 2007-03-01 | Applied Materials, Inc. | Method and apparatus for maintaining a cross sectional shape of a diffuser during processing |
US7737035B1 (en) | 2006-03-31 | 2010-06-15 | Novellus Systems, Inc. | Dual seal deposition process chamber and process |
US7776178B2 (en) * | 2006-10-25 | 2010-08-17 | Applied Materials, Inc. | Suspension for showerhead in process chamber |
US7888273B1 (en) | 2006-11-01 | 2011-02-15 | Novellus Systems, Inc. | Density gradient-free gap fill |
US7993457B1 (en) | 2007-01-23 | 2011-08-09 | Novellus Systems, Inc. | Deposition sub-chamber with variable flow |
US8287647B2 (en) | 2007-04-17 | 2012-10-16 | Lam Research Corporation | Apparatus and method for atomic layer deposition |
JP5660753B2 (ja) | 2007-07-13 | 2015-01-28 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | プラズマエッチング用高温カソード |
JP5194125B2 (ja) | 2007-09-25 | 2013-05-08 | ラム リサーチ コーポレーション | シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法 |
KR200454281Y1 (ko) * | 2007-10-16 | 2011-06-23 | 노벨러스 시스템즈, 인코포레이티드 | 온도 제어 샤워헤드 |
US8137467B2 (en) | 2007-10-16 | 2012-03-20 | Novellus Systems, Inc. | Temperature controlled showerhead |
US8192806B1 (en) | 2008-02-19 | 2012-06-05 | Novellus Systems, Inc. | Plasma particle extraction process for PECVD |
US8006982B2 (en) | 2008-03-28 | 2011-08-30 | Whitlow Mark S | High temperature dynamic seal |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US7906817B1 (en) | 2008-06-06 | 2011-03-15 | Novellus Systems, Inc. | High compressive stress carbon liners for MOS devices |
US8147648B2 (en) * | 2008-08-15 | 2012-04-03 | Lam Research Corporation | Composite showerhead electrode assembly for a plasma processing apparatus |
US8282983B1 (en) | 2008-09-30 | 2012-10-09 | Novellus Systems, Inc. | Closed loop control system for RF power balancing of the stations in a multi-station processing tool with shared RF source |
US7745346B2 (en) | 2008-10-17 | 2010-06-29 | Novellus Systems, Inc. | Method for improving process control and film conformality of PECVD film |
US8272346B2 (en) | 2009-04-10 | 2012-09-25 | Lam Research Corporation | Gasket with positioning feature for clamped monolithic showerhead electrode |
US9034142B2 (en) | 2009-12-18 | 2015-05-19 | Novellus Systems, Inc. | Temperature controlled showerhead for high temperature operations |
US9850576B2 (en) * | 2010-02-15 | 2017-12-26 | Applied Materials, Inc. | Anti-arc zero field plate |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US20110256724A1 (en) | 2010-04-15 | 2011-10-20 | Novellus Systems, Inc. | Gas and liquid injection methods and apparatus |
WO2011146571A2 (en) * | 2010-05-21 | 2011-11-24 | Applied Materials, Inc. | Tightly-fitted ceramic insulator on large-area electrode |
US8801950B2 (en) | 2011-03-07 | 2014-08-12 | Novellus Systems, Inc. | Reduction of a process volume of a processing chamber using a nested dynamic inert volume |
US9117867B2 (en) | 2011-07-01 | 2015-08-25 | Applied Materials, Inc. | Electrostatic chuck assembly |
US10224182B2 (en) | 2011-10-17 | 2019-03-05 | Novellus Systems, Inc. | Mechanical suppression of parasitic plasma in substrate processing chamber |
JP2013254901A (ja) * | 2012-06-08 | 2013-12-19 | Toshiba Corp | シール材およびエッチング装置 |
US10808317B2 (en) | 2013-07-03 | 2020-10-20 | Lam Research Corporation | Deposition apparatus including an isothermal processing zone |
-
2013
- 2013-07-03 US US13/934,624 patent/US10808317B2/en active Active
-
2014
- 2014-06-27 SG SG10201710505QA patent/SG10201710505QA/en unknown
- 2014-06-27 SG SG10201403694PA patent/SG10201403694PA/en unknown
- 2014-07-02 JP JP2014136382A patent/JP6573754B2/ja active Active
- 2014-07-02 TW TW103122898A patent/TWI679295B/zh active
- 2014-07-03 CN CN201410317527.7A patent/CN104282530B/zh active Active
- 2014-07-03 KR KR1020140083260A patent/KR102264728B1/ko active Active
-
2021
- 2021-06-08 KR KR1020210074167A patent/KR102391608B1/ko active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5900103A (en) * | 1994-04-20 | 1999-05-04 | Tokyo Electron Limited | Plasma treatment method and apparatus |
CN102212798A (zh) * | 2004-02-24 | 2011-10-12 | 应用材料股份有限公司 | 适应热膨胀的喷头装备 |
CN101663417A (zh) * | 2007-03-30 | 2010-03-03 | 朗姆研究公司 | 用于半导体材料处理设备的具有低颗粒表现的喷头电极和喷头电极总成 |
US20120222815A1 (en) * | 2011-03-04 | 2012-09-06 | Mohamed Sabri | Hybrid ceramic showerhead |
US20130299605A1 (en) * | 2012-05-09 | 2013-11-14 | Lam Research Corporation | Compression member for use in showerhead electrode assembly |
Cited By (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10808317B2 (en) | 2013-07-03 | 2020-10-20 | Lam Research Corporation | Deposition apparatus including an isothermal processing zone |
CN105938792B (zh) * | 2015-03-06 | 2021-09-07 | 朗姆研究公司 | 最小化teos氧化物膜沉积期间接缝效应的方法和装置 |
CN105938792A (zh) * | 2015-03-06 | 2016-09-14 | 朗姆研究公司 | 最小化teos氧化物膜沉积期间接缝效应的方法和装置 |
CN113903654A (zh) * | 2015-03-06 | 2022-01-07 | 朗姆研究公司 | 最小化teos氧化物膜沉积期间接缝效应的方法和装置 |
CN112251734B (zh) * | 2015-05-12 | 2023-03-28 | 朗姆研究公司 | 衬底基座 |
CN112251734A (zh) * | 2015-05-12 | 2021-01-22 | 朗姆研究公司 | 衬底基座 |
US11608559B2 (en) | 2016-12-14 | 2023-03-21 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US12000047B2 (en) | 2016-12-14 | 2024-06-04 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
CN110088885B (zh) * | 2016-12-14 | 2023-10-13 | 朗姆研究公司 | 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有热控制的集成喷头 |
CN110088885A (zh) * | 2016-12-14 | 2019-08-02 | 朗姆研究公司 | 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有热控制的集成喷头 |
CN115613010A (zh) * | 2017-08-31 | 2023-01-17 | 朗姆研究公司 | 用于在衬底选择侧上沉积的pecvd沉积系统 |
CN111052299B (zh) * | 2017-09-05 | 2023-10-20 | 朗姆研究公司 | 具有整体式热阻流部的高温射频连接 |
CN111052299A (zh) * | 2017-09-05 | 2020-04-21 | 朗姆研究公司 | 具有整体式热阻流部的高温射频连接 |
US12116669B2 (en) | 2017-12-08 | 2024-10-15 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
CN113614900A (zh) * | 2019-01-11 | 2021-11-05 | 应用材料公司 | 具有导电电极的陶瓷喷头 |
CN113614900B (zh) * | 2019-01-11 | 2025-01-24 | 应用材料公司 | 具有导电电极的陶瓷喷头 |
CN114351117B (zh) * | 2020-10-13 | 2022-12-20 | 东部超导科技(苏州)有限公司 | 喷淋板、配置喷淋板的mocvd反应系统及其使用方法 |
CN114351117A (zh) * | 2020-10-13 | 2022-04-15 | 东部超导科技(苏州)有限公司 | 喷淋板、配置喷淋板的mocvd反应系统及其使用方法 |
Also Published As
Publication number | Publication date |
---|---|
JP2015028212A (ja) | 2015-02-12 |
CN104282530B (zh) | 2018-11-16 |
US20150011096A1 (en) | 2015-01-08 |
SG10201710505QA (en) | 2018-01-30 |
KR102264728B1 (ko) | 2021-06-14 |
KR20210072745A (ko) | 2021-06-17 |
KR102391608B1 (ko) | 2022-04-27 |
TW201516177A (zh) | 2015-05-01 |
SG10201403694PA (en) | 2015-02-27 |
KR20150004768A (ko) | 2015-01-13 |
TWI679295B (zh) | 2019-12-11 |
JP6573754B2 (ja) | 2019-09-11 |
US10808317B2 (en) | 2020-10-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN104282530A (zh) | 包括等温处理区的等离子体处理设备 | |
US11434569B2 (en) | Ground path systems for providing a shorter and symmetrical ground path | |
US11130142B2 (en) | Showerhead having a detachable gas distribution plate | |
US11634817B2 (en) | Substrate pedestal including backside gas-delivery tube | |
CN112251734B (zh) | 衬底基座 | |
US11756806B2 (en) | Heater power feeding mechanism | |
US20150225854A1 (en) | Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus | |
TW201511077A (zh) | 電漿處理裝置及電漿處理方法 | |
TW200849336A (en) | Apparatus and method for deposition over large area substrates | |
TW201916092A (zh) | 用於電漿處理設備之冷卻聚焦環 | |
TW202205349A (zh) | 用於減少寄生電漿的rf回程路徑 | |
WO2023200465A1 (en) | Showerhead assembly with heated showerhead | |
TWI709151B (zh) | 鋁坡莫合金夾心板、其用途及應用其製備的電漿處理裝置 | |
TW201305364A (zh) | 感應耦合電漿輔助蒸鍍方法及其系統 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |