CN101577278A - 半导体结构及其形成方法 - Google Patents
半导体结构及其形成方法 Download PDFInfo
- Publication number
- CN101577278A CN101577278A CNA2008101751290A CN200810175129A CN101577278A CN 101577278 A CN101577278 A CN 101577278A CN A2008101751290 A CNA2008101751290 A CN A2008101751290A CN 200810175129 A CN200810175129 A CN 200810175129A CN 101577278 A CN101577278 A CN 101577278A
- Authority
- CN
- China
- Prior art keywords
- active area
- fin
- semiconductor structure
- region
- area
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 71
- 238000000034 method Methods 0.000 title claims abstract description 22
- 239000000758 substrate Substances 0.000 claims abstract description 63
- 230000005669 field effect Effects 0.000 claims abstract description 23
- 230000003647 oxidation Effects 0.000 claims description 19
- 238000007254 oxidation reaction Methods 0.000 claims description 19
- 238000002955 isolation Methods 0.000 claims description 15
- 238000005530 etching Methods 0.000 claims description 7
- 239000000463 material Substances 0.000 claims description 7
- -1 oxonium ion Chemical class 0.000 claims description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical group N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 5
- 230000015572 biosynthetic process Effects 0.000 claims description 4
- 230000008021 deposition Effects 0.000 claims 1
- 230000015556 catabolic process Effects 0.000 abstract description 26
- 238000004519 manufacturing process Methods 0.000 abstract description 20
- 239000012212 insulator Substances 0.000 abstract description 8
- 239000010410 layer Substances 0.000 description 39
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 12
- 229910052710 silicon Inorganic materials 0.000 description 11
- 239000010703 silicon Substances 0.000 description 11
- 229920002120 photoresistant polymer Polymers 0.000 description 10
- 230000008569 process Effects 0.000 description 8
- 239000001301 oxygen Substances 0.000 description 5
- 229910052760 oxygen Inorganic materials 0.000 description 5
- 230000008901 benefit Effects 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 239000003989 dielectric material Substances 0.000 description 4
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 238000005496 tempering Methods 0.000 description 4
- 239000002019 doping agent Substances 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 229910021332 silicide Inorganic materials 0.000 description 3
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 239000007943 implant Substances 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 125000006850 spacer group Chemical group 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910017855 NH 4 F Inorganic materials 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- 238000009499 grossing Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- 239000011259 mixed solution Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D62/00—Semiconductor bodies, or regions thereof, of devices having potential barriers
- H10D62/10—Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
- H10D62/17—Semiconductor regions connected to electrodes not carrying current to be rectified, amplified or switched, e.g. channel regions
- H10D62/351—Substrate regions of field-effect devices
- H10D62/357—Substrate regions of field-effect devices of FETs
- H10D62/364—Substrate regions of field-effect devices of FETs of IGFETs
- H10D62/371—Inactive supplementary semiconductor regions, e.g. for preventing punch-through, improving capacity effect or leakage current
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/62—Fin field-effect transistors [FinFET]
- H10D30/6211—Fin field-effect transistors [FinFET] having fin-shaped semiconductor bodies integral with the bulk semiconductor substrates
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/791—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions
- H10D30/792—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions comprising applied insulating layers, e.g. stress liners
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D62/00—Semiconductor bodies, or regions thereof, of devices having potential barriers
- H10D62/10—Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
- H10D62/113—Isolations within a component, i.e. internal isolations
- H10D62/115—Dielectric isolations, e.g. air gaps
- H10D62/116—Dielectric isolations, e.g. air gaps adjoining the input or output regions of field-effect devices, e.g. adjoining source or drain regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0128—Manufacturing their channels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0151—Manufacturing their isolation regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0158—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including FinFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/02—Manufacture or treatment characterised by using material-based technologies
- H10D84/03—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology
- H10D84/038—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology using silicon technology, e.g. SiGe
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/80—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs
- H10D84/82—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components
- H10D84/83—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET]
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D86/00—Integrated devices formed in or on insulating or conducting substrates, e.g. formed in silicon-on-insulator [SOI] substrates or on stainless steel or glass substrates
- H10D86/201—Integrated devices formed in or on insulating or conducting substrates, e.g. formed in silicon-on-insulator [SOI] substrates or on stainless steel or glass substrates the substrates comprising an insulating layer on a semiconductor body, e.g. SOI
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D87/00—Integrated devices comprising both bulk components and either SOI or SOS components on the same substrate
Landscapes
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Abstract
本发明提供一种半导体结构及其形成方法,该结构包括一半导体衬底,一平面晶体管,位于半导体衬底的第一部分,其中半导体衬底的第一部分具有第一上表面。一多栅晶体管,位于半导体衬底的第二部分。半导体衬底的第二部分从第一上表面凹入,以形成多栅晶体管的鳍状物,且鳍状物借助一绝缘物与半导体衬底电性隔离。本发明降低了鳍式场效应晶体管的击穿电流、改善了鳍式场效应晶体管的载流子迁移率、以及降低了制作成本。
Description
技术领域
本发明涉及半导体元件,且尤其涉及一种鳍式场效应晶体管(Finfield-effect transistor;FinFET)结构及其制法。
背景技术
为了配合集成电路持续的微缩化,业界发展出所谓的鳍式场效应晶体管(FinFET)以获得更高的驱动电流与更小的尺寸。图1与图2显示传统鳍式场效应晶体管的立体图。鳍状物4为从衬底2延伸而上的垂直硅鳍,用来形成源极/漏极区6与两者之间的沟道区(未显示)。垂直栅极8与鳍状物4的交叉处具有沟道区。虽然图1与图2未显示,但实际上在沟道区与垂直栅极8之间尚包括一栅极介电层。鳍状物4的两端经过源极/漏极掺杂后具有导电性。
图1所示的结构为绝缘层上覆硅(Silicon on Insulator,SOI)的鳍式场效应晶体管,所使用的SOI衬底包括半导体衬底2、氧化埋层10、以及一覆硅层。此覆硅层经过图案化后形成鳍状物4,之后便在鳍状物4上制作鳍式场效应晶体管。虽然SOI鳍式场效应晶体管具有优异的电性,但制作成本较高。
图1所示的结构为块材(bulk)鳍式场效应晶体管,所使用的衬底为硅衬底块材(bulk silicon substrate)。块材鳍式场效应晶体管的制作成本低于SOI鳍式场效应晶体管。然而击穿电流(漏电流)可能出现在栅极8无法控制的区域,如图3的区域12所示,其中图3为图2中沿A-A’剖线所形成的剖面图。传统上,为了降低击穿电流(punch through current),是以高能量对区域12进行高浓度的掺杂,例如1019/cm3,其中掺杂物的导电形态与源极/漏极区的导电形态相反。上述掺杂步骤是在鳍状物4形成后、栅极8形成前进行,因此整个鳍状物4都会被掺杂。虽然该方法此用高掺杂浓度可以降低击穿电流,但却导致载流子迁移率(carrier mobility)的下降。此外,该结构的鳍状物高度会受到STI上表面的位置影响,但此位置会随着后续制造工艺的数次清洗步骤而有各种差异。因此鳍状物的高度差非常大,最后造成元件性能的差异。
图4~图6显示另一种公知的鳍式场效应晶体管。在图4中,氮化条(nitridestrip)18形成在硅衬底16上,用来凹蚀(recessing)硅衬底16以形成鳍状物20。在图5中,形成氮化间隙壁24以覆盖鳍状物20的侧壁。之后,进行氧化以形成场氧化物26,如图6所示。鳍状物20的顶部在氧化时被保护住,且借助场氧化物26与硅衬底16电性隔离。形成在鳍状物20上的鳍式场效应晶体管没有击穿电流的问题,这点与SOI鳍式场效应晶体管类似。此外,尽管场氧化物26的上表面可能会因为后续制造工艺而降低,鳍状物20的高度并不会受到后续制造工艺的影响。然而,形成在同一半导体芯片上的平面式晶体管(planar transistor)却没有良好的隔离性能。
因此,业界急需一种鳍式场效应晶体管的结构与制作方法,其除了具有高驱动电流的优点外,同时又能克服公知技术的缺点。
发明内容
本发明的目的在于提供一种半导体结构及其形成方法,以改善公知技术的缺点。
本发明提供一种半导体结构,包括:一半导体衬底;一平面晶体管,位于该半导体衬底的第一部分,其中该半导体衬底的第一部分具有第一上表面;一多栅晶体管,位于该半导体衬底的第二部分,其中该半导体衬底的第二部分从该第一上表面凹入,以形成该多栅晶体管的鳍状物,且该鳍状物借助一绝缘物与该半导体衬底电性隔离。
本发明又提供一种半导体结构,包括:一半导体衬底,其包含一块材部分;一半导体鳍状物位于该块材部分上。该半导体鳍状物具有第一宽度,且与该半导体衬底为相同材料。该半导体结构还包括一绝缘物将该半导体鳍状物分成电性隔离的顶部与底部,其中该底部与该半导体衬底实体连接。
本发明还提供一种半导体结构,包括:一半导体衬底;一隔离区,位于该半导体衬底上且具有一下表面,其中该隔离区包括第一部分与第二部分,其中第二部分的第二上表面低于第一部分的第一上表面;一第一有源区,邻接该隔离区的第一部分,其中该第一有源区的上表面大抵与该第一上表面齐平;一第二有源区,邻接该隔离区的第二部分,其中该第二有源区的上表面高于该第二上表面;一绝缘物,将该第二有源区隔成电性隔离的顶部与底部;一平面晶体管,位于该第一有源区;以及一多栅晶体管,以该第二有源区的顶部作为源极/漏极区与沟道区。
本发明也提供一种半导体结构的形成方法,包括:提供一半导体衬底;形成一隔离区于该半导体衬底中,其中该隔离区围绕一有源区;凹蚀该隔离区的顶部以露出该有源区的侧壁;于该有源区的上表面与该隔离区的下表面之间,氧化该有源区的中间区域以形成一绝缘物,将该有源区分成顶部与底部。
本发明又提供一种半导体结构的形成方法,包括:提供一半导体衬底;形成多个具有第一高度的绝缘区,上述绝缘区定义一有源区;凹蚀上述绝缘区以露出该有源区的侧壁;形成一硬掩模以覆盖该有源区,其中该硬掩模露出部分绝缘区;凹蚀绝缘区露出的部分以露出该有源区的侧壁;以及,氧化该有源区露出的侧壁以形成一绝缘物,将该有源区的顶部与半导体衬底完全隔离。
本发明还提供一种半导体结构的形成方法,包括:提供一半导体衬底,其包含一平面元件区与一鳍式场效应晶体管区;形成多个绝缘区以在该平面元件区定义出第一有源区,且在该鳍式场效应晶体管区定义出第二有源区;对上述绝缘区进行第一凹蚀以露出第二有源区的侧壁,其中第一有源区的侧壁保持未露出;形成一硬掩模以覆盖第二有源区的露出侧壁;对上述绝缘区露出的部分进行第二凹蚀以露出硬掩模下第二有源区的侧壁;以及,氧化第二有源区露出的侧壁以形成一绝缘物,将第二有源区的顶部与半导体衬底隔离。
本发明的优点包括降低鳍式场效应晶体管的击穿电流、改善鳍式场效应晶体管的载流子迁移率、以及低制作成本。
为让本发明的上述和其他目的、特征、和优点能更明显易懂,下文特举出优选实施例,并配合附图,作详细说明如下:
附图说明
图1显示一形成在SOI衬底上的传统鳍式场效应晶体管。
图2显示一形成在块材衬底上的传统鳍式场效应晶体管。
图3为图2所示的鳍式场效应晶体管的剖面示意图。
图4~图6为一系列剖面图,用以说明公知鳍式场效应晶体管的制作流程。
图7~图21为一系列剖面图,用以说明本发明第一实施例的制作流程,其包括平面晶体管与鳍式场效应晶体管。
图22为第一实施例所形成的平面晶体管与鳍式场效应晶体管的立体图。
图23~图33为一系列剖面图,用以说明本发明第二实施例的制作流程,其包括平面晶体管与鳍式场效应晶体管。
图34为第二实施例所形成的平面晶体管与鳍式场效应晶体管的立体图。
图35~图38为一系列剖面图,用以说明击穿停止区的制作流程。
图39~图41为一系列剖面图,用以说明击穿停止区的另一种制作流程。
图42为一剖面图,其显示因图案密度不同而造成击穿停止区具有不同的位置。
其中,附图标记说明如下:
2~衬底 4~鳍状物
6~源极/漏极区 8~垂直栅极
10~氧化埋层 12~区域
16~硅衬底 18~氮化条
20~鳍状物 26~场氧化物
30~半导体衬底 32~垫层
34~掩模层 36~光致抗蚀剂
38~开口 39~介电材料
40~浅沟槽隔离(STI)区 42~光致抗蚀剂
46~缓冲氧化物 48~硬掩模
50~凹孔 52~击穿停止区
52’~底切氧化区 53~击穿停止区的顶部
56~氧化物 57~硬掩模的剩余部分
58~光致抗蚀剂 60~交接处
62~开口 64~区域
100~有源区 110~平面式晶体管
112~栅极堆叠 200~鳍状物
210~鳍式场效应晶体管 212~栅极堆叠
300、400、500~区域
具体实施方式
以下提供平面式晶体管与鳍式场效应晶体管(也称为多栅晶体管或三栅晶体管)的集成电路制造工艺,并说明本发明一优选实施例与变化例的中间步骤。在各图示与实施例中,类似的元件将以类似的符号标示。
请参照图7,提供一半导体衬底30。在优选实施例中,半导体衬底30为块材(bulk)硅衬底。半导体衬底30中也可包含其他常用的材料,例如碳、锗、镓、砷、氮、铟、磷等。半导体衬底30可为单晶或化合物材料,且可包括一外延层。
在半导体衬底30上形成垫层32与掩模层34。垫层32优选为一热工艺所形成的薄膜,因此包含氧化硅。垫层32可用来缓冲半导体衬底30与掩模层34之间的应力,并可作为蚀刻掩模层34时的蚀刻停止层。在优选实施例中,掩模层34为低压化学气相沉积法(LPCVD;Low Pressure Chemical VaporDeposition)所形成的氮化硅。在其他实施例中,掩模层34可由硅的热氮化工艺、等离子体加强化学气相沉积法(PECVD;Plasma Enhanced CVD)、或使用氮气-氢气的等离子体阳极氮化所形成。掩模层34的厚度约60nm~120nm。应注意的是,本说明书所提到的尺寸仅为举例说明,实际尺寸可随着所使用的工艺技术而改变。
请参照图8,在掩模层34上形成光致抗蚀剂36,并经过图案化在光致抗蚀剂36中形成开口38。沿着开口38对掩模层34与垫层32进行蚀刻以露出下方的半导体衬底30。接着,蚀刻半导体衬底30因而使开口38延伸进入半导体衬底30中。在一实施例中,半导体衬底30凹蚀的深度D1约100nm~300nm。
在优选实施例中,如图9所示,将介电材料39填入开口38中。介电材料39优选是次压化学气相沉积法(SACVD;Sub-Atmosphere CVD)所形成的氧化硅。在其他实施例中,介电材料39是由高密度等离子体化学气相沉积法(HDPCVD;High Density Plasma CVD)或旋涂式玻璃(SOG)所形成。接着,以化学机械研磨(CMP)对晶片表面进行平坦化以形成浅沟槽隔离(STI)区40,如图10所示。掩模层34可作为CMP停止层。浅沟槽隔离区40定义出用来形成平面式晶体管的有源区100以及用来形成鳍式场效应晶体管的有源区200。在一实施例中,有源区100的宽度W’与有源区200的宽度W1的比值大于1。
请参照图11,在有源区100与其周围的STI区40上形成光致抗蚀剂42,但露出有源区200与其周围的STI区40。之后,对露出的浅沟槽隔离区40进行凹蚀,使得部分的有源区200高出周围的STI区40,而此部分的有源区200又称为鳍状物200。凹蚀的距离D2例如是介于约30~90nm。去除光致抗蚀剂42后,在氢气环境下进行回火,其温度例如是约850~1050℃。氢气回火步骤会造成硅原子的迁移,使鳍状物200露出的侧壁平滑化。
请参照图12,进行氧化步骤以在鳍状物200的侧壁形成缓冲氧化物46,其厚度约2~6nm,形成温度约650~1050℃。接着如图13所示,形成硬掩模48,其材料也可为氮化硅,其厚度例如约10~50nm。形成硬掩模48可包括LPCVD、PECVD等,形成例如约400~900℃。
请参照图14,进行干蚀刻以去除硬掩模48的水平部分,留下垂直的部分并露出STI区40。接着,进行一湿蚀刻(或其他的各向同性蚀刻),如图15所示。在一实施例中,湿蚀刻是使用HF与NH4F的混合溶液,其具有约20∶1的SiO2/SiN选择比。因此,硬掩模48的剩余部分实质上仍保持未蚀刻。由于湿蚀刻为各向同性,因此凹孔50会延伸到硬掩模48剩余部分的下方,并露出鳍状物200的侧壁。
请参照图16,进行氧化步骤将鳍状物200露出的侧壁进一步氧化而形成氧化区52。优选地,形成在鳍状物200相对侧壁的氧化区52相互连结而使得鳍状物200的顶部与衬底30完全隔离。此外,氧化区52也可以是实质上(虽然不是完全)隔离鳍状物200顶部与衬底30。由于氧化造成体积增加,氧化区52的宽度W2约为鳍状物200宽度W1的两倍到三倍。应注意的是,宽度W2大小取决于氧化区52的含氧量以及工艺条件。STI区40的高度D1与氧化区52的高度H比约1.4~30。氧化区52可用来阻止击穿电流,因此也可称为击穿停止区。如图16所示,鳍状物200的底部可能位于氧化区52的下方,且仍未氧化。有利的是,即使鳍状物200周围的STI区40的上表面高度因为后续的清洁步骤而降低,鳍状物200的高度仍维持固定(其独立于STI区40的上表面高度),因此可使得鳍式场效应晶体管有更稳定的性能。在一实施例中,鳍状物200的高度约30~90nm。
请参照图17,以氧化物56填入凹孔50,直到氧化物56的上表面超过掩模层34的上表面。在优选实施例中,氧化物56可使用旋涂式玻璃(SOG),因为其具有良好的填沟能力。除此之外,也可使用其他具有良好填沟能力的方法,例如SACVD或HDPCVD来形成氧化物56。之后以CMP去除多余的氧化物56直到露出掩模层34,其中掩模层34作为CMP停止层。最后所得的结构如图18所示。
请参照图19,在有源区上形成光致抗蚀剂58,凹蚀鳍状物200周围的氧化物56。蚀刻优选停在鳍状物200的底部,或位于鳍状物200底部上方不超过约20nm的任何位置。在图20与图21中,去除残余的硬掩模34、48,并去除缓冲氧化物46,所得的结构如图21所示,其中有源区100可用来形成平面式晶体管,鳍状物200可用来形成鳍式场效应晶体管。有利的是,鳍状物200完全与衬底30电性隔离。
之后,如图22所示,形成平面式晶体管与鳍式场效应晶体管的栅极堆叠。栅极堆叠可用公知的栅极优先(gate-first)或栅极最后(gate-last)方式形成。所形成的平面式晶体管110与鳍式场效应晶体管210如图22所示。以下将简要说明形成栅极堆叠的工艺。应注意的是,在后续步骤中,击穿停止区52的顶部53(图21中虚线部分)可能会被清洗步骤所使用的酸去除,使得鳍式场效应晶体管的栅极有一部分位于鳍状物200的边缘下方,而形成一奥米加(Omega)晶体管。
在栅极优先的工艺中,形成图21的结构之后,进行阱区注入以掺杂有源区100与鳍状物200,其中所用的掺杂物与源极/漏极的导电形态相反。接着形成栅介电层与栅极层(未显示),其中栅介电层例如是高介电常数材料,而栅极层可为金属、金属硅化物、多晶硅、金属氮化物等。将栅介电层与栅极层图案化后形成栅极堆叠112与栅极堆叠212。之后,形成轻掺杂源极/漏极(LDD)区,并形成栅极间隔物(未显示)。对露出的有源区100与鳍状物200进行注入与回火以形成源极/漏极区。形成硅化物(未显示)后,依序形成接触蚀刻停止层、内层介电层、与接触插塞(也未显示),其制造工艺皆为公知技术,在此不予赘述。
在栅极最后的工艺中,形成图21的结构之后,进行阱区注入以掺杂有源区100与鳍状物200,其中所用的掺杂物与源极/漏极的导电形态相反。接着形成闲置栅极(dummy gate),优选为多晶硅。之后,形成轻掺杂源极/漏极(LDD)区与栅极间隔物(未显示)。对露出的有源区100与鳍状物200进行注入与回火以形成源极/漏极区。形成源极/漏极硅化物(未显示)后,依序形成接触蚀刻停止层、内层介电层。研磨内层介电层露出闲置栅极后,蚀刻闲置栅极,并以具有适当功函数的含金属栅极取代闲置栅极。之后,形成接触插塞。
图23~图33显示本发明另一实施例的制造工艺剖面图。除非特别说明,否则本实施例的材料与元件的形成方法基本上与图7~图21所示的实施例相同。本实施例的起始步骤基本上与图7~图10相同。请参照图23,凹蚀STI区40,其凹蚀的深度基本上同图11所示。此凹蚀步骤并未使用光致抗蚀剂或其他掩模。另外,也可形成光致抗蚀剂(未显示)以覆盖用来形成平面式晶体管及鳍式场效应晶体管以外的所有区域。如此一来,露出有源区100、200的侧壁。在图24中,优选借助热氧化法形成缓冲氧化物46。接着,如图25、图26所示,形成硬掩模48,并以干蚀刻去除其水平部分,剩余的硬掩模48覆盖有源区100、200的侧壁(其中缓冲氧化物46介于硬掩模48与有源区100、200之间)。
接着,如图27所示,进行各向同性蚀刻,优选为湿蚀刻,去除STI区40的顶部,以露出有源区100、200的侧壁。在图28中,进行氧化步骤以形成击穿停止区52与底切氧化区52’。同样的,击穿停止区52最好完全使鳍状物200与衬底30电性隔离。另一方面,由于有源区100比鳍状物200更宽,因此有源区100相对侧壁的底切氧化区52’并未互相连结。
请参照图29,形成氧化物56覆盖于衬底30上,并将之平坦化直到露出硬掩模48的上表面,如图30所示。在图31中,以如同图19的方式,凹蚀氧化物56。接着去除硬掩模34、48,如图32所示。去除垫层32后,得到图33所示的结构。形成栅极堆叠与源极/漏极区后,所得的结构立体图如图34所示。应注意的是,此实施例在氧化物56中留下硬掩模48的剩余部分57(也称为应力源57,也参照图33)。应力源57围绕有源区100而形成一环形,如图34所示。应力源57与有源区100之间以缓冲氧化物46隔开。
图35~图38显示形成击穿停止区52的另一实施例。本实施例的起始步骤基本上与图7~图13相同。图35显示图13中右侧的结构。一般而言,由于工艺上的因素,硬掩模48的垂直部分与水平部分的交接处60比起其他部分有更多的孔隙,因此可利用各向同性蚀刻来去除。如图36所示,在硬掩模48的垂直部分与水平部分被完全蚀刻之前会先形成开口62而露出缓冲氧化物46。之后进行氧化步骤,例如干式氧化,以形成图37的结构,其中击穿停止区52靠近开口62。之后如图38所示,去除硬掩模48。剩余的工艺步骤基本上同图21所示。
图39~图41显示形成击穿停止区52的再一实施例。本实施例的起始步骤基本上与图7~图13及图35、图36相同。接着,如图39所示,将氧离子注入开口62中。此离子注入最好具有一倾斜角,使得氧离子穿过开口62与缓冲氧化物46,注入到有源区200。图40显示区域64中具有集中的氧离子,且硬掩模48已被去除。接着,如图41所示,进行干式氧化(或回火),可在无氧或有氧的环境下进行。在干式氧化(或回火)期间,区域64中的氧离子与有源区200中的硅反应,形成击穿停止区52。
应注意的是,前述实施例与STI区40的制造工艺相关。如本领域所熟知,STI区40的密度会影响凹蚀步骤的效率,如图11的步骤。此外,各种清洗程序也可能影响到STI区40的去除速率,进而影响鳍状物200的高度。因此,在图案密集区与图案疏离区中,STI区40的上表面并非等高。图42显示三个区域300、400、500,相对于区域300,区域400具有较高的图案密度,因此其间隔(pitch)P2小于区域300的间隔P1。另一方面,相对于区域300,区域500具有较低的图案密度,因此其间隔(pitch)P3大于区域300的间隔P1。不同的图案密度会造成STI区40的上表面有不同程度的差异,并导致击穿停止区52的位置有所差别。区域400的STI区40的上表面与击穿停止区52的位置相对于区域300较低,而区域500的STI区40的上表面与击穿停止区52的位置相对于区域300较高。由于击穿停止区52的位置会影响鳍状物200的高度,因此鳍状物200的高度差在设计时需纳入考虑。
本发明的实施例具有许多优点,由于形成了击穿停止区52,其将源极/漏极区与可能的击穿电流路径完全隔离,因此本发明实施例所形成的鳍式场效应晶体管可减少,甚至完全消除击穿电流的问题,而且其制造工艺并不需要使用昂贵的SOI衬底。此外,其沟道区并不需要高掺杂(阱区)浓度,且鳍状物的高度不会随着STI区的上表面高度而变化,这使得鳍式场效应晶体管的性能差异性较小。再者,鳍式场效应晶体管的制造工艺完全与平面式晶体管的制造工艺相容。
虽然本发明已以数个优选实施例揭示如上,然而其并非用以限定本发明,任何本领域普通技术人员,在不脱离本发明的精神和范围内,当可作任意的更动与润饰,因此本发明的保护范围当视所附的权利要求书所界定的范围为准。
Claims (15)
1.一种半导体结构,包括:
一半导体衬底;
一平面晶体管,位于该半导体衬底的第一部分,其中该半导体衬底的第一部分具有第一上表面;以及
一多栅晶体管,位于该半导体衬底的第二部分,其中该半导体衬底的第二部分从该第一上表面凹入,以形成该多栅晶体管的鳍状物,且该鳍状物借助一绝缘物与该半导体衬底电性隔离。
2.如权利要求1所述的半导体结构,其中该绝缘物将该鳍状物与其下方的一额外鳍状物电性隔离,且其中该鳍状物与该额外的鳍状物为同一鳍状物的不同部分。
3.如权利要求1所述的半导体结构,其中该多栅晶体管为一鳍式场效应晶体管。
4.如权利要求1所述的半导体结构,其中该多栅晶体管为一奥米加场效应晶体管。
5.如权利要求1所述的半导体结构,还包括一底切氧化区,位于该平面晶体管的一有源区的上表面下方,其中该底切氧化区延伸至该有源区的侧壁,且该底切氧化区并未隔离该有源区与该半导体衬底。
6.如权利要求1所述的半导体结构,其中该鳍状物具有一第二上表面大抵与该第一上表面齐平。
7.如权利要求1所述的半导体结构,还包括第一组多栅晶体管,其具有第一间隔,以及第二组多栅晶体管,其具有大于第一间隔的第二间隔,且第一组多栅晶体管的鳍状物高度大于第二组多栅晶体管的鳍状物高度。
8.如权利要求1所述的半导体结构,还包括:
一应力源区,其围绕该平面晶体管的一有源区而形成一环形;
一缓冲氧化物,介于该应力源区与该平面晶体管的有源区之间;以及
一隔离区,围绕该应力源区,其中该隔离区与该应力源区由不同材料形成。
9.如权利要求1所述的半导体结构,其中该绝缘物具有不均匀的厚度。
10.一种半导体结构,包括:
一半导体衬底;
一隔离区,位于该半导体衬底上且具有一下表面,其中该隔离区包括第一部分与第二部分,其中第二部分的第二上表面低于第一部分的第一上表面;
一第一有源区,邻接该隔离区的第一部分,其中该第一有源区的上表面大抵与该第一上表面齐平;
一第二有源区,邻接该隔离区的第二部分,其中该第二有源区的上表面高于该第二上表面;
一绝缘物,将该第二有源区隔成电性隔离的顶部与底部;
一平面晶体管,位于该第一有源区;以及
一多栅晶体管,以该第二有源区的顶部作为源极/漏极区与沟道区。
11.如权利要求10所述的半导体结构,还包括一底切氧化区,低于该第一有源区的上表面,其中该底切氧化区延伸至该第一有源区的侧壁,且该底切氧化区并未隔离该第一有源区与该半导体衬底。
12.如权利要求10所述的半导体结构,还包括:
一氮化硅环,围绕该第一有源区,且该氮化硅环邻接该隔离区的第一部分;以及
一缓冲氧化物,介于该氮化硅环与第一有源区之间。
13.一种半导体结构的形成方法,包括:
提供一半导体衬底;
形成一隔离区于该半导体衬底中,其中该隔离区围绕一有源区;
凹蚀该隔离区的顶部以露出该有源区的侧壁;以及
于该有源区的上表面与该隔离区的下表面之间,氧化该有源区的中间区域以形成一绝缘物,将该有源区分成顶部与底部。
14.如权利要求13所述的半导体结构的形成方法,其中氧化该有源区的步骤包括:
形成一掩模层覆盖该有源区的顶部侧壁;
蚀刻该隔离区的顶部以露出该有源区未被该掩模层覆盖的侧壁;以及
氧化该有源区露出的侧壁以形成该绝缘物。
15.如权利要求13所述的半导体结构的形成方法,其中在氧化该有源区之前还包括:
毯覆性地沉积一掩模层;
去除该掩模层的垂直部分与水平部分的交接处,以形成一开口,其中该垂直部分位于该有源区的侧壁;以及
经由该开口注入氧离子至该有源区的中间区域。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/116,074 | 2008-05-06 | ||
US12/116,074 US8106459B2 (en) | 2008-05-06 | 2008-05-06 | FinFETs having dielectric punch-through stoppers |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101577278A true CN101577278A (zh) | 2009-11-11 |
CN101577278B CN101577278B (zh) | 2010-11-10 |
Family
ID=41266172
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2008101751290A Expired - Fee Related CN101577278B (zh) | 2008-05-06 | 2008-10-30 | 半导体结构及其形成方法 |
Country Status (3)
Country | Link |
---|---|
US (5) | US8106459B2 (zh) |
CN (1) | CN101577278B (zh) |
TW (1) | TWI509736B (zh) |
Cited By (25)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102646599A (zh) * | 2012-04-09 | 2012-08-22 | 北京大学 | 一种大规模集成电路中FinFET的制备方法 |
CN102651321A (zh) * | 2011-02-25 | 2012-08-29 | 中国科学院微电子研究所 | 一种半导体器件的制备方法 |
WO2013000187A1 (zh) * | 2011-06-30 | 2013-01-03 | 中国科学院微电子研究所 | 多栅器件的形成方法 |
CN102891087A (zh) * | 2011-07-18 | 2013-01-23 | 辉达公司 | 与体硅衬底绝缘的半导体器件结构及其形成方法 |
CN102903749A (zh) * | 2011-07-27 | 2013-01-30 | 中国科学院微电子研究所 | 一种半导体器件结构及其制造方法 |
CN103000518A (zh) * | 2011-09-09 | 2013-03-27 | 联华电子股份有限公司 | 形成非平面晶体管的方法 |
US8466028B2 (en) | 2011-06-30 | 2013-06-18 | Institute of Microelectronics, Chinese Academy of Sciences | Method for manufacturing multigate device |
CN103531633A (zh) * | 2012-07-03 | 2014-01-22 | 英特尔移动通信有限责任公司 | 用于块体FinFET技术的漏极延伸MOS器件 |
WO2014015450A1 (zh) * | 2012-07-25 | 2014-01-30 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
CN103681339A (zh) * | 2012-09-20 | 2014-03-26 | 中芯国际集成电路制造(上海)有限公司 | 一种鳍片场效应晶体管的制备方法 |
CN103715142A (zh) * | 2012-09-29 | 2014-04-09 | 中芯国际集成电路制造(上海)有限公司 | 形成不同高度的多个鳍部的方法 |
CN103887340A (zh) * | 2012-12-19 | 2014-06-25 | 台湾积体电路制造股份有限公司 | 用于FinFET的N金属 |
CN104022037A (zh) * | 2013-02-28 | 2014-09-03 | 中芯国际集成电路制造(上海)有限公司 | 鳍式场效应晶体管及其形成方法 |
CN104054180A (zh) * | 2011-12-21 | 2014-09-17 | 英特尔公司 | 具有调节高度的三维主体的半导体器件 |
CN105097517A (zh) * | 2014-04-25 | 2015-11-25 | 中芯国际集成电路制造(上海)有限公司 | 一种FinFET器件及其制造方法、电子装置 |
CN105632930A (zh) * | 2014-11-04 | 2016-06-01 | 中国科学院微电子研究所 | 一种FinFET器件及其制造方法 |
CN103000518B (zh) * | 2011-09-09 | 2016-12-14 | 联华电子股份有限公司 | 形成非平面晶体管的方法 |
CN106952817A (zh) * | 2016-01-06 | 2017-07-14 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
CN104137264B (zh) * | 2011-12-20 | 2018-01-09 | 英特尔公司 | 具有隔离的主体部分的半导体器件 |
CN107785316A (zh) * | 2016-08-29 | 2018-03-09 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
CN107851664A (zh) * | 2015-09-25 | 2018-03-27 | 英特尔公司 | 用于控制晶体管子鳍状物漏电的技术 |
CN109994428A (zh) * | 2017-12-29 | 2019-07-09 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
CN110931482A (zh) * | 2018-09-19 | 2020-03-27 | 台湾积体电路制造股份有限公司 | 半导体结构 |
CN114284283A (zh) * | 2021-12-02 | 2022-04-05 | 长江存储科技有限责任公司 | 半导体结构及其制备方法、三维存储器及存储设备 |
CN114335176A (zh) * | 2020-10-12 | 2022-04-12 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
Families Citing this family (133)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7960791B2 (en) * | 2005-06-24 | 2011-06-14 | International Business Machines Corporation | Dense pitch bulk FinFET process by selective EPI and etch |
US8048723B2 (en) | 2008-12-05 | 2011-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Germanium FinFETs having dielectric punch-through stoppers |
US8106459B2 (en) * | 2008-05-06 | 2012-01-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs having dielectric punch-through stoppers |
US8263462B2 (en) * | 2008-12-31 | 2012-09-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dielectric punch-through stoppers for forming FinFETs having dual fin heights |
US8293616B2 (en) * | 2009-02-24 | 2012-10-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of fabrication of semiconductor devices with low capacitance |
US7871873B2 (en) * | 2009-03-27 | 2011-01-18 | Global Foundries Inc. | Method of forming fin structures using a sacrificial etch stop layer on bulk semiconductor material |
US8101486B2 (en) | 2009-10-07 | 2012-01-24 | Globalfoundries Inc. | Methods for forming isolated fin structures on bulk semiconductor material |
CN102104069B (zh) * | 2009-12-16 | 2012-11-21 | 中国科学院微电子研究所 | 鳍式晶体管结构及其制作方法 |
CN102117829B (zh) * | 2009-12-30 | 2012-11-21 | 中国科学院微电子研究所 | 鳍式晶体管结构及其制作方法 |
US8395195B2 (en) * | 2010-02-09 | 2013-03-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bottom-notched SiGe FinFET formation using condensation |
US8354319B2 (en) * | 2010-10-15 | 2013-01-15 | International Business Machines Corporation | Integrated planar and multiple gate FETs |
US8338305B2 (en) * | 2010-10-19 | 2012-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-fin device by self-aligned castle fin formation |
US8389367B2 (en) | 2011-02-25 | 2013-03-05 | Institute of Microelectronics, Chinese Academy of Sciences | Method for manufacturing a semiconductor device |
US8597994B2 (en) | 2011-05-23 | 2013-12-03 | GlobalFoundries, Inc. | Semiconductor device and method of fabrication |
US8772860B2 (en) | 2011-05-26 | 2014-07-08 | United Microelectronics Corp. | FINFET transistor structure and method for making the same |
US9287385B2 (en) * | 2011-09-01 | 2016-03-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-fin device and method of making same |
US8278184B1 (en) * | 2011-11-02 | 2012-10-02 | United Microelectronics Corp. | Fabrication method of a non-planar transistor |
US9893163B2 (en) | 2011-11-04 | 2018-02-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | 3D capacitor and method of manufacturing same |
TWI512839B (zh) * | 2011-12-02 | 2015-12-11 | United Microelectronics Corp | 半導體製程 |
US8709888B2 (en) * | 2011-12-16 | 2014-04-29 | International Business Machines Corporation | Hybrid CMOS nanowire mesh device and PDSOI device |
US8563376B2 (en) * | 2011-12-16 | 2013-10-22 | International Business Machines Corporation | Hybrid CMOS nanowire mesh device and bulk CMOS device |
US8722472B2 (en) | 2011-12-16 | 2014-05-13 | International Business Machines Corporation | Hybrid CMOS nanowire mesh device and FINFET device |
CN106887453B (zh) * | 2011-12-19 | 2020-08-21 | 英特尔公司 | Ⅲ族-n纳米线晶体管 |
US8659097B2 (en) | 2012-01-16 | 2014-02-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Control fin heights in FinFET structures |
US9117877B2 (en) * | 2012-01-16 | 2015-08-25 | Globalfoundries Inc. | Methods of forming a dielectric cap layer on a metal gate structure |
US8466012B1 (en) * | 2012-02-01 | 2013-06-18 | International Business Machines Corporation | Bulk FinFET and SOI FinFET hybrid technology |
US8809178B2 (en) | 2012-02-29 | 2014-08-19 | Globalfoundries Inc. | Methods of forming bulk FinFET devices with replacement gates so as to reduce punch through leakage currents |
US9425212B2 (en) * | 2012-06-29 | 2016-08-23 | Intel Corporation | Isolated and bulk semiconductor devices formed on a same bulk substrate |
US9269791B2 (en) * | 2012-07-10 | 2016-02-23 | United Microelectronics Corp. | Multi-gate MOSFET with embedded isolation structures |
CN103579335A (zh) * | 2012-07-25 | 2014-02-12 | 联华电子股份有限公司 | 多栅极场效晶体管及其制作工艺 |
JP5612035B2 (ja) * | 2012-07-31 | 2014-10-22 | 株式会社東芝 | 半導体装置 |
US20140054705A1 (en) | 2012-08-27 | 2014-02-27 | International Business Machines Corporation | Silicon germanium channel with silicon buffer regions for fin field effect transistor device |
US8932918B2 (en) | 2012-08-29 | 2015-01-13 | International Business Machines Corporation | FinFET with self-aligned punchthrough stopper |
US9035430B2 (en) * | 2012-08-29 | 2015-05-19 | International Business Machines Corporation | Semiconductor fin on local oxide |
US9287178B2 (en) | 2012-10-01 | 2016-03-15 | Globalfoundries Inc. | Multi-gate field effect transistor (FET) including isolated fin body |
US9093304B2 (en) * | 2012-10-12 | 2015-07-28 | Finscale Inc. | Vertical super-thin body semiconductor on dielectric wall devices and methods of their fabrication |
US9337318B2 (en) * | 2012-10-26 | 2016-05-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with dummy gate on non-recessed shallow trench isolation (STI) |
US9082853B2 (en) | 2012-10-31 | 2015-07-14 | International Business Machines Corporation | Bulk finFET with punchthrough stopper region and method of fabrication |
US8987823B2 (en) | 2012-11-07 | 2015-03-24 | International Business Machines Corporation | Method and structure for forming a localized SOI finFET |
US8896067B2 (en) * | 2013-01-08 | 2014-11-25 | International Business Machines Corporation | Method of forming finFET of variable channel width |
US8802512B2 (en) | 2013-01-11 | 2014-08-12 | International Business Machines Corporation | Overlap capacitance nanowire |
US9147682B2 (en) | 2013-01-14 | 2015-09-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin spacer protected source and drain regions in FinFETs |
US9306069B2 (en) | 2013-09-11 | 2016-04-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Isolation structure of fin field effect transistor |
US9202917B2 (en) * | 2013-07-29 | 2015-12-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Buried SiGe oxide FinFET scheme for device enhancement |
CN103928333B (zh) * | 2013-01-15 | 2019-03-12 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
US8785284B1 (en) * | 2013-02-20 | 2014-07-22 | International Business Machines Corporation | FinFETs and fin isolation structures |
US20140239395A1 (en) * | 2013-02-25 | 2014-08-28 | International Business Machines Corporation | Contact resistance reduction in finfets |
US9257327B2 (en) * | 2013-04-09 | 2016-02-09 | Samsung Electronics Co., Ltd. | Methods of forming a Field Effect Transistor, including forming a region providing enhanced oxidation |
US8900934B2 (en) | 2013-04-18 | 2014-12-02 | International Business Machines Corporation | FinFET devices containing merged epitaxial Fin-containing contact regions |
KR102017625B1 (ko) | 2013-05-10 | 2019-10-22 | 삼성전자주식회사 | 반도체 장치 및 그 제조방법 |
US9276087B2 (en) | 2013-05-10 | 2016-03-01 | Samsung Electronics Co., Ltd. | Methods of manufacturing FINFET semiconductor devices using sacrificial gate patterns and selective oxidization of a fin |
US9299810B2 (en) * | 2013-07-05 | 2016-03-29 | Taiwan Semiconductor Manufacturing Company Limited | Fin-type field effect transistor and method of fabricating the same |
US9093534B2 (en) * | 2013-07-29 | 2015-07-28 | International Business Machines Corporation | Dielectric filler fins for planar topography in gate level |
US9496397B2 (en) | 2013-08-20 | 2016-11-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFet device with channel epitaxial region |
US8951850B1 (en) * | 2013-08-21 | 2015-02-10 | International Business Machines Corporation | FinFET formed over dielectric |
US9553012B2 (en) * | 2013-09-13 | 2017-01-24 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and the manufacturing method thereof |
US9418902B2 (en) * | 2013-10-10 | 2016-08-16 | Globalfoundries Inc. | Forming isolated fins from a substrate |
US9159833B2 (en) | 2013-11-26 | 2015-10-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin structure of semiconductor device |
US9620642B2 (en) * | 2013-12-11 | 2017-04-11 | Globalfoundries Singapore Pte. Ltd. | FinFET with isolation |
US9184087B2 (en) | 2013-12-27 | 2015-11-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Mechanisms for forming FinFETs with different fin heights |
US10037991B2 (en) | 2014-01-09 | 2018-07-31 | Taiwan Semiconductor Manufacturing Company Limited | Systems and methods for fabricating FinFETs with different threshold voltages |
US9515172B2 (en) | 2014-01-28 | 2016-12-06 | Samsung Electronics Co., Ltd. | Semiconductor devices having isolation insulating layers and methods of manufacturing the same |
US9209202B2 (en) * | 2014-02-11 | 2015-12-08 | Broadcom Corporation | Enabling bulk FINFET-based devices for FINFET technology with dielectric isolation |
US9627245B2 (en) * | 2014-03-05 | 2017-04-18 | Globalfoundries Inc. | Methods of forming alternative channel materials on a non-planar semiconductor device and the resulting device |
US9865688B2 (en) * | 2014-03-14 | 2018-01-09 | International Business Machines Corporation | Device isolation using preferential oxidation of the bulk substrate |
US9570288B2 (en) * | 2014-03-19 | 2017-02-14 | Ecole Polytechnique Federale De Lausanne (Epfl) | Method to fabricate FinFET sensors, in particular, FinFET sensors for ionic, chemical and biological applications on Si-Bulk |
US9887196B2 (en) | 2014-04-07 | 2018-02-06 | International Business Machines Corporation | FinFET including tunable fin height and tunable fin width ratio |
US9362362B2 (en) | 2014-04-09 | 2016-06-07 | International Business Machines Corporation | FinFET with dielectric isolated channel |
US9559191B2 (en) | 2014-04-16 | 2017-01-31 | International Business Machines Corporation | Punch through stopper in bulk finFET device |
US9087860B1 (en) | 2014-04-29 | 2015-07-21 | Globalfoundries Inc. | Fabricating fin-type field effect transistor with punch-through stop region |
US9390976B2 (en) | 2014-05-01 | 2016-07-12 | International Business Machines Corporation | Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction |
US9418903B2 (en) | 2014-05-21 | 2016-08-16 | Globalfoundries Inc. | Structure and method for effective device width adjustment in finFET devices using gate workfunction shift |
US9524986B2 (en) | 2014-06-26 | 2016-12-20 | Globalfoundries Inc. | Trapping dislocations in high-mobility fins below isolation layer |
US9583625B2 (en) * | 2014-10-24 | 2017-02-28 | Globalfoundries Inc. | Fin structures and multi-Vt scheme based on tapered fin and method to form |
US9929242B2 (en) * | 2015-01-12 | 2018-03-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9478660B2 (en) | 2015-01-12 | 2016-10-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Protection layer on fin of fin field effect transistor (FinFET) device structure |
US9553172B2 (en) | 2015-02-11 | 2017-01-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and structure for FinFET devices |
CN105990146B (zh) * | 2015-02-17 | 2019-12-17 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制造方法和电子装置 |
US9306001B1 (en) * | 2015-04-14 | 2016-04-05 | International Business Machines Corporation | Uniformly doped leakage current stopper to counter under channel leakage currents in bulk FinFET devices |
US9461110B1 (en) * | 2015-04-30 | 2016-10-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | FETs and methods of forming FETs |
US9773786B2 (en) * | 2015-04-30 | 2017-09-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | FETs and methods of forming FETs |
US9954107B2 (en) | 2015-05-05 | 2018-04-24 | International Business Machines Corporation | Strained FinFET source drain isolation |
WO2016179113A1 (en) * | 2015-05-07 | 2016-11-10 | Finscale Inc. | Super-thin channel transistor structure, fabrication, and applications |
US11195944B2 (en) * | 2015-06-26 | 2021-12-07 | Intel Corporation | Gallium nitride (GaN) transistor structures on a substrate |
EP3314638A4 (en) * | 2015-06-27 | 2019-05-29 | Intel Corporation | MULTI-HEIGHT FINFET DEVICE THROUGH SELECTIVE OXIDATION |
US9349798B1 (en) * | 2015-06-29 | 2016-05-24 | International Business Machines Corporation | CMOS structures with selective tensile strained NFET fins and relaxed PFET fins |
US9837277B2 (en) | 2015-08-12 | 2017-12-05 | International Business Machines Corporation | Forming a contact for a tall fin transistor |
US9847388B2 (en) | 2015-09-01 | 2017-12-19 | International Business Machines Corporation | High thermal budget compatible punch through stop integration using doped glass |
US9595599B1 (en) | 2015-10-06 | 2017-03-14 | International Business Machines Corporation | Dielectric isolated SiGe fin on bulk substrate |
US9659930B1 (en) | 2015-11-04 | 2017-05-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9397002B1 (en) | 2015-11-20 | 2016-07-19 | International Business Machines Corporation | Self-aligned punchthrough stop doping in bulk finFET by reflowing doped oxide |
US10297448B2 (en) * | 2015-11-30 | 2019-05-21 | International Business Machines Corporation | SiGe fins formed on a substrate |
US9537011B1 (en) | 2015-12-14 | 2017-01-03 | International Business Machines Corporation | Partially dielectric isolated fin-shaped field effect transistor (FinFET) |
US9716146B2 (en) * | 2015-12-15 | 2017-07-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit structure and method with solid phase diffusion |
US9614086B1 (en) | 2015-12-30 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Conformal source and drain contacts for multi-gate field effect transistors |
CN106935505B (zh) * | 2015-12-30 | 2019-09-27 | 中芯国际集成电路制造(上海)有限公司 | 鳍式场效应晶体管的形成方法 |
CN106952814A (zh) * | 2016-01-06 | 2017-07-14 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
US9748404B1 (en) | 2016-02-29 | 2017-08-29 | International Business Machines Corporation | Method for fabricating a semiconductor device including gate-to-bulk substrate isolation |
US9881919B2 (en) | 2016-03-03 | 2018-01-30 | International Business Machines Corporation | Well and punch through stopper formation using conformal doping |
CN107154355B (zh) * | 2016-03-03 | 2020-04-10 | 上海新昇半导体科技有限公司 | 鳍状场效应晶体管及其制备方法 |
CN107293588A (zh) * | 2016-03-30 | 2017-10-24 | 中芯国际集成电路制造(上海)有限公司 | 半导体装置及其制造方法 |
US10147802B2 (en) * | 2016-05-20 | 2018-12-04 | Globalfoundries Inc. | FINFET circuit structures with vertically spaced transistors and fabrication methods |
US10290654B2 (en) | 2016-05-20 | 2019-05-14 | Globalfoundries Inc. | Circuit structures with vertically spaced transistors and fabrication methods |
US10354114B2 (en) * | 2016-06-13 | 2019-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fingerprint sensor in InFO structure and formation method |
CN107706111B (zh) * | 2016-08-09 | 2020-07-10 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件的形成方法 |
US10032869B2 (en) | 2016-08-17 | 2018-07-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fin field effect transistor (FinFET) device having position-dependent heat generation and method of making the same |
US10930793B2 (en) | 2017-04-21 | 2021-02-23 | International Business Machines Corporation | Bottom channel isolation in nanosheet transistors |
US10109646B1 (en) * | 2017-06-05 | 2018-10-23 | Qualcomm Incorporated | Selectively recessing trench isolation in three-dimensional (3D) transistors to vary channel structure exposures from trench isolation to control drive strength |
US11331009B2 (en) | 2017-10-16 | 2022-05-17 | Xsensio SA | Apparatus for non-invasive sensing of biomarkers in human sweat |
DE102018124812B4 (de) | 2017-11-20 | 2024-06-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate-dielektrium wahrender gate-schneidprozess |
US10699940B2 (en) | 2017-11-20 | 2020-06-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate dielectric preserving gate cut process |
EP4220719A3 (en) * | 2017-11-30 | 2023-08-16 | INTEL Corporation | Fin patterning for advanced integrated circuit structure fabrication |
DE102018126911A1 (de) | 2017-11-30 | 2019-06-06 | Intel Corporation | Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung |
US10546928B2 (en) | 2017-12-07 | 2020-01-28 | International Business Machines Corporation | Forming stacked twin III-V nano-sheets using aspect-ratio trapping techniques |
KR102592872B1 (ko) | 2018-04-10 | 2023-10-20 | 삼성전자주식회사 | 반도체 장치 |
KR102515393B1 (ko) * | 2018-06-29 | 2023-03-30 | 삼성전자주식회사 | 반도체 장치 및 그 제조 방법 |
JP7117223B2 (ja) * | 2018-11-08 | 2022-08-12 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US11610887B2 (en) * | 2019-01-09 | 2023-03-21 | Intel Corporation | Side-by-side integration of III-n transistors and thin-film transistors |
US11728335B2 (en) * | 2019-01-25 | 2023-08-15 | Intel Corporation | Buried channel structure integrated with non-planar structures |
US11393713B2 (en) | 2019-04-23 | 2022-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method therefore |
US11557650B2 (en) | 2019-04-23 | 2023-01-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US11233140B2 (en) * | 2019-04-23 | 2022-01-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
US11764104B2 (en) | 2019-06-27 | 2023-09-19 | Intel Corporation | Forming an oxide volume within a fin |
US12119265B2 (en) * | 2019-12-30 | 2024-10-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | High voltage devices |
DE102020112203B4 (de) | 2020-03-13 | 2024-08-08 | Taiwan Semiconductor Manufacturing Co. Ltd. | Integrierte schaltung und verfahren zum einbetten planarer fets mit finfets |
US11594637B2 (en) * | 2020-03-27 | 2023-02-28 | Intel Corporation | Gate-all-around integrated circuit structures having fin stack isolation |
US20210343596A1 (en) * | 2020-04-29 | 2021-11-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain epitaxial structures for high voltage transistors |
US11862508B2 (en) * | 2020-08-12 | 2024-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | FinFET device and method of forming same |
US11462632B2 (en) | 2020-12-22 | 2022-10-04 | Globalfoundries U.S. Inc. | Lateral bipolar junction transistor device and method of making such a device |
US11424349B1 (en) | 2021-02-17 | 2022-08-23 | Globalfoundries U.S. Inc. | Extended shallow trench isolation for ultra-low leakage in fin-type lateral bipolar junction transistor devices |
US11916151B2 (en) | 2021-06-25 | 2024-02-27 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure having fin with all around gate |
US12020980B2 (en) | 2021-07-07 | 2024-06-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and forming method thereof |
US12057506B2 (en) | 2021-07-08 | 2024-08-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and manufacturing method thereof |
Family Cites Families (92)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5972758A (en) | 1997-12-04 | 1999-10-26 | Intel Corporation | Pedestal isolated junction structure and method of manufacture |
US6355532B1 (en) | 1999-10-06 | 2002-03-12 | Lsi Logic Corporation | Subtractive oxidation method of fabricating a short-length and vertically-oriented channel, dual-gate, CMOS FET |
KR100304713B1 (ko) | 1999-10-12 | 2001-11-02 | 윤종용 | 부분적인 soi 구조를 갖는 반도체소자 및 그 제조방법 |
US6376286B1 (en) | 1999-10-20 | 2002-04-23 | Advanced Micro Devices, Inc. | Field effect transistor with non-floating body and method for forming same on a bulk silicon wafer |
US6599789B1 (en) | 2000-11-15 | 2003-07-29 | Micron Technology, Inc. | Method of forming a field effect transistor |
US6429091B1 (en) | 2000-12-08 | 2002-08-06 | International Business Machines Corporation | Patterned buried insulator |
US6864547B2 (en) | 2001-06-15 | 2005-03-08 | Agere Systems Inc. | Semiconductor device having a ghost source/drain region and a method of manufacture therefor |
US7358121B2 (en) * | 2002-08-23 | 2008-04-15 | Intel Corporation | Tri-gate devices and methods of fabrication |
JP4546021B2 (ja) * | 2002-10-02 | 2010-09-15 | ルネサスエレクトロニクス株式会社 | 絶縁ゲート型電界効果型トランジスタ及び半導体装置 |
US6706571B1 (en) * | 2002-10-22 | 2004-03-16 | Advanced Micro Devices, Inc. | Method for forming multiple structures in a semiconductor device |
US6885055B2 (en) | 2003-02-04 | 2005-04-26 | Lee Jong-Ho | Double-gate FinFET device and fabricating method thereof |
US7986002B2 (en) * | 2003-03-20 | 2011-07-26 | Panasonic Corporation | FINFET-type semiconductor device and method for fabricating the same |
US6909147B2 (en) * | 2003-05-05 | 2005-06-21 | International Business Machines Corporation | Multi-height FinFETS |
US20060170053A1 (en) * | 2003-05-09 | 2006-08-03 | Yee-Chia Yeo | Accumulation mode multiple gate transistor |
KR100476940B1 (ko) * | 2003-06-20 | 2005-03-16 | 삼성전자주식회사 | 기판으로부터 수직으로 연장된 게이트 채널을 갖는디램기억 셀 및 그 제조방법 |
US6936522B2 (en) | 2003-06-26 | 2005-08-30 | International Business Machines Corporation | Selective silicon-on-insulator isolation structure and method |
US6914277B1 (en) * | 2003-10-01 | 2005-07-05 | Advanced Micro Devices, Inc. | Merged FinFET P-channel/N-channel pair |
KR100578130B1 (ko) * | 2003-10-14 | 2006-05-10 | 삼성전자주식회사 | 핀 전계효과 트랜지스터를 위한 다중 실리콘 핀 및 그형성 방법 |
JP4865331B2 (ja) | 2003-10-20 | 2012-02-01 | ルネサスエレクトロニクス株式会社 | 半導体装置及び半導体装置の製造方法 |
KR100513405B1 (ko) * | 2003-12-16 | 2005-09-09 | 삼성전자주식회사 | 핀 트랜지스터의 형성 방법 |
US7180134B2 (en) * | 2004-01-30 | 2007-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods and structures for planar and multiple-gate transistors formed on SOI |
KR100598099B1 (ko) * | 2004-02-24 | 2006-07-07 | 삼성전자주식회사 | 다마신 게이트를 갖는 수직 채널 핀 전계효과 트랜지스터 및 그 제조방법 |
JP4852694B2 (ja) * | 2004-03-02 | 2012-01-11 | 独立行政法人産業技術総合研究所 | 半導体集積回路およびその製造方法 |
KR100584776B1 (ko) * | 2004-03-05 | 2006-05-29 | 삼성전자주식회사 | 반도체 장치의 액티브 구조물 형성 방법, 소자 분리 방법및 트랜지스터 형성 방법 |
US7332386B2 (en) * | 2004-03-23 | 2008-02-19 | Samsung Electronics Co., Ltd. | Methods of fabricating fin field transistors |
US6998684B2 (en) | 2004-03-31 | 2006-02-14 | International Business Machines Corporation | High mobility plane CMOS SOI |
KR100612415B1 (ko) * | 2004-04-09 | 2006-08-16 | 삼성전자주식회사 | 올 어라운드된 채널 영역을 갖는 트랜지스터 및 그 제조방법 |
US7119404B2 (en) | 2004-05-19 | 2006-10-10 | Taiwan Semiconductor Manufacturing Co. Ltd. | High performance strained channel MOSFETs by coupled stress effects |
KR100560818B1 (ko) * | 2004-06-02 | 2006-03-13 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
KR100634372B1 (ko) * | 2004-06-04 | 2006-10-16 | 삼성전자주식회사 | 반도체 소자들 및 그 형성 방법들 |
KR100604870B1 (ko) * | 2004-06-16 | 2006-07-31 | 삼성전자주식회사 | 접합 영역의 어브럽트니스를 개선시킬 수 있는 전계 효과트랜지스터 및 그 제조방법 |
US20060024879A1 (en) | 2004-07-31 | 2006-02-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selectively strained MOSFETs to improve drive current |
US7078722B2 (en) | 2004-09-20 | 2006-07-18 | International Business Machines Corporation | NFET and PFET devices and methods of fabricating same |
KR100674914B1 (ko) * | 2004-09-25 | 2007-01-26 | 삼성전자주식회사 | 변형된 채널층을 갖는 모스 트랜지스터 및 그 제조방법 |
US7196380B2 (en) | 2005-01-13 | 2007-03-27 | International Business Machines Corporation | High mobility plane FinFET with equal drive strength |
TWI259585B (en) * | 2005-03-21 | 2006-08-01 | Powerchip Semiconductor Corp | Split gate flash memory and manufacturing method thereof |
US7221006B2 (en) | 2005-04-20 | 2007-05-22 | Freescale Semiconductor, Inc. | GeSOI transistor with low junction current and low junction capacitance and method for making the same |
JP4551811B2 (ja) | 2005-04-27 | 2010-09-29 | 株式会社東芝 | 半導体装置の製造方法 |
JP4859441B2 (ja) | 2005-06-10 | 2012-01-25 | 富士通セミコンダクター株式会社 | 半導体装置およびその製造方法 |
US7411252B2 (en) | 2005-06-21 | 2008-08-12 | International Business Machines Corporation | Substrate backgate for trigate FET |
KR100645065B1 (ko) * | 2005-06-23 | 2006-11-10 | 삼성전자주식회사 | 핀 전계 효과 트랜지스터와 이를 구비하는 비휘발성 메모리장치 및 그 형성 방법 |
US7190050B2 (en) * | 2005-07-01 | 2007-03-13 | Synopsys, Inc. | Integrated circuit on corrugated substrate |
US7807523B2 (en) * | 2005-07-01 | 2010-10-05 | Synopsys, Inc. | Sequential selective epitaxial growth |
US8466490B2 (en) * | 2005-07-01 | 2013-06-18 | Synopsys, Inc. | Enhanced segmented channel MOS transistor with multi layer regions |
US7605449B2 (en) * | 2005-07-01 | 2009-10-20 | Synopsys, Inc. | Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material |
US7247887B2 (en) * | 2005-07-01 | 2007-07-24 | Synopsys, Inc. | Segmented channel MOS transistor |
US7508031B2 (en) * | 2005-07-01 | 2009-03-24 | Synopsys, Inc. | Enhanced segmented channel MOS transistor with narrowed base regions |
US7265008B2 (en) * | 2005-07-01 | 2007-09-04 | Synopsys, Inc. | Method of IC production using corrugated substrate |
US7352034B2 (en) * | 2005-08-25 | 2008-04-01 | International Business Machines Corporation | Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures |
US7479421B2 (en) * | 2005-09-28 | 2009-01-20 | Intel Corporation | Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby |
KR100707208B1 (ko) | 2005-12-24 | 2007-04-13 | 삼성전자주식회사 | Gaa 구조의 핀-펫 및 그 제조 방법 |
US7709303B2 (en) | 2006-01-10 | 2010-05-04 | Freescale Semiconductor, Inc. | Process for forming an electronic device including a fin-type structure |
JP4490927B2 (ja) | 2006-01-24 | 2010-06-30 | 株式会社東芝 | 半導体装置 |
US7323373B2 (en) | 2006-01-25 | 2008-01-29 | Freescale Semiconductor, Inc. | Method of forming a semiconductor device with decreased undercutting of semiconductor material |
JP5005224B2 (ja) | 2006-01-27 | 2012-08-22 | ルネサスエレクトロニクス株式会社 | 半導体装置及びその製造方法 |
US7666721B2 (en) | 2006-03-15 | 2010-02-23 | International Business Machines Corporation | SOI substrates and SOI devices, and methods for forming the same |
JP2007258485A (ja) * | 2006-03-23 | 2007-10-04 | Toshiba Corp | 半導体装置及びその製造方法 |
US7638843B2 (en) * | 2006-05-05 | 2009-12-29 | Texas Instruments Incorporated | Integrating high performance and low power multi-gate devices |
JP4271210B2 (ja) * | 2006-06-30 | 2009-06-03 | 株式会社東芝 | 電界効果トランジスタ、集積回路素子、及びそれらの製造方法 |
US8946811B2 (en) * | 2006-07-10 | 2015-02-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Body-tied, strained-channel multi-gate device and methods of manufacturing same |
FR2905197B1 (fr) * | 2006-08-25 | 2008-12-19 | Commissariat Energie Atomique | Procede de realisation d'un dispositif comportant une structure dotee d'un ou plusieurs micro-fils ou nano-fils a base d'un compose de si et de ge, par condensation germanium. |
DE202006013890U1 (de) * | 2006-09-01 | 2006-11-16 | C. & E. Fein Gmbh | Werkzeugbefestigung |
JP2008066562A (ja) * | 2006-09-08 | 2008-03-21 | Toshiba Corp | 半導体装置およびその製造方法 |
US7544994B2 (en) * | 2006-11-06 | 2009-06-09 | International Business Machines Corporation | Semiconductor structure with multiple fins having different channel region heights and method of forming the semiconductor structure |
US20080128797A1 (en) | 2006-11-30 | 2008-06-05 | International Business Machines Corporation | Structure and method for multiple height finfet devices |
US7655989B2 (en) * | 2006-11-30 | 2010-02-02 | International Business Machines Corporation | Triple gate and double gate finFETs with different vertical dimension fins |
JP4267659B2 (ja) | 2006-12-05 | 2009-05-27 | 東京エレクトロン株式会社 | フィン型電界効果トランジスタの製造方法 |
US20080157225A1 (en) * | 2006-12-29 | 2008-07-03 | Suman Datta | SRAM and logic transistors with variable height multi-gate transistor architecture |
JP2008172082A (ja) | 2007-01-12 | 2008-07-24 | Toshiba Corp | 半導体装置及び半導体装置の製造方法 |
JP4421618B2 (ja) | 2007-01-17 | 2010-02-24 | 東京エレクトロン株式会社 | フィン型電界効果トランジスタの製造方法 |
US7612405B2 (en) * | 2007-03-06 | 2009-11-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fabrication of FinFETs with multiple fin heights |
US7560785B2 (en) * | 2007-04-27 | 2009-07-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having multiple fin heights |
US7939862B2 (en) * | 2007-05-30 | 2011-05-10 | Synopsys, Inc. | Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers |
US20090020792A1 (en) | 2007-07-18 | 2009-01-22 | Rafael Rios | Isolated tri-gate transistor fabricated on bulk substrate |
JP2009054705A (ja) | 2007-08-24 | 2009-03-12 | Toshiba Corp | 半導体基板、半導体装置およびその製造方法 |
US20090057846A1 (en) | 2007-08-30 | 2009-03-05 | Doyle Brian S | Method to fabricate adjacent silicon fins of differing heights |
US7683417B2 (en) * | 2007-10-26 | 2010-03-23 | Texas Instruments Incorporated | Memory device with memory cell including MuGFET and fin capacitor |
EP2073267A1 (en) * | 2007-12-19 | 2009-06-24 | INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) | Method of fabricating multi-gate semiconductor devices and devices obtained |
US8274132B2 (en) * | 2008-02-14 | 2012-09-25 | Infineon Technologies Ag | Electrical device and fabrication method |
US8022478B2 (en) | 2008-02-19 | 2011-09-20 | International Business Machines Corporation | Method of forming a multi-fin multi-gate field effect transistor with tailored drive current |
US8106459B2 (en) * | 2008-05-06 | 2012-01-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs having dielectric punch-through stoppers |
US8048723B2 (en) | 2008-12-05 | 2011-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Germanium FinFETs having dielectric punch-through stoppers |
US8093111B2 (en) * | 2008-07-29 | 2012-01-10 | Hynix Semiconductor Inc. | Semiconductor device including partial silicon on insulator fin structure and method for fabricating the same |
US7851790B2 (en) | 2008-12-30 | 2010-12-14 | Intel Corporation | Isolated Germanium nanowire on Silicon fin |
US8263462B2 (en) * | 2008-12-31 | 2012-09-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dielectric punch-through stoppers for forming FinFETs having dual fin heights |
US8258602B2 (en) * | 2009-01-28 | 2012-09-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bipolar junction transistors having a fin |
US8293616B2 (en) * | 2009-02-24 | 2012-10-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of fabrication of semiconductor devices with low capacitance |
US8310013B2 (en) * | 2010-02-11 | 2012-11-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating a FinFET device |
JP5580355B2 (ja) * | 2012-03-12 | 2014-08-27 | 株式会社東芝 | 半導体装置 |
US9263587B1 (en) * | 2014-09-04 | 2016-02-16 | Globalfoundries Inc. | Fin device with blocking layer in channel region |
JP7048182B2 (ja) * | 2016-08-26 | 2022-04-05 | インテル・コーポレーション | 集積回路のデバイス構造及び両面製造技術 |
US10132921B2 (en) | 2016-11-02 | 2018-11-20 | Stmicroelectronics (Research & Development) Ltd | Light communications receiver and decoder with time to digital converters |
-
2008
- 2008-05-06 US US12/116,074 patent/US8106459B2/en active Active
- 2008-10-17 TW TW097139880A patent/TWI509736B/zh not_active IP Right Cessation
- 2008-10-30 CN CN2008101751290A patent/CN101577278B/zh not_active Expired - Fee Related
-
2011
- 2011-12-08 US US13/314,942 patent/US9230959B2/en not_active Expired - Fee Related
-
2016
- 2016-01-05 US US14/988,427 patent/US9722025B2/en active Active
-
2017
- 2017-07-31 US US15/665,184 patent/US10312327B2/en active Active
-
2019
- 2019-06-03 US US16/430,151 patent/US11133387B2/en active Active
Cited By (39)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102651321A (zh) * | 2011-02-25 | 2012-08-29 | 中国科学院微电子研究所 | 一种半导体器件的制备方法 |
CN102651321B (zh) * | 2011-02-25 | 2015-03-04 | 中国科学院微电子研究所 | 一种半导体器件的制备方法 |
US8466028B2 (en) | 2011-06-30 | 2013-06-18 | Institute of Microelectronics, Chinese Academy of Sciences | Method for manufacturing multigate device |
WO2013000187A1 (zh) * | 2011-06-30 | 2013-01-03 | 中国科学院微电子研究所 | 多栅器件的形成方法 |
CN102891087A (zh) * | 2011-07-18 | 2013-01-23 | 辉达公司 | 与体硅衬底绝缘的半导体器件结构及其形成方法 |
CN102903749A (zh) * | 2011-07-27 | 2013-01-30 | 中国科学院微电子研究所 | 一种半导体器件结构及其制造方法 |
CN102903749B (zh) * | 2011-07-27 | 2015-04-15 | 中国科学院微电子研究所 | 一种半导体器件结构及其制造方法 |
CN103000518A (zh) * | 2011-09-09 | 2013-03-27 | 联华电子股份有限公司 | 形成非平面晶体管的方法 |
CN103000518B (zh) * | 2011-09-09 | 2016-12-14 | 联华电子股份有限公司 | 形成非平面晶体管的方法 |
US10026829B2 (en) | 2011-12-20 | 2018-07-17 | Intel Corporation | Semiconductor device with isolated body portion |
CN104137264B (zh) * | 2011-12-20 | 2018-01-09 | 英特尔公司 | 具有隔离的主体部分的半导体器件 |
CN109346439A (zh) * | 2011-12-21 | 2019-02-15 | 英特尔公司 | 具有调节高度的三维主体的半导体器件 |
CN104054180A (zh) * | 2011-12-21 | 2014-09-17 | 英特尔公司 | 具有调节高度的三维主体的半导体器件 |
CN102646599A (zh) * | 2012-04-09 | 2012-08-22 | 北京大学 | 一种大规模集成电路中FinFET的制备方法 |
CN102646599B (zh) * | 2012-04-09 | 2014-11-26 | 北京大学 | 一种大规模集成电路中FinFET的制备方法 |
US9136178B2 (en) | 2012-04-09 | 2015-09-15 | Peking University | Method for fabricating a finFET in a large scale integrated circuit |
CN103531633A (zh) * | 2012-07-03 | 2014-01-22 | 英特尔移动通信有限责任公司 | 用于块体FinFET技术的漏极延伸MOS器件 |
WO2014015450A1 (zh) * | 2012-07-25 | 2014-01-30 | 中国科学院微电子研究所 | 半导体器件及其制造方法 |
US9548387B2 (en) | 2012-07-25 | 2017-01-17 | Institute of Microelectronics, Chinese Academy of Science | Semiconductor device and method of manufacturing the same |
CN103681339A (zh) * | 2012-09-20 | 2014-03-26 | 中芯国际集成电路制造(上海)有限公司 | 一种鳍片场效应晶体管的制备方法 |
CN103681339B (zh) * | 2012-09-20 | 2016-09-21 | 中芯国际集成电路制造(上海)有限公司 | 一种鳍片场效应晶体管的制备方法 |
CN103715142A (zh) * | 2012-09-29 | 2014-04-09 | 中芯国际集成电路制造(上海)有限公司 | 形成不同高度的多个鳍部的方法 |
CN103887340A (zh) * | 2012-12-19 | 2014-06-25 | 台湾积体电路制造股份有限公司 | 用于FinFET的N金属 |
CN103887340B (zh) * | 2012-12-19 | 2016-12-28 | 台湾积体电路制造股份有限公司 | 用于FinFET的N功函数金属层 |
CN104022037A (zh) * | 2013-02-28 | 2014-09-03 | 中芯国际集成电路制造(上海)有限公司 | 鳍式场效应晶体管及其形成方法 |
CN104022037B (zh) * | 2013-02-28 | 2016-08-31 | 中芯国际集成电路制造(上海)有限公司 | 鳍式场效应晶体管及其形成方法 |
CN105097517A (zh) * | 2014-04-25 | 2015-11-25 | 中芯国际集成电路制造(上海)有限公司 | 一种FinFET器件及其制造方法、电子装置 |
CN105097517B (zh) * | 2014-04-25 | 2018-07-20 | 中芯国际集成电路制造(上海)有限公司 | 一种FinFET器件及其制造方法、电子装置 |
CN105632930A (zh) * | 2014-11-04 | 2016-06-01 | 中国科学院微电子研究所 | 一种FinFET器件及其制造方法 |
CN107851664A (zh) * | 2015-09-25 | 2018-03-27 | 英特尔公司 | 用于控制晶体管子鳍状物漏电的技术 |
US10879241B2 (en) | 2015-09-25 | 2020-12-29 | Intel Corporation | Techniques for controlling transistor sub-fin leakage |
CN106952817A (zh) * | 2016-01-06 | 2017-07-14 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
CN106952817B (zh) * | 2016-01-06 | 2020-07-10 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
CN107785316A (zh) * | 2016-08-29 | 2018-03-09 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的形成方法 |
CN109994428A (zh) * | 2017-12-29 | 2019-07-09 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
CN109994428B (zh) * | 2017-12-29 | 2021-02-02 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
CN110931482A (zh) * | 2018-09-19 | 2020-03-27 | 台湾积体电路制造股份有限公司 | 半导体结构 |
CN114335176A (zh) * | 2020-10-12 | 2022-04-12 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
CN114284283A (zh) * | 2021-12-02 | 2022-04-05 | 长江存储科技有限责任公司 | 半导体结构及其制备方法、三维存储器及存储设备 |
Also Published As
Publication number | Publication date |
---|---|
CN101577278B (zh) | 2010-11-10 |
US8106459B2 (en) | 2012-01-31 |
US10312327B2 (en) | 2019-06-04 |
US11133387B2 (en) | 2021-09-28 |
US20160133703A1 (en) | 2016-05-12 |
TW200947608A (en) | 2009-11-16 |
US20170330939A1 (en) | 2017-11-16 |
US9722025B2 (en) | 2017-08-01 |
US20120083107A1 (en) | 2012-04-05 |
US20190288070A1 (en) | 2019-09-19 |
US20090278196A1 (en) | 2009-11-12 |
US9230959B2 (en) | 2016-01-05 |
TWI509736B (zh) | 2015-11-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11133387B2 (en) | FinFETs having dielectric punch-through stoppers | |
CN101261991B (zh) | 半导体结构与芯片 | |
US10355108B2 (en) | Method of forming a fin field effect transistor comprising two etching steps to define a fin structure | |
US11935889B2 (en) | Fin structure and method of forming same through two-step etching processes | |
TWI699885B (zh) | 半導體結構與其製作方法 | |
KR101441747B1 (ko) | FinFET 디바이스를 위한 구조 및 방법 | |
US9048259B2 (en) | Dielectric punch-through stoppers for forming FinFETs having dual fin heights | |
CN106340455B (zh) | 半导体元件及其制作方法 | |
KR101802715B1 (ko) | 반도체 디바이스의 제조 방법 | |
US8263444B2 (en) | Methods of forming semiconductor-on-insulating (SOI) field effect transistors with body contacts | |
US8378395B2 (en) | Methods of fabricating field effect transistors having protruded active regions | |
KR100618904B1 (ko) | FinFET을 구비하는 반도체 소자 및 그 제조 방법 | |
CN113838934A (zh) | 半导体结构及其形成方法 | |
KR100732269B1 (ko) | 반도체 소자 및 그의 제조 방법 | |
KR20060046879A (ko) | 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20101110 |
|
CF01 | Termination of patent right due to non-payment of annual fee |