[go: up one dir, main page]

CN101312174A - Circuit assembly - Google Patents

Circuit assembly Download PDF

Info

Publication number
CN101312174A
CN101312174A CNA2007101075804A CN200710107580A CN101312174A CN 101312174 A CN101312174 A CN 101312174A CN A2007101075804 A CNA2007101075804 A CN A2007101075804A CN 200710107580 A CN200710107580 A CN 200710107580A CN 101312174 A CN101312174 A CN 101312174A
Authority
CN
China
Prior art keywords
layer
metal layer
pad
semiconductor substrate
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007101075804A
Other languages
Chinese (zh)
Other versions
CN101312174B (en
Inventor
罗心荣
杨秉荣
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Miji Electronics Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Miji Electronics Co ltd filed Critical Miji Electronics Co ltd
Priority to CN2007101075804A priority Critical patent/CN101312174B/en
Publication of CN101312174A publication Critical patent/CN101312174A/en
Application granted granted Critical
Publication of CN101312174B publication Critical patent/CN101312174B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/48145Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92247Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same main group of the same subclass of class H10, e.g. assemblies of rectifier diodes
    • H01L2225/04All the devices being of a type provided for in the same main group of the same subclass of class H10, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same main group of the same subclass of class H10
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06562Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking at least one device in the stack being rotated or offset
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The invention relates to a circuit component structure, which comprises a first semiconductor substrate, a second semiconductor substrate and a third semiconductor substrate, wherein the first semiconductor substrate is provided with at least one first metal connecting pad; a first passivation layer on the first semiconductor substrate and having at least one first opening exposing the first metal pad; the first metal layer is positioned on the first protective layer, is connected with the first metal bonding pad through the first opening, and is provided with a first routing bonding pad and a second routing bonding pad; the second semiconductor substrate is positioned on the first semiconductor substrate and exposes at least one side edge of the first semiconductor substrate, the first routing connecting pad and the second routing connecting pad, the second semiconductor substrate is provided with a second metal connecting pad, a second protective layer is positioned on the second semiconductor substrate and is provided with at least one second opening to expose the second metal connecting pad; a first wire bonding wire located on the first wire bonding pad and connected to a first external circuit; and a second routing wire which is positioned on the second routing bonding pad and connected to the second metal bonding pad of the second semiconductor substrate.

Description

线路组件 Line components

技术领域 technical field

本发明涉及一种线路组件结构,特别是关于一种可以在芯片上进行双打线制程的芯片结构。The invention relates to a circuit component structure, in particular to a chip structure capable of performing a double-wire manufacturing process on the chip.

背景技术 Background technique

近年来,随着半导体制程技术的不断成熟与发展,各种高效能的电子产品不断推陈出新,而集成电路(Integrated Circuit,IC)组件的积集度(integration)也不断提高。在集成电路组件的封装制程中,集成电路封装(IC packaging)扮演着相当重要的角色,而集成电路封装型态可大致区分为打线接合封装(Wire BondingPackage,WB)、贴带自动接合封装(Tape Automatic Bonding,TAB)与覆晶接合(FlipChip,FC)等型式,且每种封装形式均具有其特殊性与应用领域。In recent years, with the continuous maturity and development of semiconductor process technology, various high-efficiency electronic products have been continuously introduced, and the integration of integrated circuit (Integrated Circuit, IC) components has also been continuously improved. In the packaging process of integrated circuit components, integrated circuit packaging (IC packaging) plays a very important role, and the type of integrated circuit packaging can be roughly divided into wire bonding package (Wire Bonding Package, WB), tape automatic bonding package ( Tape Automatic Bonding (TAB) and flip chip bonding (FlipChip, FC) and other types, and each package has its own particularity and application fields.

然而当集成电路的尺寸更进一步的小型化时,集成电路上的金属连接结构连接至其它的电路或系统时,在电路性能方面将逐渐会变成不利的冲击,尤其是金属连接结构的寄生电容与电阻增加时,将会严重地降低芯片工作性能,比如当金属内联机的寄生电容(parasitic capacitance)与电阻增加,将意味着芯片效能的下降。其中,最值得关切的是沿着电源总线(power buses)与接地总线(ground buses)之间的压降(voltage drop),以及关键讯号路径的电阻电容延迟(RC delay)。为了降低电阻,若是使用宽金属线,将导致这些宽金属线的寄生电容升高。However, when the size of integrated circuits is further miniaturized, when the metal connection structure on the integrated circuit is connected to other circuits or systems, it will gradually become an adverse impact on circuit performance, especially the parasitic capacitance of the metal connection structure When the resistance and resistance increase, the performance of the chip will be seriously reduced. For example, when the parasitic capacitance (parasitic capacitance) and resistance of the metal interconnection increase, it will mean that the performance of the chip will decrease. Among them, the most worthy of concern are the voltage drop along the power bus (power bus) and the ground bus (ground bus) (voltage drop), and the resistor capacitor delay (RC delay) of the critical signal path. In order to reduce the resistance, if wide metal lines are used, the parasitic capacitance of these wide metal lines will increase.

有鉴于此,本发明是针对上述的问题,提出一种线路组件的制程及其结构,有效克服现有技术的困扰。In view of this, the present invention aims at the above-mentioned problems, and proposes a manufacturing process and structure of a circuit assembly, which effectively overcomes the troubles of the prior art.

发明内容 Contents of the invention

本发明的主要目的,是在提供一种线路组件,利用重配置线路(RDL)的方式,使芯片在叠设时以最大重叠面积重叠,进而使整体体积缩小。The main purpose of the present invention is to provide a circuit assembly, which utilizes reconfigurable lines (RDL) to allow chips to overlap with the largest overlapping area during stacking, thereby reducing the overall volume.

本发明的另一目的,是在提供一种线路组件,利用聚合物凸块取代现有的金属凸块,以大幅减少材料成本。Another object of the present invention is to provide a circuit assembly, which uses polymer bumps to replace existing metal bumps, so as to greatly reduce material costs.

为实现上述目的,本发明采用的技术方案包括:In order to achieve the above object, the technical scheme adopted in the present invention comprises:

一种线路组件,其特征在于,包括:一第一半导体基底,所述的第一半导体基底具有至少一第一金属接垫;一第一保护层,位于所述的第一半导体基底上,所述的第一保护层具有至少一开口暴露出所述的第一金属接垫;一第一金属层,位于所述的第一保护层上并电连接至所述的第一金属接垫,所述的第一金属层具有一第一打线接垫与一第二打线接垫;一第一打线导线,位于所述的第一打线接垫上连接至一第一外界电路;以及一第二打线导线,位于所述的第二打线接垫上连接至一第二外界电路。A circuit assembly, characterized in that it includes: a first semiconductor substrate, the first semiconductor substrate has at least one first metal pad; a first protective layer, located on the first semiconductor substrate, the The first protection layer has at least one opening exposing the first metal pad; a first metal layer is located on the first protection layer and electrically connected to the first metal pad, so The first metal layer has a first bonding pad and a second bonding pad; a first bonding wire is located on the first bonding pad and connected to a first external circuit; and a The second bonding wire is located on the second bonding pad and connected to a second external circuit.

为实现上述目的,本发明采用的技术方案包括:In order to achieve the above object, the technical scheme adopted in the present invention comprises:

一种线路组件,其特征在于,包括:一第一半导体基底,一第一保护层位于所述的第一半导体基底上,所述的第一保护层的至少一第一开口暴露出所述的第一半导体基底的一第一接垫,且一第一金属层位于所述的第一保护层上并经由所述的第一开口连接所述的第一接垫,所述的第一金属层包括数个第一打线接垫;一第二半导体基底,位于所述的第一半导体基底上并暴露出所述的第一半导体基底至少一侧边与所述的第一打线接垫,且一第二保护层位于所述的第二半导体基底上,所述的第二保护层的至少一第二开口暴露出所述的第二半导体基底的一第二接垫,且一第二金属层位于所述的第二保护层上并经由所述的第二开口连接所述的第二接垫,所述的第二金属层包括数个第二打线接垫;一第三半导体基底,位于所述的第二半导体基底上并暴露出所述的第二半导体基底至少一侧边与所述的第二打线接垫,且一第三保护层位于所述的第三半导体基底上,所述的第三保护层的至少一第三开口暴露出所述的第三半导体基底的一第三接垫,且一第三金属层位于所述的第三保护层上并经由所述的第三开口连接所述的第三接垫,所述的第三金属层包括数个第三打线接垫;一第四半导体基底,位于所述的第三半导体基底上并暴露出所述的第三半导体基底至少一侧边与所述的第三打线接垫,且一第四保护层位于所述的第四半导体基底上,所述的第四保护层的至少一第四开口暴露出所述的第四半导体基底的一第四接垫,且一第四金属层位于所述的第四保护层上并经由所述的第四开口连接所述的第四接垫,所述的第四金属层包括数个第四打线接垫;数个打线导线,位于所述的第一打线接垫、所述的第二打线接垫、所述的第三打线接垫与所述的第四打线接垫上,使所述的第一打线接垫与所述的第二打线接垫、所述的第二打线接垫与所述的第三打线接垫、所述的第三打线接垫与所述的第四打线接垫经由所述的打线导线相互连接;以及一外界电路,经由所述的打线导线连接至所述的第一打线接垫、所述的第二打线接垫、所述的第三打线接垫与所述的第四打线接垫至少其中之一上。A circuit assembly, characterized in that it comprises: a first semiconductor substrate, a first protection layer is located on the first semiconductor substrate, at least one first opening of the first protection layer exposes the A first pad of the first semiconductor substrate, and a first metal layer located on the first protection layer and connected to the first pad through the first opening, the first metal layer including a plurality of first bonding pads; a second semiconductor substrate located on the first semiconductor substrate and exposing at least one side of the first semiconductor substrate and the first bonding pads, And a second protective layer is located on the second semiconductor substrate, at least one second opening of the second protective layer exposes a second pad of the second semiconductor substrate, and a second metal layer is located on the second protective layer and connected to the second pad through the second opening, the second metal layer includes a plurality of second bonding pads; a third semiconductor substrate, Located on the second semiconductor substrate and exposing at least one side of the second semiconductor substrate and the second bonding pad, and a third protection layer is located on the third semiconductor substrate, At least one third opening of the third protection layer exposes a third pad of the third semiconductor substrate, and a third metal layer is located on the third protection layer and passes through the first Three openings are connected to the third pads, the third metal layer includes several third bonding pads; a fourth semiconductor substrate, located on the third semiconductor substrate and exposing the first At least one side of three semiconductor substrates and the third bonding pad, and a fourth protection layer is located on the fourth semiconductor substrate, at least one fourth opening of the fourth protection layer exposes the A fourth pad of the fourth semiconductor substrate, and a fourth metal layer is located on the fourth protective layer and connected to the fourth pad through the fourth opening, the fourth The metal layer includes several fourth bonding pads; several bonding wires, located at the first bonding pad, the second bonding pad, the third bonding pad and the On the fourth bonding pad, the first bonding pad and the second bonding pad, the second bonding pad and the third bonding pad, The third bonding pad and the fourth bonding pad are connected to each other through the bonding wire; and an external circuit is connected to the first bonding wire through the bonding wire On at least one of the bonding pad, the second bonding pad, the third bonding pad and the fourth bonding pad.

与现有技术相比较,本发明具有的有益效果是:不仅整体体积缩小,而且减少材料成本。Compared with the prior art, the invention has the beneficial effects of: not only the overall volume is reduced, but also the material cost is reduced.

附图说明 Description of drawings

图1a至图1d为本发明形成细联机结构与保护层的示意图;Fig. 1a to Fig. 1d are the schematic diagrams of the formation of fine wire structure and protective layer in the present invention;

图2a至图2p为本发明第一实施例的第1实施方式的示意图;2a to 2p are schematic diagrams of the first implementation of the first embodiment of the present invention;

图3a至图3m为本发明第一实施例的第2实施方式的示意图;3a to 3m are schematic diagrams of a second implementation of the first embodiment of the present invention;

图4a至图4c为本发明第一实施例的第3实施方式的示意图;4a to 4c are schematic diagrams of a third implementation of the first embodiment of the present invention;

图5a至图5k为本发明第二实施例的第1实施方式的示意图;5a to 5k are schematic diagrams of the first implementation of the second embodiment of the present invention;

图6a至图6j为本发明第二实施例的第2实施方式的示意图;6a to 6j are schematic diagrams of a second implementation of the second embodiment of the present invention;

图7a至图7b为本发明第二实施例的第3实施方式的示意图;7a to 7b are schematic diagrams of the third embodiment of the second embodiment of the present invention;

图8a至图8k为本发明第二实施例的第4实施方式的示意图;8a to 8k are schematic diagrams of the fourth embodiment of the second embodiment of the present invention;

图9a至图9g为本发明第二实施例的第5实施方式的示意图;9a to 9g are schematic diagrams of the fifth embodiment of the second embodiment of the present invention;

图10a至图10h为本发明第二实施例的第6实施方式的示意图。10a to 10h are schematic views of the sixth implementation of the second embodiment of the present invention.

附图标记说明:10-基底;12-组件层;14-金氧半晶体管;16-源极;18-汲极;20-闸极;22-细线路结构;24-细线路层;26-细线路介电层;28-开口;30-导电栓塞;32-接垫;34-保护层;36-开口;38-黏着阻障层;40-种子层;42-光阻层;42a-光阻层开口;44-金属层;44a-打线接垫;44b-打线接垫;46-聚合物层;46a-开口;48-半导体芯片;49-集成电路;48a-第一半导体芯片;48b-第二半导体芯片;50-黏着剂;52-第一外界电路;52a-连接接垫;54-第二外界电路;54a-连接接垫;56-导线;58-聚合物保护层;59-集成电路;60-聚合物层;60a-开口;62-黏着阻障层;64-种子层;66-光阻层;66a-光阻层开口;68-金属层;68a-打线接垫;68b-打线接垫;70-聚合物层;70a-开口;72-半导体芯片;72a-第一半导体芯片;72b-第二半导体芯片;74-黏着剂;76-第一外界电路;76a-连接接垫;78-第二外界电路;78a-连接接垫;80-聚合物保护层;82a-半导体芯片;82b-半导体芯片;82c-半导体芯片;82d-半导体芯片;84-第一外界电路板;86-第二外界电路;88a-打线接垫;88b-打线接垫;90a-打线接垫;90b-打线接垫;92a-打线接垫;92b-打线接垫;94a-打线接垫;94b-打线接垫;84a-连接接垫;86a-连接接垫;96-导线;97-聚合物保护层;112-聚合物层;112a-开口;114-聚合物凸块;116-黏着/阻障层;118-种子层;120-光阻层;120a-光阻层开口;122-金属层;124-接合接垫;126-半导体芯片;128-外界电路;129-接合金属层;130-异方性导电胶;132-锡层;134-锡金合金层;32’-接垫;120b-光阻层开口;136-打线接垫;138-外界基板;140-接合接垫;142-接合金属层;144-封装层;146-导线;147-锡球;148-聚合物块;150-聚合物层;152-聚合物凸块;154-金属层;156-金属层;158-光阻层;158a-光阻层开口;160-金属层。Description of reference numerals: 10-substrate; 12-component layer; 14-metal oxide semiconductor transistor; 16-source; 18-drain; 20-gate; 22-thin line structure; 24-thin line layer; 26- Fine line dielectric layer; 28-opening; 30-conductive plug; 32-pad; 34-protective layer; 36-opening; 38-adhesion barrier layer; 40-seed layer; 42-photoresist layer; 42a-light 44-metal layer; 44a-wire pad; 44b-wire pad; 46-polymer layer; 46a-opening; 48-semiconductor chip; 49-integrated circuit; 48a-first semiconductor chip; 48b-second semiconductor chip; 50-adhesive; 52-first external circuit; 52a-connection pad; 54-second external circuit; 54a-connection pad; 56-wire; 58-polymer protection layer; 59 -integrated circuit; 60-polymer layer; 60a-opening; 62-adhesion barrier layer; 64-seed layer; 66-photoresist layer; 66a-photoresist layer opening; 68-metal layer; 68a-bonding pad ; 68b-wire pad; 70-polymer layer; 70a-opening; 72-semiconductor chip; 72a-first semiconductor chip; 72b-second semiconductor chip; 74-adhesive; 76-first external circuit; 76a -connection pad; 78-second external circuit; 78a-connection pad; 80-polymer protective layer; 82a-semiconductor chip; 82b-semiconductor chip; 82c-semiconductor chip; 82d-semiconductor chip; 84-first external Circuit board; 86-second external circuit; 88a-wiring pad; 88b-wiring pad; 90a-wiring pad; 90b-wiring pad; 92a-wiring pad; 92b-wiring pad Pad; 94a-wiring pad; 94b-wiring pad; 84a-connecting pad; 86a-connecting pad; 96-wire; 97-polymer protective layer; 112-polymer layer; 112a-opening; 114 -polymer bump; 116-adhesion/barrier layer; 118-seed layer; 120-photoresist layer; 120a-photoresist layer opening; 122-metal layer; 124-bonding pad; 126-semiconductor chip; 128- External circuit; 129-junction metal layer; 130-anisotropic conductive adhesive; 132-tin layer; 134-tin gold alloy layer; 32'-pad; 120b-photoresist layer opening; External substrate; 140-bonding pad; 142-bonding metal layer; 144-packaging layer; 146-wire; 147-solder ball; 148-polymer block; 150-polymer layer; Metal layer; 156-metal layer; 158-photoresist layer; 158a-photoresist layer opening; 160-metal layer.

具体实施方式 Detailed ways

本发明是半导体线路组件结构及其制程,其中在此发明之中揭示数种不同类型的半导体线路组件结构及其制程,所揭示的每一种方法与结构都是建构在一半导体基底上,且在此半导体基底上更设有一细联机结构与一保护层,因此首先解说此半导体基底、细联机结构与保护层的结构与形成方法后,再进行本发明各种实施例的解说,另外在解说的前先定义“上方”一词在本发明中是表示位于某物上面并与之接触,或是表示位于某物上面但未与之接触,而“上”一字在本发明中是表示位于某物上面并与之接触。The present invention is a semiconductor circuit assembly structure and its manufacturing process, wherein several different types of semiconductor circuit assembly structures and their manufacturing processes are disclosed in this invention, each of the disclosed methods and structures is constructed on a semiconductor substrate, and A fine interconnect structure and a protective layer are further provided on the semiconductor substrate. Therefore, the structure and formation method of the semiconductor substrate, the fine interconnect structure and the protective layer are explained first, and then various embodiments of the present invention are explained. The word "above" in the present invention means to be on something and in contact with it, or to be on something but not in contact with it, and the word "upper" in the present invention means to be on something on and in contact with something.

半导体基底:Semiconductor substrate:

请参阅图1a所示,提供一基底(substrate)10,基底10通常是一硅基底(siliconsubstrate),此硅基底可以是一本质(intrinsic)硅基底、一p型硅基底或是一n型硅基底。对于高性能的芯片,则是使用硅锗(SiGe)或绝缘层上覆硅Referring to Fig. 1a, a substrate 10 is provided. The substrate 10 is usually a silicon substrate, which can be an intrinsic silicon substrate, a p-type silicon substrate or an n-type silicon substrate. base. For high-performance chips, silicon-germanium (SiGe) or silicon-on-insulator

(Silicon-On-Insulator,SOI)基底。其中,硅锗基底包括一硅锗附生层(epitaxial layer)在硅基底的表面上,另绝缘层上覆硅基底则包括一绝缘层(较佳为氧化硅)在一硅基底上,且一硅或硅锗附生层形成在绝缘层上。(Silicon-On-Insulator, SOI) substrate. Wherein, the silicon germanium substrate includes a silicon germanium epitaxial layer (epitaxial layer) on the surface of the silicon substrate, and the silicon substrate on the insulating layer includes an insulating layer (preferably silicon oxide) on a silicon substrate, and a An epitaxial layer of silicon or silicon germanium is formed on the insulating layer.

接着请参阅图1b所示,在此基底10上形成一组件层(device layer)12,此组件层12通常包括至少一半导体组件(semiconductor device),且此组件层12是在基底10的表面内和/或是表面上。其中,半导体组件可以是一金氧半晶体管(MOStransistor)14,例如N型金氧半晶体管(NMOS transistor,n-channel MOS transistor)或P型金氧半晶体管(PMOS transistor,p-channel MOS transistor),且此金氧半晶体管14包括一源极16、一汲极18与一闸极20,而闸极20通常是一多晶硅(polysilicon)、一复晶金属硅化钨(tungsten polycide)、一硅化钨(tungsten silicide)、一硅化钛(titanium silicide)、一钴化硅(cobalt silicide)或一硅化物闸极(salicide gate)。另,半导体组件也可以是双载子晶体管(bipolar transistor)、扩散金属氧化物半导体(Diffused MOS,DMOS)、横向扩散金属氧化物半导体(Lateral Diffused MOS,LDMOS)、电荷耦合组件(Charged-Coupled Device,CCD)、互补式金属氧化物半导体(CMOS)感测组件、光敏二极管(photo-sensitive diode)、电阻组件(由于硅基底内的多晶硅层或扩散区所形成)。利用这些半导体组件可以形成各种电路,例如互补式金属氧化物半导体(CMOS)电路、N型金氧半导体电路、P型金氧半导体电路、双载子互补式金属氧化物半导体(BiC MOS)电路、互补式金属氧化物半导体传感器电路、扩散金属氧化物半导体电源电路、横向扩散金属氧化物半导体电路等。此外,组件层12也包括一或非门(NOR gate)或一与非门(NAND gate)的外,也可以是一反相器(inverter)、一且闸(AND gate)、一或门(OR gate)、一静态随机存取内存单元(SRAM cell)、一动态随机存取内存单元(DRAM cell)、一非挥发性内存单元(non-volatile memory cell)、一闪存单元(flash memory cell)、一可消除可程序只读存储器单元(EPROM cell)、一只读存储器单元(ROM cell)、一磁性随机存取内存(magnetic RAM,MRAM)单元、一感测放大器(sense amplifier)、一运放算大器(operational amplifier,Op Amp、OPA)、一加法器(adder)、一多任务器(multiplexer)、一双工器(diplexer)、一乘法器(multiplier)、一模拟/数字转换器(A/D converter)、一数字/模拟转换器(D/A converter)、一互补式金属氧化物半导体感测组件单元(CMOS sensorcell)、一光敏二极体(photo-sensitive diode)、一互补式金属氧化物半导体、一双载子互补式金氧半导体、一双载子电路(bipolar circuit)或模拟电路(analog circuit)。Then please refer to shown in FIG. 1 b, a component layer (device layer) 12 is formed on this substrate 10, and this component layer 12 generally includes at least one semiconductor component (semiconductor device), and this component layer 12 is in the surface of the substrate 10 and/or on the surface. Wherein, the semiconductor component may be a MOS transistor (MOS transistor) 14, such as an N-type MOS transistor (NMOS transistor, n-channel MOS transistor) or a P-type metal-oxide-semiconductor transistor (PMOS transistor, p-channel MOS transistor) , and this metal-oxide-semiconductor transistor 14 includes a source 16, a drain 18 and a gate 20, and the gate 20 is usually a polysilicon (polysilicon), a polycrystalline tungsten polycide (tungsten polycide), a tungsten silicide (tungsten silicide), a titanium silicide (titanium silicide), a cobalt silicon (cobalt silicide) or a silicide gate (salicide gate). In addition, the semiconductor component can also be bipolar transistor, diffused metal oxide semiconductor (Diffused MOS, DMOS), lateral diffused metal oxide semiconductor (Lateral Diffused MOS, LDMOS), charge coupled device (Charged-Coupled Device , CCD), complementary metal oxide semiconductor (CMOS) sensing components, photo-sensitive diodes (photo-sensitive diode), resistance components (formed due to the polysilicon layer or diffusion region in the silicon substrate). Various circuits can be formed using these semiconductor components, such as complementary metal oxide semiconductor (CMOS) circuits, N-type metal oxide semiconductor circuits, P-type metal oxide semiconductor circuits, bicarrier complementary metal oxide semiconductor (BiC MOS) circuits , Complementary metal oxide semiconductor sensor circuit, diffused metal oxide semiconductor power supply circuit, lateral diffused metal oxide semiconductor circuit, etc. In addition, the component layer 12 also includes a NOR gate or a NAND gate, and may also be an inverter, an AND gate, or an OR gate ( OR gate), a static random access memory unit (SRAM cell), a dynamic random access memory unit (DRAM cell), a non-volatile memory unit (non-volatile memory cell), a flash memory unit (flash memory cell) , a programmable read-only memory unit (EPROM cell), a read-only memory unit (ROM cell), a magnetic random access memory (magnetic RAM, MRAM) unit, a sense amplifier (sense amplifier), a transport Amplifier (operational amplifier, Op Amp, OPA), an adder (adder), a multiplexer (multiplexer), a duplexer (diplexer), a multiplier (multiplier), an analog/digital converter (A/D converter), a digital/analog converter (D/A converter), a complementary metal oxide semiconductor sensing element unit (CMOS sensorcell), a photo-sensitive diode (photo-sensitive diode), a complementary metal oxide semiconductor, a bipolar complementary metal oxide semiconductor, a bipolar circuit or an analog circuit.

细联机结构:Detailed online structure:

请参阅图1c所示,在基底10与组件层12上形成一细线路结构22,此细线路结构22包括数个细线路层(fine-line conductivity layer)24、数个细线路介电层(fine-line dielectric layer)26以及数个在细线路介电层26的开口28、与开口28内的导电栓塞(fine-line via plug)30,此外在最顶部的细线路层24设置有至少一个区域,这些区域定义为接垫32。1c, a fine-line structure 22 is formed on the substrate 10 and the component layer 12, and the fine-line structure 22 includes several fine-line conductivity layers (fine-line conductivity layer) 24, several fine-line dielectric layers ( fine-line dielectric layer) 26 and several openings 28 in the thin-line dielectric layer 26, and conductive plugs (fine-line via plug) 30 in the opening 28, and at least one fine-line via plug is arranged on the topmost thin-line layer 24 regions, which are defined as pads 32 .

细线路层24在此实施例中是选自铝金属材质、铜金属材质,或更具体来说,可以是以溅镀方式形成的铝层、或以镶嵌方式形成的铜层。所以,细线路层24可以是:(1)所有的细线路层24均为铝层;(2)所有的细线路层24均为铜层;(3)底层的细线路层24为铝层,而顶层的细线路层24为铜层;或是(4)底层的细线路层24为铜层,而顶层的细线路层24为铝层。In this embodiment, the thin circuit layer 24 is selected from aluminum metal material and copper metal material, or more specifically, an aluminum layer formed by sputtering or a copper layer formed by damascene. Therefore, the fine line layer 24 can be: (1) all the thin line layers 24 are aluminum layers; (2) all the thin line layers 24 are copper layers; (3) the bottom thin line layers 24 are aluminum layers, The thin circuit layer 24 on the top layer is a copper layer; or (4) the thin circuit layer 24 on the bottom layer is a copper layer, and the thin circuit layer 24 on the top layer is an aluminum layer.

此外,每一细线路层24的厚度是介于0.05微米(μm)至2微米之间,而以介于0.2微米至1微米之间的厚度为较佳,另细线路层24若为线路,则其横向设计标准(宽度)是介于20纳米(nano-meter)至15微米之间,并以介于20纳米至2微米之间为较佳。In addition, the thickness of each thin circuit layer 24 is between 0.05 micron (μm) and 2 microns, and preferably between 0.2 micron and 1 micron. If the thin circuit layer 24 is a circuit, The horizontal design standard (width) is between 20 nanometers (nano-meter) and 15 micrometers, and preferably between 20 nanometers and 2 micrometers.

首先解说细线路层24为铝层,细线路层24的铝层通常是利用物理气相沉积(Physical Vapor Deposition,PVD)的方式来形成,例如利用溅镀(sputtering)的方式来形成,接着通过沉积厚度介于0.1微米至4微米之间(较佳为介于0.3微米至2微米之间)的一光阻层对此铝层进行图案化,再来对此铝层进行一湿蚀刻(wetetching)或一干蚀刻(dry etching),较佳的方式是干式电浆(dry plasma)蚀刻(通常包含氟电浆)。另,在铝层下可选择性形成一黏着/阻障层(adhesion/barrier layer),其中此黏着/阻障层可以是钛、钛钨合金、氮化钛或者是上述材料所形成的复合层;而在铝层上也可选择性形成一抗反射层(例如氮化钛)。此外,开口28可选择性以化学气相沉积(chemical vapor deposition,CVD)钨金属的方式填满,接着再以化学机械研磨(chemical mechanical polish,CMP)的方式研磨钨金属层,以形成导电栓塞30。Firstly, explain that the thin line layer 24 is an aluminum layer. The aluminum layer of the thin line layer 24 is usually formed by physical vapor deposition (Physical Vapor Deposition, PVD), for example, by sputtering (sputtering), and then deposited A photoresist layer with a thickness between 0.1 micron and 4 microns (preferably between 0.3 micron and 2 microns) is used to pattern the aluminum layer, and then perform a wet etching or wet etching on the aluminum layer - Dry etching, preferably dry plasma etching (usually including fluorine plasma). In addition, an adhesion/barrier layer (adhesion/barrier layer) can be selectively formed under the aluminum layer, wherein the adhesion/barrier layer can be titanium, titanium-tungsten alloy, titanium nitride or a composite layer formed of the above materials ; On the aluminum layer, an anti-reflection layer (such as titanium nitride) can also be selectively formed. In addition, the opening 28 can be selectively filled by chemical vapor deposition (CVD) tungsten metal, and then the tungsten metal layer is polished by chemical mechanical polishing (CMP) to form the conductive plug 30. .

接着解说细线路层24为铜层,细线路层24的铜层通常是利用电镀与镶嵌制程(damascene process)的方式来形成,其叙述如下:(1)沉积一铜扩散阻障层(例如厚度介于0.05微米至0.25微米之间的氮氧化合物层或氮化物层);(2)利用电浆辅助化学气相沉积(plasma enhanced CVD,PECVD)、旋转涂布(spin-on coating)或高密度电浆化学气相沉积(High Density Plasma CVD,HDPCVD)的方式沉积厚度介于0.1微米至2.5微米之间的一细线路介电层26,其中此细线路介电层26是以介于0.3微米至1.5微米之间的厚度为较佳者;(3)利用沉积厚度介于0.1微米至4微米之间的一光阻层来图案化细线路介电层26,其中光阻层的厚度又以介于0.3微米至2微米之间为较佳者,接着对此光阻层进行曝光与显影,使光阻层形成数个开口和/或是数个沟渠,再来去除此光阻层;(4)利用溅镀或化学气相沉积的方式,沉积一黏着/阻障层与一种子层(seed layer)。其中,此黏着/阻障层包括钽、氮化钽、氮化钛、钛或钛钨合金,或者是由上述材料所形成的一复合层。另外,此种子层通常是一铜层,而此铜层可以是利用溅镀铜金属、化学气相沉积铜金属,或者是先以化学气相沉积一铜金属,然后再溅镀一铜金属的方式形成;(5)电镀厚度介于0.05微米至2微米之间的一铜层在此种子层上,其中又以电镀铜层厚度介于0.2微米至1微米之间的一铜层为较佳者;(6)以研磨(较佳的方式为化学机械研磨)晶圆的方式去除未在细线路介电层26的开口或沟渠内的铜层、种子层以及黏着/阻障层,直至暴露出位于黏着/阻障层下的细线路介电层26为止。在经过化学机械研磨的后,仅剩下位于开口或沟渠内的金属,而剩下的金属则用来作为金属导体(线路或是平面)或导电栓塞30(连接两相邻的细线路层24)。另外,也可利用一双镶嵌(double-damascene)制程,在一次电镀制程与一次化学机械研磨中同时形成导电栓塞30以及金属线路或金属平面。两次微影(photolithography)制程与两次电镀制程是适用在双镶嵌制程上。双镶嵌制程在上述单次镶嵌制程中的图案化一介电层的步骤(3)与沉积金属层的步骤(4)间,增加更多沉积与图案化另一介电层的制程步骤。Then explain that the thin line layer 24 is a copper layer. The copper layer of the thin line layer 24 is usually formed by electroplating and a damascene process, which is described as follows: (1) Deposit a copper diffusion barrier layer (such as thickness Oxynitride layer or nitride layer between 0.05 micron and 0.25 micron); (2) using plasma-assisted chemical vapor deposition (plasma enhanced CVD, PECVD), spin-on coating (spin-on coating) or high density A thin line dielectric layer 26 with a thickness between 0.1 micron and 2.5 micron is deposited by plasma chemical vapor deposition (High Density Plasma CVD, HDPCVD), wherein the thin line dielectric layer 26 is between 0.3 micron and 2.5 micron A thickness between 1.5 microns is preferred; (3) a photoresist layer with a deposition thickness between 0.1 microns and 4 microns is used to pattern the fine-line dielectric layer 26, wherein the thickness of the photoresist layer is again between 0.1 micron and 4 microns. It is preferably between 0.3 microns and 2 microns, and then the photoresist layer is exposed and developed to form several openings and/or several trenches in the photoresist layer, and then the photoresist layer is removed; (4) Deposit an adhesion/barrier layer and a seed layer by sputtering or chemical vapor deposition. Wherein, the adhesion/barrier layer includes tantalum, tantalum nitride, titanium nitride, titanium or titanium-tungsten alloy, or a composite layer formed of the above materials. In addition, the seed layer is usually a copper layer, and the copper layer can be formed by sputtering copper metal, chemical vapor deposition of copper metal, or first depositing a copper metal by chemical vapor phase, and then sputtering a copper metal. (5) Electroplating a copper layer with a thickness between 0.05 micron and 2 microns on the seed layer, wherein a copper layer with an electroplated copper layer thickness between 0.2 micron and 1 micron is preferred; (6) Remove the copper layer, seed layer and adhesion/barrier layer that are not in the openings or trenches of the thin-line dielectric layer 26 by grinding (preferably chemical mechanical grinding) the wafer, until exposed The fine line dielectric layer 26 under the adhesion/barrier layer. After chemical mechanical polishing, only the metal in the opening or trench remains, and the remaining metal is used as a metal conductor (circuit or plane) or a conductive plug 30 (connecting two adjacent thin circuit layers 24 ). In addition, a double-damascene process can also be used to simultaneously form the conductive plug 30 and the metal lines or metal planes in one electroplating process and one chemical mechanical polishing process. Two photolithography processes and two electroplating processes are applicable to the dual damascene process. The dual damascene process adds more process steps of depositing and patterning another dielectric layer between the step (3) of patterning a dielectric layer and the step (4) of depositing a metal layer in the above single damascene process.

接着说明细线路介电层26,细线路介电层26是利用化学气相沉积、电浆辅助化学气相沉积、高密度电浆化学气相沉积或旋涂(spin-on)的方式形成。细线路介电层26的材质包括氧化硅(silicon oxide)、氮化硅(silicon nitride)、氮氧化硅(silicon oxynitride)、以电浆辅助化学气相沉积形成的四乙氧基硅烷(PECVDTEOS)、旋涂玻璃(SOG,硅氧化物或硅氧烷基)、氟硅玻璃(Fluorinated SilicateGlass,FSG)或一低介电常数(low-K)材质,例如黑钻石薄膜(Black Diamond,其是Applied Materials的产品,公司译名为应用材料公司)、ULKCORAL(为Novellus公司的产品)或SiLK(IBM公司)的低介电常数的介电材质。以电浆辅助化学气相沉积形成的氧化硅、以电浆辅助化学气相沉积形成的四乙氧基硅烷或以高密度电浆形成的氧化物具有介于3.5至4.5之间的介电常数K;以电浆辅助化学气相沉积形成的氟硅玻璃或以高密度电浆形成的氟硅玻璃具有介于3.0至3.5之间的介电常数值,而低介电常数介电材料则具有介于1.5至3.5之间的介电常数值。低介电常数介电材料,例如黑钻石薄膜,其是多孔性,并包括有氢、碳、硅与氧,其分子式为HwCxSiyOz。此细线路介电层26通常包括无机材料(inorganic material),用以达到厚度大于2微米。每一细线路介电层26的厚度是介于0.05微米至2微米之间。另,细线路介电层26内的开口28是利用湿蚀刻或干蚀刻的方式蚀刻图案化光阻层形成,其中较佳的蚀刻方式是干蚀刻。干蚀刻种类包括氟电浆(fluorineplasma)。Next, the fine-line dielectric layer 26 will be described. The thin-line dielectric layer 26 is formed by chemical vapor deposition, plasma-assisted chemical vapor deposition, high-density plasma chemical vapor deposition, or spin-on. The material of the thin line dielectric layer 26 includes silicon oxide, silicon nitride, silicon oxynitride, tetraethoxysilane (PECVDTEOS) formed by plasma-assisted chemical vapor deposition, Spin-on-glass (SOG, silicon oxide or siloxane-based), fluorosilicate glass (Fluorinated SilicateGlass, FSG) or a low dielectric constant (low-K) material, such as black diamond film (Black Diamond, which is Applied Materials Products, the company translated as Applied Materials), ULKCORAL (a product of Novellus) or SiLK (IBM) low dielectric constant dielectric material. Silicon oxide formed by plasma-assisted chemical vapor deposition, tetraethoxysilane formed by plasma-assisted chemical vapor deposition, or oxide formed by high-density plasma has a dielectric constant K between 3.5 and 4.5; Fluoro-silicate glass formed by plasma-assisted chemical vapor deposition or high-density plasma has a dielectric constant value between 3.0 and 3.5, while low-k dielectric materials have a dielectric constant value between 1.5 Dielectric constant values between to 3.5. Low-k dielectric materials, such as black diamond films, are porous and contain hydrogen, carbon, silicon, and oxygen, and their molecular formula is HwCxSiyOz. The fine-line dielectric layer 26 typically includes inorganic materials to achieve a thickness greater than 2 microns. The thickness of each fine-line dielectric layer 26 is between 0.05 microns and 2 microns. In addition, the openings 28 in the thin line dielectric layer 26 are formed by etching the patterned photoresist layer by wet etching or dry etching, wherein the preferred etching method is dry etching. Types of dry etching include fluorine plasma.

保护层:The protective layer:

请参阅图1c所示,形成一保护层34在细线路结构22上,此保护层34在本发明中扮演着非常重要的角色。保护层34在集成电路产业中是一个重要的组成部分,如1990年由S.Wolf著,并由Lattice Press所发行的《Silicon Processing in theVLSI era》第2册所述,保护层34在集成电路制程中是被定义作为最终层,并沉积在晶圆的整体上表面上。保护层34是一绝缘、保护层,可以防止在组装与封装期间所造成的机械与化学伤害。除了防止机械刮痕之外,保护层34也可以防止移动离子(mobile ion),比如是钠(sodium)离子,以及过渡金属(transition metal),比如是金、铜,穿透进入至下方的集成电路组件。另外,保护层34也可以保护下方的组件与连接线路(细线路金属结构与细线路介电层)免于受到水气(moisture)的侵入。Referring to FIG. 1 c , a protection layer 34 is formed on the fine line structure 22 , and the protection layer 34 plays a very important role in the present invention. The protective layer 34 is an important component in the integrated circuit industry, as described in Volume 2 of "Silicon Processing in the VLSI era" written by S.Wolf in 1990 and issued by Lattice Press, the protective layer 34 is in the integrated circuit In-process is defined as the final layer and deposited on the overall top surface of the wafer. The protective layer 34 is an insulating and protective layer that can prevent mechanical and chemical damage during assembly and packaging. In addition to preventing mechanical scratches, the protective layer 34 can also prevent mobile ions, such as sodium ions, and transition metals, such as gold and copper, from penetrating into the underlying integrated circuit. circuit components. In addition, the protection layer 34 can also protect the underlying components and connection lines (fine-line metal structure and thin-line dielectric layer) from moisture intrusion.

保护层34通常包括一氮化硅(silicon nitride)层和/或是一氮氧化硅(siliconoxynitride)层,且其厚度是介于0.2微米至1.5微米之间,并以介于0.3微米至1.0微米之间的厚度为较佳。其它使用在保护层300的材料则有以电浆辅助化学气相沉积形成的氧化硅、电浆加强型二氧化四乙基正硅酸盐(plasma-enhanced tetraethylorthosilicate,PETEOS)的氧化物、磷硅玻璃(phosphor silicate glass,PSG)、硼磷硅玻璃(borophospho silicate glass,BPSG)、以高密度电浆(HDP)形成的氧化物。接着,叙述保护层34由复合层组成的一些范例,其底部至顶部的顺序是:(1)厚度介于0.1微米至1.0微米之间(较佳厚度则介于0.3微米至0.7微米之间)的氧化物/厚度介于0.25微米至1.2微米之间(较佳厚度则介于0.35微米至1.0微米之间)的氮化硅,这种型式的保护层34通常是覆盖在以铝形成的金属连接线路上,其中以铝形成的金属连接线路通常包括溅镀铝与蚀刻铝的制程;(2)厚度介于0.05微米至0.35微米(较佳厚度则介于0.1微米至0.2微米之间)的氮氧化合物/厚度介于0.2微米至1.2微米(较佳厚度则介于0.1微米至0.2微米之间)的氧化物/厚度介于0.2微米至1.2微米(较佳厚度则介于0.3微米至0.5微米之间)的氮化物/厚度介于0.2微米至1.2微米(较佳厚度则介于0.3微米至0.6微米之间)的氧化物,这种型式的保护层34通常是覆盖在以铜形成的金属连接线路上,其中以铜形成的金属连接线路通常包括电镀、化学机械研磨与镶嵌制程。另,上述两范例中的氧化物层可以是利用电浆辅助化学气相沉积形成的氧化硅、电浆加强型二氧化四乙基正硅酸盐(plasma-enhanced tetraethyl orthosilicate,PETEOS)的氧化物、利用高密度电浆形成的氧化物。以上的内容是适用在本发明的所有实施例中。The protective layer 34 usually includes a silicon nitride (silicon nitride) layer and/or a silicon oxynitride (siliconoxynitride) layer, and its thickness is between 0.2 microns to 1.5 microns, and the thickness is between 0.3 microns to 1.0 microns. The thickness between is better. Other materials used in the protective layer 300 include silicon oxide formed by plasma-assisted chemical vapor deposition, plasma-enhanced tetraethylorthosilicate (PETEOS) oxide, and phosphosilicate glass. (phosphor silicate glass, PSG), borophospho silicate glass (BPSG), oxide formed by high density plasma (HDP). Next, describe some examples that the protective layer 34 is composed of composite layers, the order from the bottom to the top is: (1) the thickness is between 0.1 micron and 1.0 micron (the preferred thickness is between 0.3 micron and 0.7 micron) oxide/silicon nitride with a thickness between 0.25 microns and 1.2 microns (preferably between 0.35 microns and 1.0 microns), this type of protective layer 34 is usually covered on a metal formed of aluminum On the connection line, the metal connection line formed of aluminum usually includes the process of sputtering aluminum and etching aluminum; Nitride/Oxide between 0.2 micron and 1.2 micron thick (preferably between 0.1 micron and 0.2 micron thick)/Oxide between 0.2 micron and 1.2 micron thick (preferably between 0.3 micron and 0.5 micron thick) micron)/oxide with a thickness between 0.2 micron and 1.2 micron (preferably between 0.3 micron and 0.6 micron), this type of protective layer 34 is usually covered with copper On the metal connection circuit, the metal connection circuit formed by copper usually includes electroplating, chemical mechanical polishing and damascene processes. In addition, the oxide layer in the above two examples may be silicon oxide formed by plasma-assisted chemical vapor deposition, oxide of plasma-enhanced tetraethyl orthosilicate (Plasma-enhanced tetraethyl orthosilicate, PETEOS), Oxide formed by high-density plasma. The above content is applicable to all embodiments of the present invention.

请参阅图1d所示,在此保护层34形成至少一开口36,此保护层34的开口36是利用湿蚀刻或干蚀刻的方式形成,其中又以干蚀刻为较佳方式。此外,开口36的尺寸是介于0.1微米至200微米之间,并以介于1微米至100微米之间或5微米至30微米之间为较佳者,另开口36的形状可以是圆形、正方形、长方形或多边形,所以上述开口36的尺寸是指圆形的直径尺寸、正方形的边长尺寸、多边形的最长对角线尺寸或长方形的宽度尺寸,其中长方形的长度尺寸则是介于1微米至1厘米,并以介于5微米至200微米为较佳。Please refer to FIG. 1d, at least one opening 36 is formed in the protection layer 34, and the opening 36 of the protection layer 34 is formed by wet etching or dry etching, wherein dry etching is the preferred method. In addition, the size of the opening 36 is between 0.1 micron and 200 microns, preferably between 1 micron and 100 microns or between 5 microns and 30 microns, and the shape of the opening 36 can be circular, Square, rectangular or polygonal, so the size of the above-mentioned opening 36 refers to the diameter of the circle, the side length of the square, the longest diagonal of the polygon or the width of the rectangle, wherein the length of the rectangle is between 1 Micron to 1 cm, preferably 5 micron to 200 micron.

其中保护层34的开口36对于组件层12所设置组件不同也有不同的大小,一般而言保护层34的开口36的尺寸是介于0.1微米至100微米之间,并以介于0.3微米至30微米之间为较佳者;若是组件层12中是设置稳压器、变压器与静电放电防护电路而言,此开口36的尺寸较大,其范围是介于1微米至150微米之间,并以介于5微米至100微米之间为较佳。另外,开口36暴露出细线路层24最上层的接垫(metal pad)32,用以电连接保护层36上方(over-passivation)的线路或平面。Wherein the opening 36 of the protective layer 34 also has different sizes for different components installed in the component layer 12. Generally speaking, the size of the opening 36 of the protective layer 34 is between 0.1 micron and 100 microns, and the size is between 0.3 micron and 30 microns. It is preferable between microns; if voltage regulators, transformers and electrostatic discharge protection circuits are arranged in the component layer 12, the size of the opening 36 is relatively large, and its range is between 1 micron and 150 microns, and It is preferably between 5 microns and 100 microns. In addition, the opening 36 exposes a metal pad 32 on the uppermost layer of the thin circuit layer 24 for electrically connecting the circuit or plane on the over-passivation layer 36 .

以上所述的结构定义为晶圆(wafer),例如硅晶圆(silicon wafer),是使用不同世代的集成电路制程技术来制造,例如1微米、0.8微米、0.6微米、0.5微米、0.35微米、0.25微米、0.18微米、0.25微米、0.13微米、90纳米(nm)、65纳米、45纳米、35纳米、25纳米技术,而这些集成电路制程技术的世代是以金氧半晶体管14的闸极长度(gate length)或有效通道长度(channel length)来定义。The structure described above is defined as a wafer (wafer), such as a silicon wafer (silicon wafer), which is manufactured using different generations of integrated circuit process technologies, such as 1 micron, 0.8 micron, 0.6 micron, 0.5 micron, 0.35 micron, 0.25 microns, 0.18 microns, 0.25 microns, 0.13 microns, 90 nanometers (nm), 65 nanometers, 45 nanometers, 35 nanometers, and 25 nanometers technologies, and the generations of these integrated circuit process technologies are based on the gate length of the metal oxide semiconductor transistor 14 (gate length) or effective channel length (channel length) to define.

晶圆的尺寸大小比如是5时、6时、8时、12时或18时等。基底10是使用微影制程来制作,此微影制程包含涂布(coating)、曝光(exposing)以及显影(developing)光阻。用在制作基底10的光阻,其厚度是介于0.1微米至0.4微米之间,并以五倍(5X)步进曝光机(stepper)或扫描机(scanner)曝光此光阻。其中,步进曝光机的倍数是指当光束从一光罩(通常是以石英构成)投影至晶圆上时,光罩上的图形缩小于晶圆上的比例,而五倍(5X)即是指光罩上的图案比例是晶圆上的图案比例的五倍。使用在先进世代的集成电路制程技术上的扫描机,通常是以四倍(4X)尺寸比例缩小来改善分辨率。步进曝光机或扫描机所使用的光束波长是436纳米(g-line)、365纳米(i-line)、248纳米(深紫外光,DUV)、193纳米(DUV)、157纳米(DUV)或13.5纳米(极短紫外光,EUV)。另,高索引侵润式(high-indeximmersion)微影技术也可用以完成晶圆上的细线路层24。The size of the wafer is, for example, 5 o'clock, 6 o'clock, 8 o'clock, 12 o'clock, or 18 o'clock. The substrate 10 is fabricated using a lithography process including coating, exposing and developing photoresist. The thickness of the photoresist used to fabricate the substrate 10 is between 0.1 μm and 0.4 μm, and the photoresist is exposed by a 5X stepper or scanner. Among them, the multiple of the stepper means that when the light beam is projected from a mask (usually made of quartz) onto the wafer, the figure on the mask is reduced to the ratio of the wafer, and five times (5X) is It means that the proportion of the pattern on the mask is five times that of the pattern on the wafer. Scanners used in the advanced generation of integrated circuit process technology are usually scaled down by four times (4X) to improve the resolution. The beam wavelengths used by steppers or scanners are 436 nm (g-line), 365 nm (i-line), 248 nm (deep ultraviolet, DUV), 193 nm (DUV), 157 nm (DUV) Or 13.5 nm (extremely short ultraviolet, EUV). In addition, high-index immersion (high-index immersion) lithography technology can also be used to complete the thin circuit layer 24 on the wafer.

此外,晶圆是在具有等级10(class10)或更佳(例如等级1)的无尘室(clean room)中制作。等级10的无尘室允许每立方英呎的最大灰尘粒子数目是:含有大于或等于1微米的灰尘粒子不超过1颗、含有大于或等于0.5微米的灰尘粒子不超过10颗、含有大于或等于0.3微米的灰尘粒子不超过30颗、含有大于或等于0.2微米的灰尘粒子不超过75颗、含有大于或等于0.1微米的灰尘粒子不超过350颗,而等级1的无尘室则允许每立方英呎的最大灰尘粒子数目是:含有大于或等于0.5微米的灰尘粒子不超过1颗、含有大于或等于0.3微米的灰尘粒子不超过3颗、含有大于或等于0.2微米的灰尘粒子不超过7颗、含有大于或等于0.1微米的灰尘粒子不超过35颗。In addition, the wafers are fabricated in a clean room with class 10 or better (eg, class 1). The maximum number of dust particles per cubic foot allowed in a class 10 clean room is: no more than 1 dust particle greater than or equal to 1 micron, no more than 10 dust particles greater than or equal to 0.5 micron, no more than 10 dust particles greater than or equal to No more than 30 dust particles of 0.3 microns, no more than 75 dust particles greater than or equal to 0.2 microns, no more than 350 dust particles greater than or equal to 0.1 microns, and a clean room of class 1 allows per cubic foot The maximum number of dust particles per foot is: no more than 1 dust particle greater than or equal to 0.5 micron, no more than 3 dust particles greater than or equal to 0.3 micron, no more than 7 dust particles greater than or equal to 0.2 micron, Contain no more than 35 dust particles greater than or equal to 0.1 micron.

其中当使用铜作为细线路层24时,则需要使用一金属顶层(metal cap)(图中未示)来保护保护层34开口36所暴露出铜质的接垫32,使此接垫32免于受到氧化而侵蚀损坏,并可作为后续芯片的打线接合。此金属顶层包括一铝(aluminum)层、一金(gold)层、一钛(Ti)层、一钛钨合金层、一钽(Ta)层、一氮化钽(TaN)层或一镍(Ni)层。其中,当金属顶层是一铝层时,则在铜接垫与金属顶层之间形成有一阻障层(barrier layer),而此阻障层包括钛、钛钨合金、氮化钛、钽、氮化钽、铬(Cr)或镍。Wherein when copper is used as the thin line layer 24, a metal cap (metal cap) (not shown) needs to be used to protect the copper pad 32 exposed by the opening 36 of the protective layer 34, so that the pad 32 is free from It is damaged by oxidation and corrosion, and can be used as a wire bond for subsequent chips. The metal top layer includes an aluminum (aluminum) layer, a gold (gold) layer, a titanium (Ti) layer, a titanium-tungsten alloy layer, a tantalum (Ta) layer, a tantalum nitride (TaN) layer or a nickel ( Ni) layer. Wherein, when the metal top layer is an aluminum layer, a barrier layer (barrier layer) is formed between the copper pad and the metal top layer, and the barrier layer includes titanium, titanium-tungsten alloy, titanium nitride, tantalum, nitrogen tantalum, chromium (Cr) or nickel.

上述为本发明半导体基底10、细联机结构22与保护层34的解说,以下解说本发明数种不同类型的实施例,本发明的实施例是制造一保护层上的结构(over-passivationscheme)与制程,在本发明中保护层上的结构包括有堆栈式的封装、聚合物凸块的贴带自动接合(tape automated bonded,TAB)、COG(chip on glass)、卷带式晶粒接合(Tape Carrier Package,TCP)、COF(chip on film)的封装方式,以及利用聚合物凸块以覆晶(Flip Chip,FC)技术接合至另一外界基板上,以下分别解说各个实施例的结构与制程。The above is the explanation of the semiconductor substrate 10, the fine interconnection structure 22 and the protective layer 34 of the present invention. Several different types of embodiments of the present invention are explained below. The embodiment of the present invention is to manufacture an over-passivations scheme and Manufacturing process, in the present invention, the structure on the protective layer includes stacked packaging, tape automated bonded (TAB), COG (chip on glass), tape-and-reel die bonding (Tape Carrier Package, TCP), COF (chip on film) packaging methods, and the use of polymer bumps to bond to another external substrate with Flip Chip (FC) technology, the structure and manufacturing process of each embodiment are explained below .

另外以下所解说的实施例有许多部分的材质与制程相同,因此以下各实施例与实施例中的相同组件的材质与制程就不加以重复说明,例如以下的实施例中的接垫32是铝材质的接垫作为说明,但是接垫32的材质也可以是铜,差别在于当接垫32的材质包括有铜金属时,须使用一金属顶层(例如铝层)来保护层34开口36所暴露出的含有铜金属的接垫32,让含有铜金属的接垫32免于受到氧化而侵蚀损坏。而当金属顶层为一铝层时,在接垫32与铝层之间形成有一阻障层(barrierlayer),此阻障层包括钛、钛钨合金、氮化钛、钽、氮化钽、铬(Cr)或镍。底下内容是以没有金属顶层的情况进行说明,然熟习所述的技术者当可凭借下列实施例的说明,以加入金属顶层的方式据以实施。In addition, many parts of the embodiments explained below have the same materials and processes, so the materials and processes of the same components in the following embodiments will not be repeated. For example, the pads 32 in the following embodiments are made of aluminum. The material of the pad is used as an illustration, but the material of the pad 32 can also be copper. The difference is that when the material of the pad 32 includes copper metal, a metal top layer (such as an aluminum layer) must be used to protect the exposed layer 34 through the opening 36. The contact pads 32 containing copper metal are exposed, so that the contact pads 32 containing copper metal are prevented from being oxidized and corroded. And when the metal top layer is an aluminum layer, a barrier layer (barrier layer) is formed between the pad 32 and the aluminum layer, and the barrier layer includes titanium, titanium-tungsten alloy, titanium nitride, tantalum, tantalum nitride, chromium (Cr) or nickel. The following content is described without a metal top layer, but those skilled in the art can rely on the description of the following embodiments to implement by adding a metal top layer.

第一实施例的第1实施方式:The first implementation of the first embodiment:

首先请参阅图2a所示,形成一黏着阻障层(adhesion/barrier layer)38在整个基底10上方的保护层34与接垫32上,在本发明中此基底10是指硅晶圆(siliconwafer),而此黏着阻障层38的材质可以是钛、钨、钴、镍、氮化钛、钛钨合金、钒、铬、铜、铬铜合金、钽、氮化钽、上述材质所形成的合金或是由上述材质所组成的复合层。另,黏着/阻障层38可以利用电镀(electroplating)、无电电镀(electroless plating)、化学气相沉积或物理气相沉积(例如溅镀)的方式形成,其中又以物理气相沉积为较佳的形成方式,例如金属溅镀制程。另外此黏着/阻障层38的厚度是介于0.02微米至0.8微米之间,并以介于0.05微米至0.2微米之间的厚度为较佳。First, please refer to FIG. 2a, forming an adhesion barrier layer (adhesion/barrier layer) 38 on the protective layer 34 and the pads 32 above the entire substrate 10. In the present invention, the substrate 10 refers to a silicon wafer (silicon wafer) ), and the material of the adhesion barrier layer 38 can be formed of titanium, tungsten, cobalt, nickel, titanium nitride, titanium-tungsten alloy, vanadium, chromium, copper, chrome-copper alloy, tantalum, tantalum nitride, the above-mentioned materials alloy or a composite layer composed of the above materials. In addition, the adhesion/barrier layer 38 can be formed by means of electroplating, electroless plating, chemical vapor deposition or physical vapor deposition (such as sputtering), among which physical vapor deposition is preferably formed methods, such as metal sputtering process. In addition, the thickness of the adhesion/barrier layer 38 is between 0.02 micron and 0.8 micron, and preferably between 0.05 micron and 0.2 micron.

请参阅图2b所示,接着形成厚度介于0.005微米至2微米之间(较佳厚度是介于0.1微米至0.7微米之间)的一种子层(seed layer)40在黏着/阻障层38上,而形成种子层40的方式比如是溅镀、蒸镀、物理气相沉积、电镀或者是无电电镀(electroless plating)的方式。此种子层40有利于后续金属线路的设置,因此种子层40的材质会随后续金属线路的材质而有所变化。例如,当种子层40上电镀形成铜材质的金属层时,种子层40的材质是以铜为佳;当种子层40上电镀形成金材质的金属层时,种子层40的材质是以金为佳;当种子层40上电镀形成钯材质的金属层时,种子层40的材质是以钯为佳;当种子层40上电镀形成铂材质的金属层时,种子层40的材质是以铂为佳;当种子层40上电镀形成铑材质的金属层时,种子层40的材质是以铑为佳;当种子层40上电镀形成钌材质的金属层时,种子层40的材质以钌为佳;当种子层40上电镀形成铼材质的金属层时,种子层40的材质是以铼为佳;当种子层40上电镀形成镍材质的金属层时,种子层40的材质是以镍为佳。Please refer to FIG. 2b, and then form a seed layer (seed layer) 40 with a thickness between 0.005 micron and 2 microns (preferably between 0.1 micron and 0.7 micron) on the adhesion/barrier layer 38 On the other hand, the method of forming the seed layer 40 is, for example, sputtering, evaporation, physical vapor deposition, electroplating or electroless plating. The seed layer 40 is beneficial to the setting of subsequent metal circuits, so the material of the seed layer 40 will vary with the material of the subsequent metal circuits. For example, when the metal layer of copper material is formed by electroplating on the seed layer 40, the material of the seed layer 40 is copper; when the metal layer of gold material is formed by electroplating on the seed layer 40, the material of the seed layer 40 is gold. When the metal layer of palladium material is formed by electroplating on the seed layer 40, the material of the seed layer 40 is preferably palladium; when the metal layer of platinum material is formed by electroplating on the seed layer 40, the material of the seed layer 40 is based on platinum. Good; when the metal layer of rhodium material is formed by electroplating on the seed layer 40, the material of the seed layer 40 is preferably rhodium; when the metal layer of the ruthenium material is formed by electroplating on the seed layer 40, the material of the seed layer 40 is preferably ruthenium When the metal layer of rhenium material is formed by electroplating on the seed layer 40, the material of the seed layer 40 is preferably rhenium; when the metal layer of nickel material is formed by electroplating on the seed layer 40, the material of the seed layer 40 is preferably nickel .

请参阅图2c所示,形成一光阻层42在种子层40上,并通过曝光(exposure)与显影(development)制程图案化此光阻层42,以形成光阻层开口42a在光阻层42内并暴露出位于接垫32上方的种子层40,而在形成光阻层开口42a的过程中比如是以一倍(1X)的曝光机(steppers)或扫描机(scanners)进行曝光显影。Referring to FIG. 2c, a photoresist layer 42 is formed on the seed layer 40, and the photoresist layer 42 is patterned by exposure and development processes to form a photoresist layer opening 42a on the photoresist layer. 42 and expose the seed layer 40 located above the pads 32 , and in the process of forming the photoresist layer opening 42 a, for example, exposure and development are performed with double (1X) exposure machines (steppers) or scanners (scanners).

其中此光阻层42有两种型式,其是:(1)湿膜光阻(liquid Photo resist),其是利用单一或多重的旋转涂布方式或者是印刷(printing)方式形成。此湿膜光阻的厚度是介于3微米至60微米之间,而以介于5微米至40微米之间为较佳者;以及(2)干膜光阻(dry film Photo resist),其是利用贴合方式(laminating method)形成。此干膜光阻的厚度是介于30微米至300微米之间,而以介于50微米至150微米之间为较佳。另外,光阻可以是正型(positive-type)或负型(negative-type),而在获得更好分辨率上,则以正型厚光阻(positive-type thick Photo resist)为较佳。利用一对准机(aligner)或一倍(1X)步进曝光机曝光此光阻。此一倍(1X)是指当光束从一光罩(通常是以石英或玻璃构成)投影至晶圆上时,光罩上的图形缩小于晶圆上的比例,且在光罩上的图案比例是与在晶圆上的图案比例相同。对准机或一倍步进曝光机所使用的光束波长是436纳米(g-line)、397纳米(h-line)、365纳米(i-line)、g/h line(结合g-line与h-line)或g/h/i line(结合g-line、h-line与i-line)。使用光束波长为g/h line或g/h/i line的一倍步进曝光机(或一倍对准机)可在厚光阻或厚感旋旋光性聚合物(photo senstive polymer)的曝光上,提供较大的光强度(light intensity);此外,此图案化光阻层42的开口42a的形状也可包括线圈形状、方形、圆形、多边形或不规则形状。The photoresist layer 42 has two types, which are: (1) wet film photoresist (liquid photoresist), which is formed by single or multiple spin coating or printing. The thickness of the wet film photoresist is between 3 microns and 60 microns, preferably between 5 microns and 40 microns; and (2) dry film photoresist (dry film photo resist), which It is formed by laminating method. The thickness of the dry film photoresist is between 30 microns and 300 microns, preferably between 50 microns and 150 microns. In addition, the photoresist can be positive-type or negative-type, and positive-type thick photoresist is better for better resolution. Expose the photoresist using an aligner or a one-fold (1X) stepper. This double (1X) means that when the beam is projected from a mask (usually made of quartz or glass) onto the wafer, the pattern on the mask is reduced to the ratio of the wafer, and the pattern on the mask The scale is the same as the pattern scale on the wafer. The beam wavelengths used by the aligner or double stepper are 436 nm (g-line), 397 nm (h-line), 365 nm (i-line), g/h line (combined with g-line and h-line) or g/h/i line (combined g-line, h-line and i-line). Using a double stepper (or double aligner) with a beam wavelength of g/h line or g/h/i line can be used for exposure of thick photoresist or thick photo sensitive polymer. In addition, the shape of the opening 42a of the patterned photoresist layer 42 may also include coil shape, square, circle, polygon or irregular shape.

请参阅图2d与图2e所示,以电镀方式形成一金属层44在开口42a内的种子层40上,金属层44比如是金、铜、银、钯、铂、铑、钌、铼或镍的单层金属层结构或是复合式金属层结构,此金属层44的厚度介于1微米至20微米,较佳的厚度可介于1.5微米至15微米之间,而复合式金属层结构的组合包括铜/镍/金、铜/金、铜/镍/钯与铜/镍/铂等组合,在此实施例中此金属层44是单层,而金属层44的材质是金。此金属层44表面上定义二个区域,此二区域分别为打线接垫44a与打线接垫44b,此打线接垫44a、44b在后续制程中可提供打线的用途,此打线接垫44a、44b从俯视透视图(图2e)观之,打线接垫44a、44b位置是不同于接垫32的位置,其中打线接垫44a或打线接垫44b下方的基底10上可以设有至少一主动组件,此主动组件包括二极管、晶体管等,主动组件已在上述组件层12中已有详尽介绍,在此就不加以重复论述,另外打线接垫44a、44b其中之一的位置可位于接垫32上方的位置,如图2f所示,打线接垫44a、44b位置可随着使用者需求不同时而有所变化。2d and 2e, a metal layer 44 is formed on the seed layer 40 in the opening 42a by electroplating. The metal layer 44 is, for example, gold, copper, silver, palladium, platinum, rhodium, ruthenium, rhenium or nickel. A single-layer metal layer structure or a composite metal layer structure, the thickness of the metal layer 44 is between 1 micron and 20 microns, preferably between 1.5 microns and 15 microns, and the composite metal layer structure Combinations include copper/nickel/gold, copper/gold, copper/nickel/palladium, copper/nickel/platinum and other combinations. In this embodiment, the metal layer 44 is a single layer, and the material of the metal layer 44 is gold. Two areas are defined on the surface of the metal layer 44, and these two areas are respectively a wire bonding pad 44a and a wire bonding pad 44b. The wire bonding pads 44a and 44b can provide wire bonding in subsequent manufacturing processes. The pads 44a, 44b are viewed from a top perspective view (FIG. 2e), and the positions of the bonding pads 44a, 44b are different from those of the pads 32, wherein the bonding pads 44a or the substrate 10 below the bonding pads 44b At least one active component may be provided, and the active component includes a diode, a transistor, etc. The active component has been described in detail in the above-mentioned component layer 12, so it will not be repeated here. In addition, one of the bonding pads 44a, 44b The positions of the bonding pads 44a and 44b can be changed according to different needs of users, as shown in FIG. 2f.

请参阅图2g所示,去除图案化光阻层42,其中去除图案化光阻层42可使用有机溶剂方式去除,例如丙酮、醇类等,另外也可使用无机溶剂方式去除,例如硫酸与双氧水(H2SO4、H2O2)等,再者此图案化光阻层42也可用高压氧气(O2)烧化方式去除。Please refer to FIG. 2g to remove the patterned photoresist layer 42, wherein the patterned photoresist layer 42 can be removed by organic solvents, such as acetone, alcohols, etc., or by inorganic solvents, such as sulfuric acid and hydrogen peroxide. (H2SO4, H2O2), etc., and the patterned photoresist layer 42 can also be removed by burning with high pressure oxygen (O2).

请参阅图2h所示,去除未在金属层44下方的种子层40、黏着阻障层38,其中若种子层44的材质是金时,则可利用含有碘的蚀刻液去除,而去除黏着阻障层38的方式分为干式蚀刻与湿式蚀刻,其中干式蚀刻使用高压氩气进行溅击蚀刻,而湿式蚀刻在黏着阻障层38为钛钨合金时,则可使用双氧水进行去除。2h, the seed layer 40 and the adhesion barrier layer 38 that are not below the metal layer 44 are removed. If the material of the seed layer 44 is gold, it can be removed by using an etching solution containing iodine to remove the adhesion barrier. The method of the barrier layer 38 is divided into dry etching and wet etching. The dry etching uses high-pressure argon gas for sputter etching, while the wet etching can use hydrogen peroxide to remove the adhesive barrier layer 38 when it is a titanium-tungsten alloy.

请参阅图2i所示,接着形成一聚合物层46位于保护层34与金属层44上,并通过曝光(exposure)、显影(development)制程与蚀刻制程图案化此聚合物层46,使此聚合物层46形成数个开口46a,此开口46a暴露出金属层44上的打线接垫44a、44b,接着进行加热硬化,使此聚合物层46硬化,此硬化过程的温度是介于150度(℃)至300度(℃)之间,且此聚合物层46的材质可选自聚酰亚胺(polyimide,PI)、苯基环丁烯(benzocyclobutene,BCB)、聚对二甲苯(parylene)、环氧基材料(epoxy-based material)其中之一,例如环氧树脂或是由位于瑞士的Renens的SotecMicrosystems所提供的photoepoxySU-8、弹性材料(elastomer),例如硅酮(silicone)。其中此聚合物层46是感旋旋光性材质时,可以仅利用微影制程(无须蚀刻制程)来图案化此聚合物层46。Referring to FIG. 2i, a polymer layer 46 is then formed on the protection layer 34 and the metal layer 44, and the polymer layer 46 is patterned by exposure, development and etching processes to polymerize the polymer layer. The material layer 46 forms several openings 46a, and the openings 46a expose the bonding pads 44a, 44b on the metal layer 44, and then heat and harden to harden the polymer layer 46. The temperature of this hardening process is between 150 degrees. (°C) to 300°C (°C), and the material of the polymer layer 46 can be selected from polyimide (polyimide, PI), phenylcyclobutene (benzocyclobutene, BCB), parylene (parylene ), epoxy-based material (epoxy-based material), such as epoxy resin or photoepoxySU-8 provided by Sotec Microsystems in Renens, Switzerland, elastic material (elastomer), such as silicone (silicone). Wherein, when the polymer layer 46 is an optically sensitive material, the polymer layer 46 can be patterned only by lithography process (no etching process is required).

请参阅图2j所示,图2j的只有打线接垫44a、44b与图2i不同,图2j再次说明打线接垫44a、44b的位置可依使用者或产品设计需求而有所改变。Please refer to FIG. 2j. Only the bonding pads 44a and 44b in FIG. 2j are different from FIG. 2i. FIG. 2j again illustrates that the positions of the bonding pads 44a and 44b can be changed according to user or product design requirements.

请参阅图2k所示,将基底10进行切割步骤,产生数个半导体芯片(chip)48。Referring to FIG. 2 k , the substrate 10 is subjected to a dicing step to produce a plurality of semiconductor chips (chips) 48 .

至此完成半导体芯片48的制作解说,底下以集成电路49将代表图2a至图2i中保护层34下方的各种结构。也即以集成电路22包括第基底10、组件层12、金氧半晶体管14、源极16、汲极18、闸极20、细线路结构22、细线路介电层26、导电栓塞30等。So far, the fabrication of the semiconductor chip 48 is completed, and the integrated circuit 49 below represents various structures under the protection layer 34 in FIGS. 2 a to 2 i. That is, the integrated circuit 22 includes the substrate 10 , the device layer 12 , the metal oxide semiconductor transistor 14 , the source 16 , the drain 18 , the gate 20 , the fine line structure 22 , the thin line dielectric layer 26 , and the conductive plug 30 .

请参阅图2l与图2m所示,这些半导体芯片48包括一第一半导体芯片48a与一第二半导体芯片48b;其中第一半导体芯片48a与第二半导体芯片48b可能来自在相同基底10或不同基底10,或是第一半导体芯片48a与第二半导体芯片48b在结构设计可能相同或不同;再利用一黏着剂50(例如环氧树脂)将第一半导体芯片48a黏着在一第一外界电路52上,此第一外界电路52包括印刷电路板、金属基板、玻璃基板、软性基板、陶瓷基板与硅基板其中之一,在此实施例第一外界电路52是印刷电路板,此第一外界电路52具有数个连接接垫52a。2l and 2m, these semiconductor chips 48 include a first semiconductor chip 48a and a second semiconductor chip 48b; wherein the first semiconductor chip 48a and the second semiconductor chip 48b may come from the same substrate 10 or different substrates 10, or the structural design of the first semiconductor chip 48a and the second semiconductor chip 48b may be the same or different; then use an adhesive 50 (such as epoxy resin) to adhere the first semiconductor chip 48a to a first external circuit 52 , the first external circuit 52 includes one of a printed circuit board, a metal substrate, a glass substrate, a flexible substrate, a ceramic substrate, and a silicon substrate. In this embodiment, the first external circuit 52 is a printed circuit board, and the first external circuit 52 has several connection pads 52a.

同样利用黏着剂50将第二半导体芯片48b下表面黏着叠设在第一半导体芯片48a上的聚合物层46上,其中第一半导体芯片48a至少有1%至10%的面积暴露,而第一半导体芯片48a暴露的面积包括第一半导体芯片48a的打线接垫44a与打线接垫44b。Also use the adhesive 50 to adhere and stack the lower surface of the second semiconductor chip 48b on the polymer layer 46 on the first semiconductor chip 48a, wherein at least 1% to 10% of the area of the first semiconductor chip 48a is exposed, and the first semiconductor chip 48b is exposed. The exposed area of the semiconductor chip 48a includes the bonding pad 44a and the bonding pad 44b of the first semiconductor chip 48a.

请参阅图2n所示,同样利用黏着剂50将一第二外界电路54下表面黏着叠设在第二半导体芯片48b的聚合物层46上,此第二外界电路54可选自印刷电路板、金属基板、玻璃基板、软性基板、陶瓷基板与硅基板其中之一,在此实施例中此第二外界电路54是硅芯片,此第二外界电路54具有数个连接接垫54a。2n, the lower surface of a second external circuit 54 is also adhered and stacked on the polymer layer 46 of the second semiconductor chip 48b by using an adhesive 50. This second external circuit 54 can be selected from a printed circuit board, One of a metal substrate, a glass substrate, a flexible substrate, a ceramic substrate, and a silicon substrate. In this embodiment, the second external circuit 54 is a silicon chip, and the second external circuit 54 has a plurality of connection pads 54a.

请参阅图2o所示,利用打线制程形成数个导线56在第一半导体芯片48a的打线接垫44a与打线接垫44b上、第二半导体芯片48b的打线接垫44a与打线接垫44b上、第一外界电路52的连接接垫52a上、第二外界电路54的连接接垫54a上,使第一半导体芯片48a的打线接垫44a与第一外界电路52的连接接垫52a相互连接,使第一半导体芯片48a的打线接垫44b与第二半导体芯片48b的打线接垫44a相互连接,使第二半导体芯片48b的打线接垫44b与第二外界电路54的连接接垫54a相互连接,其中会有少许部分的第二半导体芯片48b的打线接垫44a、部分的第二外界电路54的连接接垫54a与部分的第一外界电路52的连接接垫52a相互连接(图中未示)。Please refer to shown in Fig. 2 o, utilize the bonding process to form several wires 56 on the bonding pad 44a and the bonding pad 44b of the first semiconductor chip 48a, the bonding pad 44a and the bonding pad of the second semiconductor chip 48b On the pad 44b, on the connection pad 52a of the first external circuit 52, and on the connection pad 54a of the second external circuit 54, the connection pad 44a of the first semiconductor chip 48a is connected to the first external circuit 52. The pads 52a are connected to each other, so that the bonding pad 44b of the first semiconductor chip 48a is connected to the bonding pad 44a of the second semiconductor chip 48b, and the bonding pad 44b of the second semiconductor chip 48b is connected to the second external circuit 54. The connection pads 54a of the second semiconductor chip 48b are connected to each other, wherein there will be a small part of the connection pads 44a of the second semiconductor chip 48b, part of the connection pads 54a of the second external circuit 54 and part of the connection pads of the first external circuit 52 52a are interconnected (not shown).

请参阅图2p所示,将完成打线制程的第一半导体芯片48a、第二半导体芯片48b、第一外界电路52与第二外界电路54进行封装制程,形成一聚合物保护层58包覆在第一半导体芯片48a、第二半导体芯片48b、第一外界电路52与第二外界电路54上,此聚合物保护层58的材质比如是环氧树脂。Please refer to FIG. 2p, the first semiconductor chip 48a, the second semiconductor chip 48b, the first external circuit 52 and the second external circuit 54 that have completed the wire bonding process are packaged to form a polymer protection layer 58 to cover the On the first semiconductor chip 48 a , the second semiconductor chip 48 b , the first external circuit 52 and the second external circuit 54 , the polymer protection layer 58 is made of, for example, epoxy resin.

第一实施例的第2实施方式:The second embodiment of the first embodiment:

此第2实施方式的结构与制作方法与第1实施方式的结构与制作方法相当类似,因此以下各实施例与实施例中的相同组件的材质与制程就不加以重复说明,其中以集成电路59将代表图3a至图3n中保护层34下方的各种结构。也即以集成电路22包括第基底10、组件层12、金氧半晶体管14、源极16、汲极18、闸极20、细线路结构22、细线路介电层26、导电栓塞30等。The structure and manufacturing method of the second embodiment are quite similar to those of the first embodiment, so the materials and manufacturing processes of the same components in the following embodiments will not be described repeatedly. Among them, the integrated circuit 59 Various structures below the protective layer 34 in Figures 3a to 3n will be represented. That is, the integrated circuit 22 includes the substrate 10 , the device layer 12 , the metal oxide semiconductor transistor 14 , the source 16 , the drain 18 , the gate 20 , the fine line structure 22 , the thin line dielectric layer 26 , and the conductive plug 30 .

请参阅图3a所示,形成一聚合物层60位于保护层34上,并通过曝光(exposure)、显影(development)制程与蚀刻制程图案化此聚合物层60,使此聚合物层60形成数个开口60a,此开口60a暴露出接垫32。3a, a polymer layer 60 is formed on the protective layer 34, and the polymer layer 60 is patterned by exposure, development and etching processes, so that the polymer layer 60 forms a number of layers. An opening 60a exposing the pad 32 through the opening 60a.

请参阅图3b所示,接着形成一黏着阻障层(adhesion/barrier layer)62在整个基底10上方的聚合物层60与接垫32上;再接着形成厚度介于0.005微米至2微米之间(较佳厚度是介于0.1微米至0.7微米之间)的一种子层(seed layer)64在整个黏着/阻障层62上。Please refer to FIG. 3b, and then form an adhesion barrier layer (adhesion/barrier layer) 62 on the polymer layer 60 and the pad 32 above the entire substrate 10; then form a thickness between 0.005 microns and 2 microns A seed layer 64 (preferably between 0.1 μm and 0.7 μm thick) is over the entire adhesion/barrier layer 62 .

请参阅图3c所示,形成一光阻层66在种子层64上,并通过曝光(exposure)与显影(development)制程图案化此光阻层66,以形成光阻层开口66a在光阻层66内并暴露出位于接垫32上方的种子层64,而在形成光阻层开口66a的过程中比如是以一倍(1X)的曝光机(steppers)或扫描机(scanners)进行曝光显影。Referring to FIG. 3c, a photoresist layer 66 is formed on the seed layer 64, and the photoresist layer 66 is patterned by exposure and development processes to form photoresist layer openings 66a on the photoresist layer. 66 and expose the seed layer 64 above the pads 32 , and in the process of forming the photoresist layer opening 66 a, for example, exposure and development are carried out with double (1X) exposure machines (steppers) or scanners (scanners).

请参阅图3d与图3e所示,以电镀方式形成一金属层68在开口66a内与种子层64上,金属层68比如是金、铜、银、钯、铂、铑、钌、铼或镍的单层金属层结构或是复合式金属层结构,此金属层68的厚度介于1微米至20微米,较佳的厚度可介于1.5微米至15微米之间,而复合式金属层结构的组合包括铜/镍/金、铜/金、铜/镍/钯与铜/镍/铂等组合,在此实施例中此金属层68是单层,而金属层68的材质是金。此金属层68表面上定义二个区域,此二区域分别为打线接垫68a与打线接垫68b,此打线接垫68a、68b在后续制程中可提供打线的用途,此打线接垫68a、68b从俯视透视图观之,打线接垫68a、68b位置是不同于接垫32的位置,其中打线接垫68a或打线接垫68b下方的基底10上可以设有至少一主动组件,此主动组件在上述组件层12中已有详尽介绍,在此就不加以重复论述。3d and 3e, a metal layer 68 is formed in the opening 66a and on the seed layer 64 by electroplating. The metal layer 68 is, for example, gold, copper, silver, palladium, platinum, rhodium, ruthenium, rhenium or nickel. A single-layer metal layer structure or a composite metal layer structure, the thickness of the metal layer 68 is between 1 micron and 20 microns, preferably between 1.5 microns and 15 microns, and the composite metal layer structure Combinations include copper/nickel/gold, copper/gold, copper/nickel/palladium and copper/nickel/platinum combinations. In this embodiment, the metal layer 68 is a single layer, and the material of the metal layer 68 is gold. Two areas are defined on the surface of the metal layer 68, and these two areas are respectively a wire bonding pad 68a and a wire bonding pad 68b. The wire bonding pads 68a and 68b can provide wire bonding in subsequent manufacturing processes. The pads 68a, 68b are viewed from a top perspective view, and the positions of the bonding pads 68a, 68b are different from the positions of the pads 32, wherein the bonding pads 68a or the substrate 10 below the bonding pads 68b can be provided with at least An active component. This active component has been introduced in detail in the component layer 12 above, and will not be repeated here.

请参阅图3f所示,去除图案化光阻层66与去除未在金属层68下方的种子层64、黏着阻障层62。Referring to FIG. 3 f , the patterned photoresist layer 66 and the seed layer 64 and the adhesion barrier layer 62 not under the metal layer 68 are removed.

请参阅图3g所示,接着形成一聚合物层70位于聚合物层60与金属层68上,并通过曝光(exposure)、显影(development)制程与蚀刻制程图案化此聚合物层70,使此聚合物层70形成数个开口70a,此开口70a暴露出金属层68上的打线接垫68a、68b,接着进行加热硬化,使此聚合物层70硬化。Referring to FIG. 3g, a polymer layer 70 is then formed on the polymer layer 60 and the metal layer 68, and the polymer layer 70 is patterned by exposure, development and etching processes to make the polymer layer 70 Several openings 70 a are formed in the polymer layer 70 , and the openings 70 a expose the bonding pads 68 a , 68 b on the metal layer 68 , followed by heating and hardening to harden the polymer layer 70 .

请参阅图3h所示,将基底10进行切割步骤,产生数个半导体芯片(chip)72。Referring to FIG. 3 h , the substrate 10 is subjected to a dicing step to produce a plurality of semiconductor chips (chips) 72 .

请参阅图3i与图3j所示,这些半导体芯片72包括一第一半导体芯片72a与一第二半导体芯片72b;其中第一半导体芯片72a与第二半导体芯片72b可能来自在相同基底10或不同基底10,或是第一半导体芯片72a与第二半导体芯片72b在结构设计可能相同或不同;再利用一黏着剂74(例如环氧树脂)将第一半导体芯片72a黏着在一第一外界电路76上,此第一外界电路76具有数个连接接垫76a。3i and 3j, these semiconductor chips 72 include a first semiconductor chip 72a and a second semiconductor chip 72b; wherein the first semiconductor chip 72a and the second semiconductor chip 72b may come from the same substrate 10 or different substrates 10, or the structural design of the first semiconductor chip 72a and the second semiconductor chip 72b may be the same or different; then use an adhesive 74 (such as epoxy resin) to adhere the first semiconductor chip 72a to a first external circuit 76 , the first external circuit 76 has several connecting pads 76a.

同样利用黏着剂74将第二半导体芯片72b下表面黏着叠设在第一半导体芯片72a上的聚合物层70上,其中第一半导体芯片72a至少有1%至10%的面积暴露,而第一半导体芯片72a暴露的面积包括第一半导体芯片72a的打线接垫68a与打线接垫68b。Also use the adhesive 74 to adhere and stack the lower surface of the second semiconductor chip 72b on the polymer layer 70 on the first semiconductor chip 72a, wherein at least 1% to 10% of the area of the first semiconductor chip 72a is exposed, and the first semiconductor chip 72a is exposed. The exposed area of the semiconductor chip 72a includes the bonding pad 68a and the bonding pad 68b of the first semiconductor chip 72a.

请参阅图3k所示,同样利用黏着剂74将一第二外界电路78下表面黏着叠设在第二半导体芯片72b的聚合物层70上,此第二外界电路78可选自印刷电路板、金属基板、玻璃基板、软性基板、陶瓷基板与硅基板其中之一,在此实施例中此第二外界电路78是硅芯片,此第二外界电路78具有数个连接接垫78a。Please refer to FIG. 3k, the lower surface of a second external circuit 78 is also adhered and stacked on the polymer layer 70 of the second semiconductor chip 72b by using an adhesive 74. This second external circuit 78 can be selected from a printed circuit board, One of a metal substrate, a glass substrate, a flexible substrate, a ceramic substrate, and a silicon substrate. In this embodiment, the second external circuit 78 is a silicon chip, and the second external circuit 78 has a plurality of connection pads 78a.

请参阅图3l所示,利用打线制程形成数个导线80在第一半导体芯片72a的打线接垫68a与打线接垫68b上、第二半导体芯片72b的打线接垫68a与打线接垫68b上、第一外界电路76的连接接垫76a上、第二外界电路78的连接接垫78a上,使第一半导体芯片72a的打线接垫68a与第一外界电路76的连接接垫76a相互连接,使第一半导体芯片72a的打线接垫68b与第二半导体芯片72b的打线接垫68a相互连接,使第二半导体芯片72b的打线接垫68b与第二外界电路78的连接接垫78a相互连接,其中会有少许部分的第二半导体芯片72b的打线接垫68a、部分的第二外界电路78的连接接垫78a与部分的第一外界电路76的连接接垫76a相互连接(图中未示)。See also shown in Fig. 3l, utilize the wire-bonding process to form several wires 80 on the wire-bonding pad 68a and the wire-bonding pad 68b of the first semiconductor chip 72a, on the wire-bonding pad 68a and the wire-bonding pad 68b of the second semiconductor chip 72b. On the pad 68b, on the connection pad 76a of the first external circuit 76, and on the connection pad 78a of the second external circuit 78, the connection pad 68a of the first semiconductor chip 72a is connected to the first external circuit 76. The pads 76a are connected to each other, so that the bonding pad 68b of the first semiconductor chip 72a is connected to the bonding pad 68a of the second semiconductor chip 72b, and the bonding pad 68b of the second semiconductor chip 72b is connected to the second external circuit 78. The connecting pads 78a of the second semiconductor chip 72b are connected to each other, wherein there will be a small part of the bonding pads 68a of the second semiconductor chip 72b, part of the connecting pads 78a of the second external circuit 78 and part of the connecting pads of the first external circuit 76 76a are interconnected (not shown).

请参阅图3m所示,将完成打线制程的第一半导体芯片72a、第二半导体芯片72b、第一外界电路76与第二外界电路78进行封装制程,形成一聚合物保护层80包覆在第一半导体芯片72a、第二半导体芯片72b、第一外界电路76与第二外界电路78上,此聚合物保护层80的材质比如是环氧树脂。Please refer to FIG. 3m, the first semiconductor chip 72a, the second semiconductor chip 72b, the first external circuit 76 and the second external circuit 78 that have completed the wire bonding process are packaged to form a polymer protective layer 80 to cover the On the first semiconductor chip 72 a , the second semiconductor chip 72 b , the first external circuit 76 and the second external circuit 78 , the polymer protective layer 80 is made of, for example, epoxy resin.

第一实施例的第3实施方式:The third implementation mode of the first embodiment:

此第3实施方式的结构与制作方法与第2实施方式的结构与制作方法相当类似,因此以下各实施例与实施例中的相同组件的材质与制程就不加以重复说明。The structure and manufacturing method of the third embodiment are quite similar to those of the second embodiment, so the materials and manufacturing processes of the same components in the following embodiments will not be described repeatedly.

第2实施方式的结构是由2片半导体芯片叠设在一第一外界电路板上,并由另一第二外界电路设置在上层半导体芯片上,经由数个导线使2半导体芯片、第一外界电路板与第二外界电路相互连接。第3实施方式的结构如图4a所示,图4a则是由四个半导体芯片82a、82b、82c、82d、第一外界电路板84与第二外界电路86所组成,其中形成四个半导体芯片82a、82b、82c、82d的制程与材质如同第2实施方式的制程与材质(如图3a至图3h所示),经由第2实施方式的制程所制成的半导体芯片82a具有打线接垫88a与打线接垫88b,半导体芯片82b具有打线接垫90a与打线接垫90b,半导体芯片82c具有打线接垫92a与打线接垫92b,半导体芯片82d具有打线接垫94a与打线接垫94b,而第一外界电路板84具有连接接垫84a,第二外界电路86也具有连接接垫86a。The structure of the second embodiment is that 2 semiconductor chips are stacked on a first external circuit board, and another second external circuit is arranged on the upper semiconductor chip, and the 2 semiconductor chips, the first external circuit are connected via several wires. The circuit board is connected to the second external circuit. The structure of the third embodiment is shown in Figure 4a, which is composed of four semiconductor chips 82a, 82b, 82c, 82d, a first external circuit board 84 and a second external circuit 86, wherein four semiconductor chips are formed The processes and materials of 82a, 82b, 82c, and 82d are the same as those of the second embodiment (as shown in Figures 3a to 3h), and the semiconductor chip 82a produced through the process of the second embodiment has a bonding pad 88a and bonding pad 88b, the semiconductor chip 82b has a bonding pad 90a and a bonding pad 90b, the semiconductor chip 82c has a bonding pad 92a and a bonding pad 92b, and the semiconductor chip 82d has a bonding pad 94a and a bonding pad 90b. The first external circuit board 84 has a connection pad 84a, and the second external circuit 86 also has a connection pad 86a.

在制程中也是先利用黏着剂将半导体芯片82a设置在第一外界电路板84,接着同样利用黏着剂再依序将半导体芯片82b叠设在半导体芯片82a上,半导体芯片82c叠设在半导体芯片82b上,半导体芯片82d叠设在半导体芯片82c上,第二外界电路86叠设在半导体芯片82d上,其中半导体芯片82a、半导体芯片82b与半导体芯片82c至少有1%至10%的面积暴露,而半导体芯片82d则至少有1%~70%的面积暴露,而半导体芯片82a、半导体芯片82b、半导体芯片82c与半导体芯片82d所暴露的表面也同时使打线接垫88a、88b、打线接垫90a、90b、打线接垫92a、92b与打线接垫94a、94b暴露。In the manufacturing process, the semiconductor chip 82a is first placed on the first external circuit board 84 by using an adhesive, and then the semiconductor chip 82b is stacked on the semiconductor chip 82a by using the same adhesive, and the semiconductor chip 82c is stacked on the semiconductor chip 82b. Above, the semiconductor chip 82d is stacked on the semiconductor chip 82c, and the second external circuit 86 is stacked on the semiconductor chip 82d, wherein at least 1% to 10% of the area of the semiconductor chip 82a, the semiconductor chip 82b and the semiconductor chip 82c are exposed, and The semiconductor chip 82d has at least 1% to 70% of the exposed area, and the exposed surfaces of the semiconductor chip 82a, the semiconductor chip 82b, the semiconductor chip 82c and the semiconductor chip 82d also make the bonding pads 88a, 88b, and the bonding pads 90a, 90b, bonding pads 92a, 92b and bonding pads 94a, 94b are exposed.

请参阅图4b所示,利用打线制程形成数个导线96在半导体芯片82a的打线接垫88a与打线接垫88b上、半导体芯片82b的打线接垫90a与打线接垫90b上、半导体芯片82c的打线接垫92a与打线接垫92b上、半导体芯片82d的打线接垫94a与打线接垫94b上、第一外界电路板84的连接接垫84a与第二外界电路86的连接接垫86a上,使半导体芯片82a的打线接垫88a与第一外界电路84的连接接垫84a相互连接,使半导体芯片82a的打线接垫88b与半导体芯片82b的打线接垫90a相互连接,使半导体芯片82b的打线接垫90b与半导体芯片82c的打线接垫92a相互连接,使半导体芯片82c的打线接垫92b与半导体芯片82d的打线接垫94a相互连接,使半导体芯片82d的打线接垫94b与第二外界电路86的连接接垫86a相互连接。Please refer to FIG. 4 b , using a wire bonding process to form several wires 96 on the wire bonding pads 88a and 88b of the semiconductor chip 82a, and on the wire bonding pads 90a and 90b of the semiconductor chip 82b. , on the bonding pad 92a and the bonding pad 92b of the semiconductor chip 82c, on the bonding pad 94a and the bonding pad 94b of the semiconductor chip 82d, on the connecting pad 84a of the first external circuit board 84 and the second external On the connecting pad 86a of the circuit 86, the bonding pad 88a of the semiconductor chip 82a is connected to the connecting pad 84a of the first external circuit 84, so that the bonding pad 88b of the semiconductor chip 82a is connected to the bonding pad of the semiconductor chip 82b. The bonding pads 90a are connected to each other, so that the bonding pads 90b of the semiconductor chip 82b are connected to the bonding pads 92a of the semiconductor chip 82c, and the bonding pads 92b of the semiconductor chip 82c are connected to the bonding pads 94a of the semiconductor chip 82d. connection, so that the bonding pad 94b of the semiconductor chip 82d and the connection pad 86a of the second external circuit 86 are connected to each other.

其中部分第二外界电路86的连接接垫86a与第一外界电路板84的连接接垫84a相互连接,部分的半导体芯片82b的打线接垫88a、部分的半导体芯片82c的打线接垫90a与部分的半导体芯片82d的打线接垫90a与第一外界电路板84的连接接垫84a相互连接(图中未示)。Wherein part of the connection pad 86a of the second external circuit 86 is connected to the connection pad 84a of the first external circuit board 84, part of the bonding pad 88a of the semiconductor chip 82b, and part of the bonding pad 90a of the semiconductor chip 82c The bonding pads 90a of a part of the semiconductor chip 82d are connected to the connecting pads 84a of the first external circuit board 84 (not shown in the figure).

请参阅图4c所示,将完成打线制程的半导体芯片82a、82b、82c、82d、第一外界电路板84与第二外界电路86进行封装制程,形成一聚合物保护层97包覆在半导体芯片82a、82b、82c、82d、第一外界电路板84与第二外界电路86上,此聚合物保护层97的材质比如是环氧树脂。Please refer to FIG. 4c, the semiconductor chips 82a, 82b, 82c, 82d, the first external circuit board 84, and the second external circuit 86 that have completed the wire bonding process are subjected to the packaging process to form a polymer protection layer 97 coated on the semiconductor. On the chips 82a, 82b, 82c, 82d, the first external circuit board 84 and the second external circuit 86, the polymer protection layer 97 is made of epoxy resin, for example.

第二实施例的第1实施方式:The first implementation mode of the second embodiment:

此实施例的结构中基底10、组件层12、金氧半晶体管14、源极16、汲极18、闸极20、细线路结构22、细线路介电层26、导电栓塞30等以集成电路100代替,且集成电路100中的各结构与制程在上述实施已完整说明,因此实施例中的集成电路100中的各结构与制程就不加以重复说明。In the structure of this embodiment, the substrate 10, the component layer 12, the metal oxide semiconductor transistor 14, the source 16, the drain 18, the gate 20, the thin line structure 22, the thin line dielectric layer 26, the conductive plug 30, etc. are integrated circuits 100, and the structures and processes of the integrated circuit 100 have been fully described in the above implementation, so the structures and processes of the integrated circuit 100 in the embodiment will not be described repeatedly.

请参阅图5a所示,形成一聚合物层112在整个集成电路100上的保护层34与接垫32上。Referring to FIG. 5 a , a polymer layer 112 is formed on the protection layer 34 and the pads 32 on the entire integrated circuit 100 .

请参阅图5b所示,并通过曝光(exposure)、显影(development)制程与蚀刻制程图案化此聚合物层112,使此聚合物层112形成数个开口112a与数个聚合物凸块(polymer bump)114(图标中仅显示出1个),开口112a暴露出保护层34与接垫32,接着进行加热硬化,使此聚合物凸块114硬化,此硬化过程的温度是介于150度(℃)至300度(℃)之间,且此聚合物凸块114的材质可选自聚酰亚胺(polyimide,PI)、苯基环丁烯(benzocyclobutene,BCB)、聚对二甲苯(parylene)、环氧基材料(epoxy-based material)其中之一,例如环氧树脂或是由位于瑞士的Renens的SotecMicrosystems所提供的photoepoxySU-8、弹性材料(elastomer),例如硅酮(silicone)。其中此聚合物层112是感旋旋光性材质时,可以仅利用微影制程(无须蚀刻制程)来图案化此聚合物层112,且此聚合物凸块114厚度介于5微米至50微米,聚合物凸块114最大横向尺寸介于10微米至60微米。Referring to FIG. 5b, the polymer layer 112 is patterned through exposure, development and etching processes, so that the polymer layer 112 forms several openings 112a and several polymer bumps. bump) 114 (only one is shown in the figure), the opening 112a exposes the protective layer 34 and the pad 32, and then heats and hardens to harden the polymer bump 114. The temperature of this hardening process is between 150 degrees ( ℃) to 300 degrees (℃), and the material of the polymer bump 114 can be selected from polyimide (polyimide, PI), phenylcyclobutene (benzocyclobutene, BCB), parylene (parylene ), epoxy-based material (epoxy-based material), such as epoxy resin or photoepoxySU-8 provided by Sotec Microsystems in Renens, Switzerland, elastic material (elastomer), such as silicone (silicone). Wherein when the polymer layer 112 is an optically sensitive material, the polymer layer 112 can be patterned only by lithography (no etching process), and the thickness of the polymer bump 114 is between 5 microns and 50 microns, The polymer bump 114 has a maximum lateral dimension ranging from 10 microns to 60 microns.

请参阅图5c所示,形成一黏着/阻障层(adhesion/barrier layer)116在整个集成电路100上的保护层34、接垫32与聚合物凸块114上。另,黏着/阻障层116可以利用电镀(electroplating)、无电电镀(electroless plating)、化学气相沉积或物理气相沉积(例如溅镀)的方式形成,其中又以物理气相沉积为较佳的形成方式,例如金属溅镀制程。另外此黏着阻障层116的厚度是介于0.02微米至0.8微米之间,并以介于0.05微米至0.2微米之间的厚度为较佳。Referring to FIG. 5 c , an adhesion/barrier layer (adhesion/barrier layer) 116 is formed on the protection layer 34 , the pad 32 and the polymer bump 114 on the entire integrated circuit 100 . In addition, the adhesion/barrier layer 116 can be formed by means of electroplating, electroless plating, chemical vapor deposition or physical vapor deposition (such as sputtering), among which physical vapor deposition is a preferred form. methods, such as metal sputtering process. In addition, the thickness of the adhesion barrier layer 116 is between 0.02 micron and 0.8 micron, and preferably between 0.05 micron and 0.2 micron.

请参阅图5d所示,接着形成厚度介于0.005微米至2微米之间(较佳厚度是介于0.1微米至0.7微米之间)的一种子层(seed layer)118在黏着/阻障层116上,而形成种子层118的方式比如是溅镀、蒸镀、物理气相沉积、电镀或者是无电电镀(electroless plating)的方式。此种子层118有利于后续金属线路的设置,因此种子层118的材质会随后续金属线路的材质而有所变化。例如,当种子层118上电镀形成铜材质的金属层时,种子层118的材质是以铜为佳;当种子层118上电镀形成金材质的金属层时,种子层118的材质是以金为佳;当种子层118上电镀形成钯材质的金属层时,种子层118的材质是以钯为佳;当种子层118上电镀形成铂材质的金属层时,种子层118的材质是以铂为佳;当种子层118上电镀形成铑材质的金属层时,种子层118的材质是以铑为佳;当种子层118上电镀形成钌材质的金属层时,种子层118的材质以钌为佳;当种子层118上电镀形成铼材质的金属层时,种子层118的材质是以铼为佳;当种子层118上电镀形成镍材质的金属层时,种子层118的材质是以镍为佳。Please refer to FIG. 5d, and then form a seed layer (seed layer) 118 with a thickness between 0.005 micron and 2 microns (preferably between 0.1 micron and 0.7 micron) on the adhesion/barrier layer 116. On the other hand, the method of forming the seed layer 118 is, for example, sputtering, evaporation, physical vapor deposition, electroplating or electroless plating. The seed layer 118 is beneficial to the setting of subsequent metal circuits, so the material of the seed layer 118 will vary with the material of the subsequent metal circuits. For example, when the metal layer of copper material is formed by electroplating on the seed layer 118, the material of the seed layer 118 is copper; when the metal layer of gold material is formed by electroplating on the seed layer 118, the material of the seed layer 118 is gold. When the metal layer of palladium material is formed by electroplating on the seed layer 118, the material of the seed layer 118 is preferably palladium; when the metal layer of platinum material is formed by electroplating on the seed layer 118, the material of the seed layer 118 is based on platinum Good; when the metal layer of rhodium material is formed by electroplating on the seed layer 118, the material of the seed layer 118 is preferably rhodium; when the metal layer of the ruthenium material is formed by electroplating on the seed layer 118, the material of the seed layer 118 is preferably ruthenium When the metal layer of rhenium material is formed by electroplating on the seed layer 118, the material of the seed layer 118 is preferably rhenium; when the metal layer of nickel material is formed by electroplating on the seed layer 118, the material of the seed layer 118 is preferably nickel .

请参阅图5e所示,形成一光阻层120在种子层118上,并通过曝光(exposure)与显影(development)制程图案化此光阻层120,以形成数个光阻层开口120a在光阻层120内并暴露出位于接垫32与聚合物凸块114上方的种子层118上,而在形成光阻层开口120a的过程中比如是以一倍(1X)的曝光机(steppers)或扫描机(scanners)进行曝光显影。Referring to FIG. 5e, a photoresist layer 120 is formed on the seed layer 118, and the photoresist layer 120 is patterned by exposure (exposure) and development (development) processes to form several photoresist layer openings 120a in the light. In the resist layer 120 and exposed on the seed layer 118 above the pads 32 and the polymer bumps 114, and in the process of forming the photoresist layer opening 120a, for example, one time (1X) exposure machine (steppers) or Scanners perform exposure and development.

其中此光阻层120有两种型式,其是:(1)湿膜光阻(liquid Photo resist),其是利用单一或多重的旋转涂布方式或者是印刷(printing)方式形成。此湿膜光阻的厚度是介于3微米至60微米之间,而以介于5微米至40微米之间为较佳者;以及(2)干膜光阻(dry film Photo resist),其是利用贴合方式(laminating method)形成。此干膜光阻的厚度是介于30微米至300微米之间,而以介于50微米至150微米之间为较佳。另外,光阻可以是正型(positive-type)或负型(negative-type),而在获得更好分辨率上,则以正型厚光阻(positive-type thick Photo resist)为较佳。利用一对准机(aligner)或一倍(1X)步进曝光机曝光此光阻。此一倍(1X)是指当光束从一光罩(通常是以石英或玻璃构成)投影至晶圆上时,光罩上的图形缩小于晶圆上的比例,且在光罩上的图案比例是与在晶圆上的图案比例相同。对准机或一倍步进曝光机所使用的光束波长是436纳米(g-line)、397纳米(h-line)、365纳米(i-line)、g/h line(结合g-line与h-line)或g/h/i line(结合g-line、h-line与i-line)。使用光束波长为g/h line或g/h/i line的一倍步进曝光机(或一倍对准机)可在厚光阻或厚感旋旋光性聚合物(photo senstive polymer)的曝光上,提供较大的光强度(light intensity);此外,此图案化光阻层120的开口120a的形状也可包括线圈形状、方形、圆形、多边形或不规则形状。The photoresist layer 120 has two types, which are: (1) wet film photoresist (liquid photoresist), which is formed by single or multiple spin coating or printing. The thickness of the wet film photoresist is between 3 microns and 60 microns, preferably between 5 microns and 40 microns; and (2) dry film photoresist (dry film photo resist), which It is formed by laminating method. The thickness of the dry film photoresist is between 30 microns and 300 microns, preferably between 50 microns and 150 microns. In addition, the photoresist can be positive-type or negative-type, and positive-type thick photoresist is better for better resolution. Expose the photoresist using an aligner or a one-fold (1X) stepper. This double (1X) means that when the beam is projected from a mask (usually made of quartz or glass) onto the wafer, the pattern on the mask is reduced to the ratio of the wafer, and the pattern on the mask The scale is the same as the pattern scale on the wafer. The beam wavelengths used by the aligner or double stepper are 436nm (g-line), 397nm (h-line), 365nm (i-line), g/h line (combined with g-line and h-line) or g/h/i line (combined g-line, h-line and i-line). Using a double stepper (or double aligner) with a beam wavelength of g/h line or g/h/i line can be used for exposure of thick photoresist or thick photo sensitive polymer. In addition, the shape of the opening 120a of the patterned photoresist layer 120 may also include coil shape, square, circle, polygon or irregular shape.

请参阅图5f所示,以电镀方式形成一金属层122在开口120a内的种子层118上,此金属层122至少包覆聚合物凸块114二表面上方的种子层118,而此金属层122比如是金、铜、银、钯、铂、铑、钌、铼或镍的单层金属层结构或是复合式金属层结构,此金属层122的厚度介于1微米至20微米,较佳的厚度可介于1.5微米至15微米之间,而复合式金属层结构的组合包括铜/镍/金、铜/金、铜/镍/钯与铜/镍/铂等组合,在此实施例中此金属层122是单层,而金属层122的材质是金,位于聚合物凸块114上的金属层122表面定义一区域为接合接垫124,此接合接垫124可用在连接外界电路。5f, a metal layer 122 is formed on the seed layer 118 in the opening 120a by electroplating, and the metal layer 122 covers at least the seed layer 118 above the two surfaces of the polymer bump 114, and the metal layer 122 Such as gold, copper, silver, palladium, platinum, rhodium, ruthenium, rhenium or nickel single-layer metal layer structure or composite metal layer structure, the thickness of the metal layer 122 is between 1 micron to 20 microns, preferably The thickness can be between 1.5 microns and 15 microns, and the combination of the composite metal layer structure includes combinations of copper/nickel/gold, copper/gold, copper/nickel/palladium and copper/nickel/platinum, etc., in this embodiment The metal layer 122 is a single layer, and the material of the metal layer 122 is gold. The surface of the metal layer 122 on the polymer bump 114 defines a region as a bonding pad 124 , and the bonding pad 124 can be used to connect external circuits.

请参阅图5g所示,去除图案化光阻层120与去除未在金属层122下方的种子层118、黏着阻障层116。Referring to FIG. 5 g , the patterned photoresist layer 120 and the seed layer 118 and the adhesion barrier layer 116 not under the metal layer 122 are removed.

请参阅图5h所示,将集成电路100进行切割步骤,产生数个半导体芯片(chip)126,半导体芯片126上的接合接垫124可经由贴带自动接合(tape automatedbonded,TAB)、COG(chip on glass)、卷带式晶粒接合(Tape Carrier Package,TCP)或COF(chip on film)的方式连接至一外界电路128上,此外界电路128具有至少一接合金属层129,接合接垫124连接至接合金属层129。5h, the integrated circuit 100 is cut to produce several semiconductor chips (chips) 126, and the bonding pads 124 on the semiconductor chips 126 can be automatically bonded (tape automatedbonded, TAB), COG (chip) on glass), tape carrier package (Tape Carrier Package, TCP) or COF (chip on film) connected to an external circuit 128, the external circuit 128 has at least one bonding metal layer 129, bonding pads 124 Connect to bonding metal layer 129 .

如图5i所示,本实施实施例以COG方式连接至外界电路128,利用异方性导电胶130将半导体芯片126上的接合接垫124接合至外界电路128的接合金属层129上。As shown in FIG. 5 i , this embodiment is connected to the external circuit 128 in a COG manner, and the bonding pad 124 on the semiconductor chip 126 is bonded to the bonding metal layer 129 of the external circuit 128 by using an anisotropic conductive adhesive 130 .

请参阅图5j所示,本实施实施例若以COF方式连接至外界电路128,则同样利用异方性导电胶130将半导体芯片126上的接合接垫124接合至外界电路128的接合金属层129上,另一种COF接合的方式,请参阅图5k所示,此方式是利用热压合的方式将半导体芯片126上的接合接垫124接合至含锡的外界电路128上,凭借热压合使接合接垫124上的金与接合金属层129上的锡层132产生锡金合金层134而穏固接合,此种凭借热压合接合的方式也可应用到贴带自动接合(tape automated bonded,TAB)与卷带式晶粒接合(Tape Carrier Package,TCP)上。Please refer to FIG. 5j. If this embodiment is connected to the external circuit 128 in a COF manner, the bonding pad 124 on the semiconductor chip 126 is also bonded to the bonding metal layer 129 of the external circuit 128 by using an anisotropic conductive adhesive 130. As shown in FIG. 5k, another method of COF bonding is shown in FIG. The gold on the bonding pad 124 and the tin layer 132 on the bonding metal layer 129 form a tin-gold alloy layer 134 to form a solid bond. This method of thermocompression bonding can also be applied to tape automated bonded, TAB) and Tape Carrier Package (TCP).

第二实施例的第2实施方式:The second implementation mode of the second embodiment:

此第2实施方式的结构与制作方法与第1实施方式的结构与制作方法相当类似,因此以下各实施例与实施例中的相同组件的材质与制程就不加以重复说明。The structure and manufacturing method of the second embodiment are quite similar to those of the first embodiment, so the materials and manufacturing processes of the same components in the following embodiments will not be described repeatedly.

请参阅图6a所示,第2实施方式与第1实施方式差异点在于第2实施方式的集成电路100具有二个接垫32、32’,同样形成聚合物层112在整个集成电路100上的保护层34与接垫32、32’上。Please refer to FIG. 6a, the difference between the second embodiment and the first embodiment is that the integrated circuit 100 of the second embodiment has two pads 32, 32', and the polymer layer 112 is also formed on the entire integrated circuit 100. The protection layer 34 is on the pads 32, 32'.

请参阅图6b所示,并通过曝光(exposure)、显影(development)制程与蚀刻制程图案化此聚合物层112,使此聚合物层112形成数个聚合物凸块(polymerbump)114(图标中仅显示出1个),开口112a暴露出保护层34与接垫32、32’,接着进行加热硬化,使此聚合物凸块114硬化。其中此聚合物凸块114是感旋旋光性材质时,可以仅利用微影制程(无须蚀刻制程)来图案化此聚合物凸块114,且此聚合物凸块114厚度介于5微米至50微米,聚合物凸块114最大横向尺寸介于10微米至60微米。Referring to FIG. 6 b, the polymer layer 112 is patterned through exposure, development and etching processes, so that the polymer layer 112 forms several polymer bumps (polymer bumps) 114 (in the figure). Only one shown), the opening 112a exposes the protective layer 34 and the pads 32, 32', followed by heat curing to harden the polymer bump 114. Wherein when the polymer bump 114 is an optically sensitive material, the polymer bump 114 can be patterned only by lithography (no etching process), and the thickness of the polymer bump 114 is between 5 microns and 50 μm. microns, the maximum lateral dimension of the polymer bump 114 is between 10 microns and 60 microns.

请参阅图6c所示,形成黏着阻障层(adhesion/barrier layer)116在整个集成电路100上的保护层34、接垫32、32’与聚合物凸块114上,此黏着阻障层116的厚度是介于0.02微米至0.8微米之间,并以介于0.05微米至0.2微米之间的厚度为较佳。Referring to FIG. 6c, an adhesion barrier layer (adhesion/barrier layer) 116 is formed on the protection layer 34, the pads 32, 32' and the polymer bump 114 on the entire integrated circuit 100. The adhesion barrier layer 116 The thickness is between 0.02 micron and 0.8 micron, and preferably between 0.05 micron and 0.2 micron.

请参阅图6d所示,接着形成厚度介于0.005微米至2微米之间(较佳厚度是介于0.1微米至0.7微米之间)的种子层(seed layer)118在黏着/阻障层116上。See also shown in Figure 6d, then form a seed layer (seed layer) 118 with a thickness between 0.005 microns and 2 microns (preferably between 0.1 microns and 0.7 microns) on the adhesion/barrier layer 116 .

请参阅图6e所示,形成光阻层120在种子层118上,并通过曝光(exposure)与显影(development)制程图案化此光阻层120,以形成数个光阻层开口120a、120b在光阻层120内并分别暴露出位于接垫32、32’与聚合物凸块114上方的种子层118。Referring to FIG. 6e, a photoresist layer 120 is formed on the seed layer 118, and the photoresist layer 120 is patterned by exposure and development (development) processes to form several photoresist layer openings 120a, 120b. The photoresist layer 120 exposes the seed layer 118 above the pads 32 , 32 ′ and the polymer bump 114 respectively.

请参阅图6f所示,以电镀方式形成金属层122在开口120a、120b内的种子层118上,此金属层122至少包覆聚合物凸块114二表面上方的种子层118,而金属层122比如是金、铜、银、钯、铂、铑、钌、铼或镍的单层金属层结构或是复合式金属层结构,此金属层122的厚度介于1微米至20微米,较佳的厚度可介于1.5微米至15微米之间,而复合式金属层结构的组合包括铜/镍/金、铜/金、铜/镍/钯与铜/镍/铂等组合,在此实施例中此金属层122是单层,而金属层122的材质是金,位于金属层122表面定义二区域分别为接合接垫124与一打线接垫136,接合接垫124是位于聚合物凸块114上,而打线接垫136位于接垫32’上,此接合接垫124与打线接垫136可用在连接外界电路。6f, the metal layer 122 is formed on the seed layer 118 in the openings 120a, 120b by electroplating. The metal layer 122 covers at least the seed layer 118 above the two surfaces of the polymer bump 114, and the metal layer 122 Such as gold, copper, silver, palladium, platinum, rhodium, ruthenium, rhenium or nickel single-layer metal layer structure or composite metal layer structure, the thickness of the metal layer 122 is between 1 micron to 20 microns, preferably The thickness can be between 1.5 microns and 15 microns, and the combination of the composite metal layer structure includes combinations of copper/nickel/gold, copper/gold, copper/nickel/palladium and copper/nickel/platinum, etc., in this embodiment The metal layer 122 is a single layer, and the material of the metal layer 122 is gold. The two regions defined on the surface of the metal layer 122 are the bonding pad 124 and a bonding pad 136 respectively. The bonding pad 124 is located on the polymer bump 114. , and the bonding pad 136 is located on the pad 32 ′, the bonding pad 124 and the bonding pad 136 can be used to connect external circuits.

请参阅图6g所示,去除图案化光阻层120与去除未在金属层122下方的种子层118、黏着阻障层116。Referring to FIG. 6 g , the patterned photoresist layer 120 is removed and the seed layer 118 and the adhesion barrier layer 116 not under the metal layer 122 are removed.

请参阅图6h与图6i所示,将集成电路100进行切割步骤,产生数个半导体芯片(chip)126,半导体芯片126上的接合接垫124可经由覆晶(Flip Chip,FC)技术接合至另一外界基板138上,此外界基板138比如是半导体芯片,此外界基板138为半导体芯片时,此外界基板138具有数个接合接垫140,在接合接垫140上具有一接合金属层142,此接合金属层142的材质包括金、铜、银、钯、铂、铑、钌、铼、锡或镍的单层金属层结构或是复合式金属层结构,此接合金属层142会随着金属层122的材质而有所改变,例如金属层122的材质为金时,接合金属层142的材质是金或含锡的金属层,接着利用覆晶(Flip Chip,FC)技术将外界基板138叠设在半导体芯片126上,其中接合的方式可采用热压合的方式,使接合金属层142与金属层122产生融合或合金(金/金接合或金-锡合金)接合,并且在外界基板138与半导体芯片126之间形成一封装层144将其包覆,此封装层144的材质是聚合物材质,比如是环氧树脂。另外打线接垫136则经由打线制程形成一导线146连接至另一外界电路(图中未示)上。6h and 6i, the integrated circuit 100 is diced to produce several semiconductor chips (chips) 126, and the bonding pads 124 on the semiconductor chips 126 can be bonded to the semiconductor chips 126 via flip-chip (Flip Chip, FC) technology. On the other external substrate 138, the external substrate 138 is, for example, a semiconductor chip. When the external substrate 138 is a semiconductor chip, the external substrate 138 has several bonding pads 140, and a bonding metal layer 142 is provided on the bonding pads 140. The material of the bonding metal layer 142 includes a single-layer metal layer structure or a composite metal layer structure of gold, copper, silver, palladium, platinum, rhodium, ruthenium, rhenium, tin or nickel. The material of the layer 122 is changed. For example, when the material of the metal layer 122 is gold, the material of the bonding metal layer 142 is gold or a metal layer containing tin, and then the external substrate 138 is stacked by Flip Chip (FC) technology. It is arranged on the semiconductor chip 126, and the way of bonding can be thermocompression bonding, so that the bonding metal layer 142 and the metal layer 122 are fused or alloyed (gold/gold bonding or gold-tin alloy) bonding, and on the external substrate 138 An encapsulation layer 144 is formed between the semiconductor chip 126 to cover it, and the material of the encapsulation layer 144 is a polymer material, such as epoxy resin. In addition, the wire bonding pad 136 is connected to another external circuit (not shown) through a wire bonding process to form a wire 146 .

请参阅图6j所示,此外打线接垫136除了可以利用打线制程所形成的导线146连接至另一外界电路,也可以连接至外界电路的锡球147上,此锡球147的厚度是介于50微米至300微米之间,此连接方式可利用热压合的方式接合。Please refer to FIG. 6j. In addition, the wire bonding pad 136 can be connected to another external circuit by using the wire 146 formed by the wire bonding process, and can also be connected to the solder ball 147 of the external circuit. The thickness of the solder ball 147 is Between 50 microns and 300 microns, this connection can be bonded by thermocompression.

第二实施例的第3实施方式:The third implementation mode of the second embodiment:

此第3实施方式的结构与制作方法与第2实施方式与第1实施方式的结构与制作方法相当类似,因此以下各实施例与实施例中的相同组件的材质与制程就不加以重复说明。The structure and manufacturing method of the third embodiment are quite similar to those of the second embodiment and the first embodiment, so the materials and manufacturing processes of the same components in the following embodiments will not be described repeatedly.

请参阅图7a与图7b所示,第3实施方式与第2实施方式差异点仅在于打线接垫136的位置不同,第3实施方式的打线接垫136的位置从俯视透视图(图9b)观之,打线接垫136位置是不同于接垫32’的位置,其中打线接垫136下方的集成电路100内的基底10上可以设有至少一主动组件,此主动组件包括二极管、晶体管等,主动组件已在上述组件层12中已有详尽介绍,在此就不加以重复论述。7a and 7b, the only difference between the third embodiment and the second embodiment is that the position of the bonding pad 136 is different, and the position of the bonding pad 136 of the third embodiment is viewed from a top perspective view (Fig. 9b) It can be seen that the position of the bonding pad 136 is different from the position of the bonding pad 32', wherein at least one active component may be provided on the substrate 10 in the integrated circuit 100 under the bonding pad 136, and the active component includes a diode , transistors, etc., the active components have been described in detail in the above-mentioned component layer 12, and will not be repeated here.

第二实施例的第4实施方式:The fourth implementation mode of the second embodiment:

此第4实施方式的结构与制作方法与第3实施方式与第1实施方式的结构与制作方法相当类似,因此以下各实施例与实施例中的相同组件的材质与制程就不加以重复说明。The structure and manufacturing method of the fourth embodiment are quite similar to those of the third embodiment and the first embodiment, so the materials and manufacturing processes of the same components in the following embodiments will not be described repeatedly.

请参阅图8a所示,同样形成聚合物层112在整个集成电路100上的保护层34与接垫32与接垫32’上。Referring to FIG. 8a, the polymer layer 112 is also formed on the protection layer 34, the pads 32, and the pads 32' on the entire integrated circuit 100. Referring to FIG.

请参阅图8b所示,并通过曝光(exposure)、显影(development)制程与蚀刻制程图案化此聚合物层112,使此聚合物层112形成数个开口112a与数个聚合物块(polymer island)142,开口112a暴露出保护层34、接垫32与接垫32’,接着进行加热硬化,使聚合物块148硬化,此硬化过程的温度是介于150度(℃)至300度(℃)之间,且此聚合物块148的材质可选自聚酰亚胺(polyimide,PI)、苯基环丁烯(benzocyclobutene,BCB)、聚对二甲苯(parylene)、环氧基材料(epoxy-based material)其中之一,例如环氧树脂或是由位于瑞士的Renens的Sotec Microsystems所提供的photoepoxySU-8、弹性材料(elastomer),例如硅酮(silicone)。其中此聚合物层112为感旋旋光性材质时,可以仅利用微影制程(无须蚀刻制程)来图案化此聚合物层112,且此聚合物块148厚度介于5微米至50微米。Please refer to FIG. 8 b, and pattern the polymer layer 112 through exposure (exposure), development (development) process and etching process, so that the polymer layer 112 forms several openings 112a and several polymer islands. ) 142, the opening 112a exposes the protective layer 34, the pads 32 and the pads 32', and then heats and hardens to harden the polymer block 148. The temperature of this hardening process is between 150 degrees (°C) and 300 degrees (°C) ), and the material of the polymer block 148 can be selected from polyimide (polyimide, PI), phenylcyclobutene (benzocyclobutene, BCB), parylene (parylene), epoxy-based material (epoxy -based material) such as epoxy resin or photoepoxySU-8 provided by Sotec Microsystems in Renens, Switzerland, elastic material (elastomer), such as silicone (silicone). When the polymer layer 112 is an optically sensitive material, the polymer layer 112 can be patterned only by lithography (no etching process), and the thickness of the polymer block 148 is between 5 microns and 50 microns.

请参阅图8c与图8d所示,接着形成另一聚合物层150在聚合物块148与开口112a内,此聚合物层150的材质与聚合物层112相同,并通过曝光(exposure)、显影(development)制程与蚀刻制程图案化此聚合物层150形成数个聚合物凸块(polymer bump)152(图标中仅显示出1个),其中此聚合物层150为感旋旋光性材质时,可以仅利用微影制程(无须蚀刻制程)来图案化此聚合物层150,且此聚合物凸块厚度介于5微米至50微米,聚合物凸块152最大横向尺寸介于10微米至60微米。8c and 8d, another polymer layer 150 is then formed in the polymer block 148 and the opening 112a. The material of this polymer layer 150 is the same as that of the polymer layer 112. (development) process and etching process to pattern the polymer layer 150 to form several polymer bumps (polymer bump) 152 (only one is shown in the diagram), wherein when the polymer layer 150 is an optically sensitive material, The polymer layer 150 can be patterned using only a lithography process (no etching process is required), and the thickness of the polymer bumps is between 5 microns and 50 microns, and the maximum lateral dimension of the polymer bumps 152 is between 10 microns and 60 microns .

请参阅图8e所示,形成黏着阻障层(adhesion/barrier layer)116在整个集成电路100上的接垫32、接垫32’、聚合物凸块152与聚合物块148上。Referring to FIG. 8e , an adhesion/barrier layer 116 is formed on the pads 32 , the pads 32 ′, the polymer bump 152 and the polymer block 148 on the entire integrated circuit 100 .

请参阅图8f所示,接着形成厚度介于0.005微米至2微米之间(较佳厚度是介于0.1微米至0.7微米之间)的种子层(seed layer)118在黏着/阻障层116上。See also shown in Figure 8f, then form a seed layer (seed layer) 118 with a thickness between 0.005 microns and 2 microns (preferably between 0.1 microns and 0.7 microns) on the adhesion/barrier layer 116 .

请参阅图8g所示,形成光阻层120在种子层118上,并通过曝光(exposure)与显影(development)制程图案化此光阻层120,以形成数个光阻层开口120a在光阻层120内并暴露出位于接垫32、接垫32’、聚合物凸块152与聚合物块148上方的种子层118,而在形成光阻层开口120a的过程中比如是以一倍(1X)的曝光机(steppers)或扫描机(scanners)进行曝光显影。Referring to FIG. 8g, a photoresist layer 120 is formed on the seed layer 118, and the photoresist layer 120 is patterned by exposure (exposure) and development (development) processes to form several photoresist layer openings 120a on the photoresist layer. layer 120 and exposes the seed layer 118 above the pads 32, 32', polymer bumps 152 and polymer blocks 148, and the process of forming the photoresist layer opening 120a is, for example, doubled (1× ) exposure machine (steppers) or scanners (scanners) for exposure and development.

请参阅图8h所示,以电镀方式形成金属层122在开口120a内的种子层118上,此金属层122至少包覆聚合物凸块152二表面上方的种子层118,而金属层122比如是金、铜、银、钯、铂、铑、钌、铼或镍的单层金属层结构或是复合式金属层结构,此金属层122的厚度介于1微米至20微米,较佳的厚度可介于1.5微米至15微米之间,而复合式金属层结构的组合包括铜/镍/金、铜/金、铜/镍/钯与铜/镍/铂等组合,在此实施例中此金属层122是单层,而金属层122的材质是金,位于聚合物凸块152上的金属层122表面定义一区域为接合接垫124,此接合接垫124可用在连接外界电路,而位于聚合物块148上的金属层122表面定义一区域为打线接垫136,此打线接垫136经由打线制程在连接外界电路,其中位于打线接垫136下的聚合物块148在打线制程时可缓冲打线所产生的应力,对于厚度较薄的基底10有足够的缓冲效应,可以防止集成电路100的基底10、组件层12的主动组件在打线制程时损坏,此外此实施例由上视透视图观之打线接垫148与接垫32’的位置不同,但是打线接垫136也可以位于接垫32’上方,在此就不加重覆论述。Please refer to FIG. 8h, the metal layer 122 is formed on the seed layer 118 in the opening 120a by electroplating, and the metal layer 122 covers at least the seed layer 118 above the two surfaces of the polymer bump 152, and the metal layer 122 is, for example, A single-layer metal layer structure or a composite metal layer structure of gold, copper, silver, palladium, platinum, rhodium, ruthenium, rhenium or nickel, the thickness of the metal layer 122 is between 1 micron and 20 microns, and the preferred thickness can be Between 1.5 microns and 15 microns, and the combination of the composite metal layer structure includes copper/nickel/gold, copper/gold, copper/nickel/palladium and copper/nickel/platinum and other combinations, in this embodiment the metal The layer 122 is a single layer, and the material of the metal layer 122 is gold. The surface of the metal layer 122 on the polymer bump 152 defines a region as a bonding pad 124. This bonding pad 124 can be used to connect to an external circuit. The surface of the metal layer 122 on the object 148 defines a region as the wire bonding pad 136, and the wire bonding pad 136 is connected to an external circuit through a wire bonding process, wherein the polymer block 148 located under the wire bonding pad 136 is used for wire bonding. It can buffer the stress generated by wire bonding during the manufacturing process, and has sufficient buffering effect on the thinner substrate 10, which can prevent the substrate 10 of the integrated circuit 100 and the active components of the component layer 12 from being damaged during the wire bonding process. In addition, this embodiment The positions of the bonding pads 148 and the bonding pads 32' are different from the top perspective view, but the bonding pads 136 can also be located above the bonding pads 32', so the discussion will not be repeated here.

请参阅图8i所示,去除图案化光阻层120与去除未在金属层122下方的种子层118、黏着阻障层116。Referring to FIG. 8 i , the patterned photoresist layer 120 is removed, the seed layer 118 and the adhesion barrier layer 116 not under the metal layer 122 are removed.

请参阅图8j所示,将集成电路100进行切割步骤,产生数个半导体芯片(chip)126。Referring to FIG. 8 j , the integrated circuit 100 is subjected to a dicing step to produce several semiconductor chips (chips) 126 .

请参阅图8k所示,此图8k与上述图6i相似,是将半导体芯片126经由覆晶(Flip Chip,FC)技术接合至另一外界基板138上,其中接合的说明如上述第6i图说明一样,所以在此就不加以重复论述。Please refer to FIG. 8k. This FIG. 8k is similar to the above-mentioned FIG. 6i. It is to bond the semiconductor chip 126 to another external substrate 138 via Flip Chip (FC) technology. The description of the bonding is as described in the above-mentioned FIG. 6i same, so it will not be repeated here.

第二实施例的第5实施方式:The fifth implementation mode of the second embodiment:

第5实施方式的结构与制作方法与第4实施方式的结构与制作方法相当类似,此第4实施方式的结构为第1实施方式的变化,因此以下各实施例与实施例中的相同组件的材质与制程就不加以重复说明。The structure and production method of the fifth embodiment are quite similar to the structure and production method of the fourth embodiment, and the structure of the fourth embodiment is a change of the first embodiment, so the following examples and the same components in the examples Materials and processes will not be described repeatedly.

请参阅图9a所示,第5实施方式与第4实施方式差异在于曝光(exposure)、显影(development)制程与蚀刻制程图案化此聚合物层112与加热硬化的步骤,在第5实施方式在此二步骤是同时形成聚合物块148与聚合物凸块114,也就聚合物块148与聚合物凸块114的厚度相同,且此聚合物块148与聚合物凸块114厚度介于5微米至50微米之间。Please refer to FIG. 9a, the difference between the fifth embodiment and the fourth embodiment lies in the steps of exposure (exposure), development (development) process and etching process to pattern the polymer layer 112 and heat hardening. These two steps are to form the polymer block 148 and the polymer bump 114 at the same time, that is, the thickness of the polymer block 148 and the polymer bump 114 is the same, and the thickness of the polymer block 148 and the polymer bump 114 is between 5 microns to 50 microns.

请参阅图9b所示,依序形成黏着阻障层(adhesion/barrier layer)116与厚度介于0.005微米至2微米之间(较佳厚度是介于0.1微米至0.7微米之间)的种子层(seedlayer)118在整个集成电路100上的接垫32、接垫32’、聚合物凸块114与聚合物块148上。Please refer to FIG. 9 b , sequentially form an adhesion barrier layer (adhesion/barrier layer) 116 and a seed layer with a thickness between 0.005 microns and 2 microns (preferably between 0.1 microns and 0.7 microns). The (seed layer) 118 is on the pads 32 , 32 ′, polymer bumps 114 and polymer blocks 148 throughout the integrated circuit 100 .

请参阅图9c所示,形成光阻层120在种子层118上,并通过曝光(exposure)与显影(development)制程图案化此光阻层120,以形成数个光阻层开口120a在光阻层120内并暴露出位于接垫32、接垫32’、聚合物凸块114与聚合物块148上方的种子层118,而在形成光阻层开口120a的过程中比如是以一倍(1X)的曝光机(steppers)或扫描机(scanners)进行曝光显影。Referring to FIG. 9c, a photoresist layer 120 is formed on the seed layer 118, and the photoresist layer 120 is patterned by exposure and development processes to form several photoresist layer openings 120a on the photoresist layer. layer 120 and exposes the seed layer 118 above the pads 32, 32', polymer bumps 114 and polymer blocks 148, and the process of forming the photoresist layer opening 120a is, for example, doubled (1× ) exposure machine (steppers) or scanners (scanners) for exposure and development.

请参阅图9d所示,以电镀方式形成金属层122在开口120a内的种子层118上,此金属层122至少包覆聚合物凸块114二表面上方的种子层118,金属层122比如是金、铜、银、钯、铂、铑、钌、铼或镍的单层金属层结构或是复合式金属层结构,此金属层122的厚度介于1微米至20微米,较佳的厚度可介于1.5微米至15微米之间,而复合式金属层结构的组合包括铜/镍/金、铜/金、铜/镍/钯与铜/镍/铂等组合,在此实施例中此金属层122是单层,而金属层122的材质是金,位于聚合物凸块114上的金属层122表面定义一区域为接合接垫124,此接合接垫124可用在连接外界电路,而位于聚合物块148上的金属层122表面定义一区域为打线接垫136,此打线接垫136经由打线制程在连接外界电路,其中位于打线接垫136下的聚合物块148在打线制程时可缓冲打线所产生的应力,对于厚度较薄的基底10有足够的缓冲效应,可以防止集成电路100的基底10、组件层12的主动组件在打线制程时损坏,此外此实施例由上视透视图观之打线接垫136与接垫32’的位置不同,但是打线接垫136也可以位于接垫32’上方,在此就不加重复论述。Referring to FIG. 9d, a metal layer 122 is formed on the seed layer 118 in the opening 120a by electroplating. The metal layer 122 covers at least the seed layer 118 above the two surfaces of the polymer bump 114. The metal layer 122 is, for example, gold. , copper, silver, palladium, platinum, rhodium, ruthenium, rhenium or nickel single-layer metal layer structure or composite metal layer structure, the thickness of the metal layer 122 is between 1 micron and 20 microns, and the preferred thickness can be between Between 1.5 microns and 15 microns, and the combination of the composite metal layer structure includes combinations of copper/nickel/gold, copper/gold, copper/nickel/palladium and copper/nickel/platinum, etc. In this embodiment, the metal layer 122 is a single layer, and the metal layer 122 is made of gold. The surface of the metal layer 122 on the polymer bump 114 defines a region as a bonding pad 124. This bonding pad 124 can be used to connect to an external circuit. The surface of the metal layer 122 on the block 148 defines an area as the wire bonding pad 136, and the wire bonding pad 136 is connected to the external circuit through the wire bonding process, wherein the polymer block 148 located under the wire bonding pad 136 is in the wire bonding process. It can buffer the stress generated by wire bonding, and has a sufficient buffer effect for the thinner substrate 10, which can prevent the substrate 10 of the integrated circuit 100 and the active components of the component layer 12 from being damaged during the wire bonding process. In addition, this embodiment consists of The positions of the wire bonding pads 136 and the pads 32 ′ are different from the top perspective view, but the wire bonding pads 136 can also be located above the pads 32 ′, and the discussion will not be repeated here.

请参阅图9e所示,去除图案化光阻层120与去除未在金属层122下方的种子层118、黏着阻障层116。Referring to FIG. 9 e , the patterned photoresist layer 120 is removed and the seed layer 118 and the adhesion barrier layer 116 not under the metal layer 122 are removed.

请参阅图9f所示,将集成电路100进行切割步骤,产生数个半导体芯片(chip)126。Referring to FIG. 9 f , the integrated circuit 100 is subjected to a dicing step to produce several semiconductor chips (chips) 126 .

请参阅图9g所示,此图9g与上述图6i相似,是将半导体芯片126经由覆晶(Flip Chip,FC)技术接合至另一外界基板138上,其中接合的说明如上述第6i图说明一样,所以在此就不加以重复论述。Please refer to FIG. 9g. This FIG. 9g is similar to the above-mentioned FIG. 6i. The semiconductor chip 126 is bonded to another external substrate 138 through flip-chip (Flip Chip, FC) technology, and the bonding description is as described in the above-mentioned FIG. 6i. same, so it will not be repeated here.

第二实施例的第6实施方式:The sixth embodiment of the second embodiment:

第6实施方式的结构与制作方法与第1实施方式的结构与制作方法相当类似,此第6实施方式的结构为第1实施方式的变化,因此以下各实施例与实施例中的相同组件的材质与制程就不加以重复说明。The structure and production method of the sixth embodiment are quite similar to the structure and production method of the first embodiment, and the structure of the sixth embodiment is a change of the first embodiment, so the following examples and the same components in the examples Materials and processes will not be described repeatedly.

此实施例是接续第1实施方式的图5e制程,在完成图5e后,请参阅图10a所示,以电镀方式形成一金属层154在开口120a内的种子层118上,金属层154比如是铜,此金属层154的厚度介于1微米至20微米,较佳的厚度可介于1.5微米至15微米之间。This embodiment is a continuation of the process in Figure 5e of the first embodiment. After completing Figure 5e, please refer to Figure 10a to form a metal layer 154 on the seed layer 118 in the opening 120a by electroplating. Copper, the thickness of the metal layer 154 is between 1 micron and 20 microns, preferably between 1.5 microns and 15 microns.

请参阅图10b所示,接着再电镀一金属层156在金属层148上,此金属层156的材质比如是镍,此金属层156的厚度介于0.1微米至20微米,较佳的厚度可介于1微米至15微米之间。Please refer to FIG. 10b, and then electroplate a metal layer 156 on the metal layer 148. The material of this metal layer 156 is nickel, for example. The thickness of this metal layer 156 is between 0.1 micron and 20 microns, and the preferred thickness can be between Between 1 micron and 15 microns.

请参阅图10c所示,形成另一光阻层158在光阻层120、金属层156上,并通过曝光(exposure)与显影(development)制程图案化此光阻层158,以形成数个光阻层开口158a在光阻层158内并暴露出位于聚合物凸块114上方的金属层156,而在形成光阻层开口158a的过程中比如是以一倍(1X)的曝光机(steppers)或扫描机(scanners)进行曝光显影。10c, another photoresist layer 158 is formed on the photoresist layer 120 and the metal layer 156, and the photoresist layer 158 is patterned by exposure and development processes to form several photoresist layers. The resist layer opening 158a is in the photoresist layer 158 and exposes the metal layer 156 above the polymer bump 114, and the process of forming the photoresist layer opening 158a is, for example, with a double (1X) exposure machine (steppers). Or scanners (scanners) for exposure and development.

形成光阻层158的另一种方式也可以先将原有的光阻层120先去除,再形成光阻层158在种子层40与金属层156上,并通过曝光(exposure)与显影(development)制程图案化此光阻层158,以形成光阻层开口158a在光阻层158内并暴露出位于聚合物凸块114上方的金属层156,如图10d所示。Another way to form the photoresist layer 158 can also remove the original photoresist layer 120 first, and then form the photoresist layer 158 on the seed layer 40 and the metal layer 156, and through exposure (exposure) and development (development) ) process to pattern the photoresist layer 158 to form a photoresist layer opening 158a in the photoresist layer 158 and expose the metal layer 156 above the polymer bump 114, as shown in FIG. 10d.

接续图10e所示,再电镀一金属层160在光阻层开口158a内的金属层156上,此金属层160的材质比如是含锡金属、锡铅合金、锡银合金、锡银铜合金层、无铅焊料等,此金属层160的厚度是介于1微米至300微米,较佳的厚度可介于5微米至200微米之间。Continuing as shown in FIG. 10e, a metal layer 160 is electroplated on the metal layer 156 in the opening 158a of the photoresist layer. The material of the metal layer 160 is, for example, a tin-containing metal, a tin-lead alloy, a tin-silver alloy, or a tin-silver-copper alloy layer. , lead-free solder, etc., the thickness of the metal layer 160 is between 1 micron and 300 microns, preferably between 5 microns and 200 microns.

请参阅图10f所示,去除图案化光阻层158、光阻层120,以及去除未在金属层156下方的种子层118、黏着阻障层116。Referring to FIG. 10f, the patterned photoresist layer 158 and the photoresist layer 120 are removed, and the seed layer 118 and the adhesion barrier layer 116 not under the metal layer 156 are removed.

请参阅图10g所示,进行再加热制程,使金属层160到达熔点而内聚成球形。Referring to FIG. 10g, a reheating process is performed to make the metal layer 160 reach the melting point and cohere into a spherical shape.

请参阅图10h所示,将基底10进行切割步骤,产生数个半导体芯片(chip)126,半导体芯片126上的金属层160可接合至另一外界基板上。Referring to FIG. 10h, the substrate 10 is subjected to a dicing step to produce several semiconductor chips (chips) 126, and the metal layer 160 on the semiconductor chips 126 can be bonded to another external substrate.

以上说明对本发明而言只是说明性的,而非限制性的,本领域普通技术人员理解,在不脱离权利要求所限定的精神和范围的情况下,可作出许多修改、变化或等效,但都将落入本发明的权利要求可限定的范围之内。The above description is only illustrative of the present invention, rather than restrictive. Those of ordinary skill in the art understand that many modifications, changes or equivalents can be made without departing from the spirit and scope defined in the claims, but All will fall within the scope defined by the claims of the present invention.

Claims (31)

1.一种线路组件,其特征在于,包括:1. A line assembly, characterized in that, comprising: 一第一半导体基底,所述的第一半导体基底具有至少一第一金属接垫;A first semiconductor substrate, the first semiconductor substrate has at least one first metal pad; 一第一保护层,位于所述的第一半导体基底上,所述的第一保护层具有至少一开口暴露出所述的第一金属接垫;a first protective layer located on the first semiconductor substrate, the first protective layer has at least one opening exposing the first metal pad; 一第一金属层,位于所述的第一保护层上并电连接至所述的第一金属接垫,所述的第一金属层具有一第一打线接垫与一第二打线接垫;A first metal layer, located on the first protective layer and electrically connected to the first metal pad, the first metal layer has a first bonding pad and a second bonding pad pad; 一第一打线导线,位于所述的第一打线接垫上连接至一第一外界电路;以及a first bonding wire, located on the first bonding pad and connected to a first external circuit; and 一第二打线导线,位于所述的第二打线接垫上连接至一第二外界电路。A second bonding wire is located on the second bonding pad and connected to a second external circuit. 2.根据权利要求1所述的线路组件,其特征在于:所述的第一半导体基底包括一第一细联机结构,所述的第一细联机结构包括:2. The circuit assembly according to claim 1, wherein the first semiconductor substrate comprises a first fine interconnect structure, and the first fine interconnect structure comprises: 数个厚度小于3微米的第一介电层,位于所述的半导体基底上,且所述的第一介电层具有多个第一通道孔;以及A plurality of first dielectric layers with a thickness less than 3 microns are located on the semiconductor substrate, and the first dielectric layer has a plurality of first via holes; and 数个厚度小于3微米的第一细线路层,而所述的第一细线路层是位于所述的第一介电层其中之一上,其中所述的第一细线路层凭借所述的第一通道孔彼此电连接。a plurality of first thin circuit layers with a thickness less than 3 microns, and the first thin circuit layer is located on one of the first dielectric layers, wherein the first fine circuit layer relies on the The first via holes are electrically connected to each other. 3.根据权利要求2所述的线路组件,其特征在于:所述的第一细线路层包括厚度是介于0.05微米至2微米之间的一铝层或铜层。3. The circuit assembly according to claim 2, wherein the first thin circuit layer comprises an aluminum layer or a copper layer with a thickness between 0.05 microns and 2 microns. 4.根据权利要求1所述的线路组件,其特征在于:所述的第一保护层的材质包括一氮硅化合物与一氧硅化合物其中之一或其组合。4 . The circuit assembly according to claim 1 , wherein the material of the first protective layer comprises one of a silicon nitride compound and a silicon oxide compound or a combination thereof. 5.根据权利要求1所述的线路组件,其特征在于:所述的第一金属层的材质包括金。5. The circuit assembly according to claim 1, wherein the material of the first metal layer includes gold. 6.根据权利要求1所述的线路组件,其特征在于:所述的第一金属层的材质包括铜。6. The circuit assembly according to claim 1, wherein the material of the first metal layer comprises copper. 7.根据权利要求1所述的线路组件,其特征在于:所述的第一金属层的材质包括银、铂、钯与镍其中之一或其组合。7. The wiring assembly according to claim 1, wherein the material of the first metal layer comprises one of silver, platinum, palladium and nickel or a combination thereof. 8.根据权利要求1所述的线路组件,其特征在于:所述的第一金属层的厚度介于1微米至20微米。8. The circuit assembly according to claim 1, wherein the thickness of the first metal layer is between 1 micron and 20 microns. 9.根据权利要求1所述的线路组件,其特征在于:由俯视透视图观之所述的第一打线接垫与所述的第二打线接垫与所述的第一金属接垫处于不同位置。9. The circuit assembly according to claim 1, characterized in that: the first wire bonding pad and the second wire bonding pad and the first metal pad are seen from a top perspective view 28 in different positions. 10.根据权利要求1所述的线路组件,其特征在于:所述的第一金属层与所述的第一金属接垫之间还设有一第一黏着/阻障层。10. The circuit assembly according to claim 1, wherein a first adhesion/barrier layer is further provided between the first metal layer and the first metal pad. 11.根据权利要求10所述的线路组件,其特征在于:所述的第一黏着/阻障层包括厚度介于0.02微米至0.8微米的一钛钨合金层或一钛金属层。11 . The circuit assembly according to claim 10 , wherein the first adhesion/barrier layer comprises a titanium-tungsten alloy layer or a titanium metal layer with a thickness ranging from 0.02 μm to 0.8 μm. 12.根据权利要求10所述的线路组件,其特征在于:所述的第一黏着/阻障层与所述的第一金属层之间还设有一种子层,所述的种子层与所述的第一金属层为相同材质。12. The circuit assembly according to claim 10, characterized in that: a seed layer is provided between the first adhesive/barrier layer and the first metal layer, and the seed layer and the The first metal layer is the same material. 13.根据权利要求1所述的线路组件,其特征在于:所述的第一半导体基底包括一主动组件,所述的主动组件位于所述的第一打线接垫或所述的第二打线接垫下方。13. The circuit assembly according to claim 1, wherein the first semiconductor substrate comprises an active component, and the active component is located on the first bonding pad or the second bonding pad. below the wire pad. 14.根据权利要求13所述的线路组件,其特征在于:所述的主动组件包括晶体管。14. The circuit component according to claim 13, wherein the active component comprises a transistor. 15.根据权利要求1所述的线路组件,其特征在于:所述的第一外界电路与所述的第二外界电路包括半导体芯片、印刷电路板、金属基板、玻璃基板、软性基板与陶瓷基板其中之一。15. The circuit assembly according to claim 1, wherein the first external circuit and the second external circuit include semiconductor chips, printed circuit boards, metal substrates, glass substrates, flexible substrates and ceramics one of the substrates. 16.根据权利要求1所述的线路组件,其特征在于:所述的保护层与所述的第一金属层之间还设有一聚酰亚胺化合物层,其厚度介于2微米至100微米之间。16. The circuit assembly according to claim 1, wherein a polyimide compound layer is further provided between the protective layer and the first metal layer, and its thickness is between 2 microns and 100 microns between. 17.根据权利要求1所述的线路组件,其特征在于:所述的第一金属层上还设有一第二聚合物层,其厚度介于2微米至100微米之间。17. The circuit assembly according to claim 1, wherein a second polymer layer is further provided on the first metal layer, and the thickness thereof is between 2 micrometers and 100 micrometers. 18.一种线路组件,其特征在于,包括:18. A line assembly, characterized in that it comprises: 一第一半导体基底,一第一保护层位于所述的第一半导体基底上,所述的第一保护层的至少一第一开口暴露出所述的第一半导体基底的一第一接垫,且一第一金属层位于所述的第一保护层上并经由所述的第一开口连接所述的第一接垫,所述的第一金属层包括数个第一打线接垫;A first semiconductor substrate, a first protective layer is located on the first semiconductor substrate, at least one first opening of the first protective layer exposes a first pad of the first semiconductor substrate, and a first metal layer is located on the first protective layer and connected to the first pad through the first opening, and the first metal layer includes a plurality of first bonding pads; 一第二半导体基底,位于所述的第一半导体基底上并暴露出所述的第一半导体基底至少一侧边与所述的第一打线接垫,且一第二保护层位于所述的第二半导体基底上,所述的第二保护层的至少一第二开口暴露出所述的第二半导体基底的一第二接垫,且一第二金属层位于所述的第二保护层上并经由所述的第二开口连接所述的第二接垫,所述的第二金属层包括数个第二打线接垫;A second semiconductor substrate, located on the first semiconductor substrate and exposing at least one side of the first semiconductor substrate and the first bonding pad, and a second protection layer located on the first semiconductor substrate On the second semiconductor substrate, at least one second opening of the second protection layer exposes a second pad of the second semiconductor substrate, and a second metal layer is located on the second protection layer and connecting the second pad through the second opening, the second metal layer includes a plurality of second bonding pads; 一第三半导体基底,位于所述的第二半导体基底上并暴露出所述的第二半导体基底至少一侧边与所述的第二打线接垫,且一第三保护层位于所述的第三半导体基底上,所述的第三保护层的至少一第三开口暴露出所述的第三半导体基底的一第三接垫,且一第三金属层位于所述的第三保护层上并经由所述的第三开口连接所述的第三接垫,所述的第三金属层包括数个第三打线接垫;A third semiconductor substrate, located on the second semiconductor substrate and exposing at least one side of the second semiconductor substrate and the second bonding pad, and a third protection layer located on the second semiconductor substrate On the third semiconductor substrate, at least one third opening of the third protection layer exposes a third pad of the third semiconductor substrate, and a third metal layer is located on the third protection layer and connecting the third pad through the third opening, the third metal layer includes a plurality of third bonding pads; 一第四半导体基底,位于所述的第三半导体基底上并暴露出所述的第三半导体基底至少一侧边与所述的第三打线接垫,且一第四保护层位于所述的第四半导体基底上,所述的第四保护层的至少一第四开口暴露出所述的第四半导体基底的一第四接垫,且一第四金属层位于所述的第四保护层上并经由所述的第四开口连接所述的第四接垫,所述的第四金属层包括数个第四打线接垫;A fourth semiconductor base, located on the third semiconductor base and exposing at least one side of the third semiconductor base and the third bonding pad, and a fourth protection layer located on the third semiconductor base On the fourth semiconductor substrate, at least one fourth opening of the fourth protective layer exposes a fourth pad of the fourth semiconductor substrate, and a fourth metal layer is located on the fourth protective layer and connecting the fourth pad through the fourth opening, the fourth metal layer includes a plurality of fourth bonding pads; 数个打线导线,位于所述的第一打线接垫、所述的第二打线接垫、所述的第三打线接垫与所述的第四打线接垫上,使所述的第一打线接垫与所述的第二打线接垫、所述的第二打线接垫与所述的第三打线接垫、所述的第三打线接垫与所述的第四打线接垫经由所述的打线导线相互连接;以及Several bonding wires are located on the first bonding pad, the second bonding pad, the third bonding pad and the fourth bonding pad, so that the The first bonding pad and the second bonding pad, the second bonding pad and the third bonding pad, the third bonding pad and the The fourth bonding pads are connected to each other via the bonding wires; and 一外界电路,经由所述的打线导线连接至所述的第一打线接垫、所述的第二打线接垫、所述的第三打线接垫与所述的第四打线接垫至少其中之一上。An external circuit, connected to the first bonding pad, the second bonding pad, the third bonding pad and the fourth bonding pad through the bonding wire at least one of the pads. 19.根据权利要求18所述的线路组件,其特征在于:所述的第一半导体基底、所述的第二半导体基底、所述的第三半导体基底与所述的第四半导体基底分别包括一细联机结构,所述的细联机结构包括:19. The circuit assembly according to claim 18, wherein the first semiconductor substrate, the second semiconductor substrate, the third semiconductor substrate and the fourth semiconductor substrate respectively comprise a Fine online structure, described fine online structure includes: 数个厚度小于3微米的介电层,分别位于所述的第一半导体基底、所述的第二半导体基底、所述的第三半导体基底与所述的第四半导体基底上,且所述的介电层具有多个通道孔;以及a plurality of dielectric layers with a thickness of less than 3 microns are respectively located on the first semiconductor substrate, the second semiconductor substrate, the third semiconductor substrate and the fourth semiconductor substrate, and the The dielectric layer has a plurality of via holes; and 数个厚度小于3微米的细线路层,而所述的细线路层是位于所述的介电层其中之一上,其中所述的细线路层凭借所述的通道孔彼此电连接。Several thin circuit layers with a thickness less than 3 microns, and the thin circuit layers are located on one of the dielectric layers, wherein the thin circuit layers are electrically connected to each other by the via holes. 20.根据权利要求19所述的线路组件,其特征在于:所述的细线路层包括厚度介于0.05微米至2微米之间的一铝层或铜层。20. The circuit assembly according to claim 19, wherein the thin circuit layer comprises an aluminum layer or a copper layer with a thickness between 0.05 microns and 2 microns. 21.根据权利要求18所述的线路组件,其特征在于:所述的第一保护层、所述的第二保护层、所述的第三保护层与所述的第四保护层的材质包括一氮硅化合物与一氧硅化合物其中之一或其组合。21. The circuit assembly according to claim 18, wherein the material of the first protective layer, the second protective layer, the third protective layer and the fourth protective layer includes One or a combination of a silicon nitrogen compound and a silicon oxide compound. 22.根据权利要求18所述的线路组件,其特征在于:所述的第一金属层、所述的第二金属层、所述的第三金属层与所述的第四金属层的材质包括金。22. The wiring assembly according to claim 18, wherein the material of the first metal layer, the second metal layer, the third metal layer and the fourth metal layer comprises gold. 23.根据权利要求18所述的线路组件,其特征在于:所述的第一金属层、所述的第二金属层、所述的第三金属层与所述的第四金属层的材质包括铜、银、铂、钯与镍其中之一或其组合。23. The wiring assembly according to claim 18, wherein the material of the first metal layer, the second metal layer, the third metal layer and the fourth metal layer comprises One or a combination of copper, silver, platinum, palladium and nickel. 24.根据权利要求18所述的线路组件,其特征在于:所述的第一金属层、所述的第二金属层、所述的第三金属层与所述的第四金属层的厚度分别介于1微米至20微米。24. The circuit assembly according to claim 18, wherein the thicknesses of the first metal layer, the second metal layer, the third metal layer and the fourth metal layer are respectively Between 1 micron and 20 microns. 25.根据权利要求18所述的线路组件,其特征在于:所述的第一金属层、所述的第二金属层、所述的第三金属层与所述的第四金属层的厚度分别介于1.5微米至15微米。25. The circuit assembly according to claim 18, wherein the thicknesses of the first metal layer, the second metal layer, the third metal layer and the fourth metal layer are respectively Between 1.5 microns and 15 microns. 26.根据权利要求18所述的线路组件,其特征在于:所述的第一金属层与所述的第一接垫、第二金属层与所述的第二接垫、所述的第三金属层与所述的第三接垫与所述的第四金属层与所述的第四接垫之间分别设有一黏着/阻障层。26. The circuit assembly according to claim 18, wherein the first metal layer and the first pad, the second metal layer and the second pad, the third An adhesion/barrier layer is respectively provided between the metal layer and the third pad, and between the fourth metal layer and the fourth pad. 27.根据权利要求26所述的线路组件,其特征在于:所述的黏着/阻障层包括厚度介于0.02微米至0.8微米的一钛钨合金层或一钛金属层。27. The circuit assembly according to claim 26, wherein the adhesion/barrier layer comprises a titanium-tungsten alloy layer or a titanium metal layer with a thickness ranging from 0.02 μm to 0.8 μm. 28.根据权利要求27所述的线路组件,其特征在于:所述的黏着/阻障层与所述的第一金属层、第二金属层、第三金属层与第四金属层之间分别设有一种子层。28. The circuit assembly according to claim 27, characterized in that: the adhesive/barrier layer and the first metal layer, the second metal layer, the third metal layer and the fourth metal layer are respectively A seed layer is provided. 29.根据权利要求18所述的线路组件,其特征在于:所述的外界电路包括印刷电路板、金属基板、玻璃基板、软性基板、陶瓷基板与半导体芯片其中之一。29. The circuit assembly according to claim 18, wherein the external circuit comprises one of a printed circuit board, a metal substrate, a glass substrate, a flexible substrate, a ceramic substrate and a semiconductor chip. 30.根据权利要求18所述的线路组件,其特征在于:所述的第一保护层与所述的第一金属层、所述的第二保护层与所述的第二金属层、所述的第三保护层与所述的第三金属层与所述的第四保护层与所述的第四金属层之间分别设有一第一聚合物层,所述的一聚合物层厚度介于2微米至100微米之间,其包括聚酰亚胺化合物。30. The circuit assembly according to claim 18, characterized in that: the first protection layer and the first metal layer, the second protection layer and the second metal layer, the A first polymer layer is arranged between the third protection layer and the third metal layer and the fourth protection layer and the fourth metal layer, and the thickness of the polymer layer is between Between 2 microns and 100 microns, which includes polyimide compounds. 31.根据权利要求18所述的线路组件,其特征在于:所述的第一金属层、所述的第二金属层、所述的第三金属层与所述的第四金属层上分别设有一第二聚合物层,所述的第二聚合物层厚度介于2微米至100微米之间,其包括聚酰亚胺化合物。31. The circuit assembly according to claim 18, characterized in that: the first metal layer, the second metal layer, the third metal layer and the fourth metal layer are respectively provided with There is a second polymer layer, the thickness of the second polymer layer is between 2 microns and 100 microns, which includes polyimide compound.
CN2007101075804A 2007-05-21 2007-05-21 Circuit assembly Expired - Fee Related CN101312174B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN2007101075804A CN101312174B (en) 2007-05-21 2007-05-21 Circuit assembly

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN2007101075804A CN101312174B (en) 2007-05-21 2007-05-21 Circuit assembly

Publications (2)

Publication Number Publication Date
CN101312174A true CN101312174A (en) 2008-11-26
CN101312174B CN101312174B (en) 2011-10-26

Family

ID=40100717

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007101075804A Expired - Fee Related CN101312174B (en) 2007-05-21 2007-05-21 Circuit assembly

Country Status (1)

Country Link
CN (1) CN101312174B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109920798A (en) * 2019-02-01 2019-06-21 云谷(固安)科技有限公司 Array substrate and preparation method thereof and display panel

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1253939C (en) * 2002-02-10 2006-04-26 台湾积体电路制造股份有限公司 Jointing washer structure on semiconductor substrate
US6667189B1 (en) * 2002-09-13 2003-12-23 Institute Of Microelectronics High performance silicon condenser microphone with perforated single crystal silicon backplate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109920798A (en) * 2019-02-01 2019-06-21 云谷(固安)科技有限公司 Array substrate and preparation method thereof and display panel
CN109920798B (en) * 2019-02-01 2021-04-09 云谷(固安)科技有限公司 Array substrate, manufacturing method thereof and display panel

Also Published As

Publication number Publication date
CN101312174B (en) 2011-10-26

Similar Documents

Publication Publication Date Title
US11031310B2 (en) Chip package
CN1901161B (en) Method for manufacturing circuit assembly by continuous electroplating and circuit assembly structure
CN101572244B (en) Method for making line components
US8471388B2 (en) Integrated circuit and method for fabricating the same
CN103915413B (en) Laminate packaging connected structure
CN102456657B (en) There is semiconductor device of bottom projections metallization (UBM) structure and forming method thereof
US11715681B2 (en) Fan-out package structure and method
WO2002021587A1 (en) Semiconductor device and method of manufacturing the semiconductor device
CN103779243A (en) Semiconductor devices, methods of manufacture thereof, and packaged semiconductor devices
TW202018892A (en) An integrated chip and method for forming an integrated chip
CN101231993B (en) a circuit assembly
CN101231998B (en) a circuit assembly
TW202507935A (en) Interconnect structure of semiconductor device and method of forming same
CN101312170A (en) Circuit assembly
TWI342594B (en) Semiconductor chip and method for fabricating the same
JP2001168126A (en) Semiconductor device and method of fabrication
CN101312174A (en) Circuit assembly
CN101231994A (en) Circuit assembly
CN101231997B (en) a circuit assembly
CN101231996B (en) a circuit assembly
TW202517128A (en) Semiconductor device
CN101231995A (en) Circuit assembly

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: MEIGETE ACQUIRING CORPORATION

Free format text: FORMER OWNER: MEGICA CORP.

Effective date: 20131202

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20131202

Address after: American California

Patentee after: Megat acquires the Co.

Address before: Taiwan, China

Patentee before: Miji Electronics Co.,Ltd.

ASS Succession or assignment of patent right

Owner name: QUALCOMM INC.

Free format text: FORMER OWNER: MEIGETE ACQUIRING CORPORATION

Effective date: 20140820

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20140820

Address after: American California

Patentee after: QUALCOMM Inc.

Address before: American California

Patentee before: Megat acquires the Co.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20111026

CF01 Termination of patent right due to non-payment of annual fee