[go: up one dir, main page]

EDA And IP Revenue Grow, But Markets Are Shifting


EDA and IP revenue grew 18.2% worldwide to $4.69 billion in Q2, year-over-year, with all product categories and regions reporting increases, but a drill down into the numbers shows some new pockets of growth and weakness The Asia/Pacific region exhibited strong growth once again, but the dynamics in that market have changed significantly. China is no longer the primary revenue generator for ... » read more

A New Generation Of 7400 Socket


When I was 18, and just been accepted at Brunel University in West London to start my undergraduate degree in electrical and electronic engineering, I sent off a letter to Texas Instruments telling them about the journey ahead of me and asked if they could they send me a copy of their TTL Data Book. A few weeks later a package arrived and there it was. This incredible brown/orange book, thicker... » read more

Streamlining Complex Semiconductor Designs With IP-XACT-Based Structured Assembly


Semiconductor design is rapidly evolving because technologies such as AI and machine learning (ML) applications push the boundaries of complexity and specialization. Modern chips require hundreds or thousands of IP blocks, leading to significant design challenges. Multi-die architectures, which distribute functional blocks across multiple dice, demand expert planning to ensure connectivity and ... » read more

Accelerating The Pace And Precision Of AI Chip Innovation


The Hot Chips 2024 conference, which took place this week in Silicon Valley, was a showcase for AI chip innovation. The three-day program illustrated the race among both established chipmakers and new entrants to explore advanced architectures and embrace novel design solutions to deliver the next breakthrough AI processor. In this article, I share a few “hot takes” from the conference that... » read more

AI/ML’s Role In Design And Test Expands


The role of AI and ML in test keeps growing, providing significant time and money savings that often exceed initial expectations. But it doesn't work in all cases, sometimes even disrupting well-tested process flows with questionable return on investment. One of the big attractions of AI is its ability to apply analytics to large data sets that are otherwise limited by human capabilities. In... » read more

Keeping Up With New ADAS And IVI SoC Trends


In the automotive industry, AI-enabled automotive devices and systems are dramatically transforming the way SoCs are designed, making high-quality and reliable die-to-die and chip-to-chip connectivity non-negotiable. This article explains how interface IP for die-to-die connectivity, display, and storage can support new developments in automotive SoCs for the most advanced innovations such as c... » read more

Why SoC Designers Need Purpose-Built Semiconductor IP Catalog Tools


Semiconductor intellectual property (IP) management, reuse, and change tracking are essential for efficiently creating chip designs based on proven building blocks, reducing your time-to-market, and maintaining good reputations throughout their lifetimes. Unfortunately, many SoC teams attempt to use existing tools like Git for these essential tasks, even though they are unsuitable and inconv... » read more

The Value Of Innovation


This week's Design Automation Conference is all about the new things that are going on in the industry, both challenges and opportunities. By this time this blog goes live, I will have moderated a panel about why EDA has not been open to disruption. While preparing for that, a number of thoughts emerged in my mind. First, we have to remember that EDA is a business whose role is to support th... » read more

Promises And Pitfalls Of SoC Restructuring


As chips become more complex and increasingly heterogeneous, it's becoming more difficult to keep track of different methodologies, tools, and blend data from different sources to create a chip. Tim Schneider, staff application engineer at Arteris, explains why IP-XACT has become so critical, why it took so long to gain a solid foothold in chip design, and how the new IP-XACT standard interface... » read more

AI Accelerated Migration Of Existing Designs To New Processors


In this fast-paced digital age where speed, performance, and time-to-market are king, chip designers are under pressure to deliver high-performance computing that doesn’t compromise power efficiency. The constant demand for instantaneous data processing and sharing is pushing the boundaries of innovation in chip design. With this context, we revisit and revamp the insights from the Synopsys U... » read more

← Older posts