KR101309334B1 - 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터 - Google Patents
화학적 기상 증착 반응기용 멀티 가스 분배 인젝터 Download PDFInfo
- Publication number
- KR101309334B1 KR101309334B1 KR1020077005170A KR20077005170A KR101309334B1 KR 101309334 B1 KR101309334 B1 KR 101309334B1 KR 1020077005170 A KR1020077005170 A KR 1020077005170A KR 20077005170 A KR20077005170 A KR 20077005170A KR 101309334 B1 KR101309334 B1 KR 101309334B1
- Authority
- KR
- South Korea
- Prior art keywords
- precursor
- gas
- inlets
- injector
- inlet
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45572—Cooled nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
Landscapes
- Chemical & Material Sciences (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Engineering & Computer Science (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
Description
Claims (50)
- 화학적 기상 증착 방법으로서,(a) 가스 분배 인젝터에서 복수의 이격된 전구체 인입구를 통하여 반응실로 하나 이상의 전구체 가스를 복수의 흐름들로서 배출하여 그 흐름들이 반응실에 배치된 하나 이상의 기판을 향하여 인젝터로부터 하방으로의 속도 성분을 갖게 하는 단계로서, 상기 하나 이상의 전구체 가스는 하나 이상의 기판에 CAS 방식의 ⅡB-ⅥA족 반도체화합물, ⅢA-ⅤA족 반도체화합물 및 ⅣA-ⅣA족 반도체화합물로 이루어지는 군에서 선택된 반응 증착물을 형성하도록 반응하는 것인 배출 단계와;(b) 상기 배출 단계와 동시에, 인젝터로부터 반응실로 하나 이상의 전구체 가스들과 반응하지 않는 하나 이상의 캐리어 가스를 배출하는 단계를 포함하고,상기 캐리어 가스는 상기 모든 전구체 가스와 별개로 다공성 구조로부터 배출되며, 상기 다공성 구조는 상기 전구체 인입구와 별개인 구멍에 의해 형성되고, 상기 구멍은 전구체 인입구보다 작으며 전구체 인입구보다 서로 가깝게 이격되어 있고, 상기 다공성 구조는 전구체 인입구들 중 인접한 인입구들 사이에서 연장됨으로써 캐리어 가스가 인접한 전구체 인입구들 사이로 배출되는 것인 화학적 기상 증착 방법.
- 삭제
- 삭제
- 제1항에 있어서, 하방으로 연장된 축을 중심으로 상기 반응실 내의 하나 이상의 기판들을 회전시키는 단계를 더 포함하는 것인 화학적 기상 증착 방법.
- 제4항에 있어서, 상기 축으로부터의 반경 거리에 따라 상기 캐리어 가스와 전구체 가스 중 하나 이상의 가스들의 단위 면적당 유량을 변화시키는 단계를 더 포함하는 것인 화학적 기상 증착 방법.
- 제1항에 있어서, 상기 하나 이상의 전구체 가스를 배출하는 단계는 제1 전구체 가스를 배출하고, 제1 전구체 가스와 반응하는 제2 전구체 가스를 배출하는 단계를 포함하는 것인 화학적 기상 증착 방법.
- 제6항에 있어서, 상기 제1 및 제2 전구체 가스들을 배출하는 단계는 서로 이격된 복수의 제1 전구체 인입구들을 통하여 제1 전구체 가스를 배출하고, 제1 전구체 인입구들과 분산된 복수의 제2 전구체 인입구들을 통해 제2 전구체 가스를 배출하는 단계를 포함하며, 상기 캐리어 가스 배출 단계는 각각의 제1 및 제2 전구체 인입구와 인접한 모든 제1 및 제2 전구체 인입구 사이에서 캐리어 가스를 배출하는 단계를 포함하는 것인 화학적 기상 증착 방법.
- 제6항에 있어서, 상기 제1 및 제2 전구체 가스들을 배출하는 단계는 제1 전구체 가스 및 제2 전구체 가스를 복수의 동심원적 흐름으로서 배출하는 단계를 포함하고, 그러한 각각의 동심원적 흐름은 전구체 인입구들 중 별개의 전구체 인입구를 통해 배출되며, 그러한 각각의 동심원적 흐름은 제1 전구체 가스의 흐름을 적어도 부분적으로 둘러싸는 제2 전구체 가스의 흐름을 포함하는 것인 화학적 기상 증착 방법.
- 삭제
- 삭제
- 제6항에 있어서, 상기 제1 전구체 가스를 배출하는 단계와 제2 전구체 가스를 배출하는 단계는 적어도 부분적으로 서로 비동시적으로 발생하는 것인 화학적 기상 증착 방법.
- 제6항에 있어서, 상기 하방으로 연장된 축을 중심으로 반응실내의 하나 이상의 기판들을 회전시키는 단계를 더 포함하며, 상기 제1 전구체 가스를 배출하는 단계와 제2 전구체 가스를 배출하는 단계는 제1 및 제2 전구체 가스 중 하나 이상이 상기 축으로부터의 반경방향 거리에 따라 변화되는 단위 면적당 유량을 갖도록 수행되는 것인 화학적 기상 증착 방법.
- 제1항에 있어서, 상기 인입구들중 적어도 일부의 개별 인입구들과 관련된 개별 유량 제한 장치에 의해 상기 흐름의 적어도 일부의 유량을 개별적으로 제어하는 단계를 더 포함하는 것인 화학적 기상 증착 방법.
- 화학적 기상 증착 반응기용 가스 분배 인젝터로서,수평 방향의 연장부를 갖고 하방을 향하는 내측면을 한정하는 구조와, 수평으로 이격된 전구체 인입구 위치에서 상기 내측면을 향해 개방된 복수의 전구체 인입구, 하나 이상의 전구체 가스 연결부, 상기 전구체 가스 연결부를 전구체 인입구들과 연결하는 하나 이상의 전구체 다기관을 포함하고, 상기 내측면 한정 구조는 상기 전구체 인입구와 별개인 구멍에 의해 형성되는 다공성 요소를 포함하고, 상기 구멍은 전구체 인입구보다 작으며 전구체 인입구보다 서로 가깝게 이격되어 있고, 상기 다공성 요소는 제1 표면 및 제2 표면을 가지며, 상기 다공성 요소의 제2 표면은 각각의 전구체 인입구와 인접한 모든 전구체 인입구 사이에서 연장되는 내측면의 적어도 일부를 형성하고, 상기 내측면 한정 구조는 캐리어 가스 다기관과 연통하는 하나 이상의 캐리어 가스 연결부 및 다공성 요소의 제1 표면에 의해 적어도 부분적으로 경계가 정해지는 캐리어 가스 다기관을 형성하는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
- 제14항에 있어서, 상기 복수의 전구체 인입구들은 제1 전구체 인입구 위치들에서 내측면으로 개방된 제1 전구체 인입구들과 제2 인입구 위치들에서 내측면으로 개방된 제2 전구체 인입구들을 포함하며, 상기 하나 이상의 전구체 가스 연결부들은 하나 이상의 제1 전구체 가스 연결부들과 하나 이상의 제2 전구체 가스 연결부들을 포함하며, 상기 하나 이상의 전구체 다기관들은 하나 이상의 제1 전구체 가스 연결부들을 제1 전구체 인입구들과 연결하는 하나 이상의 제1 전구체 다기관과, 제2 전구체 가스 연결부들을 상기 제2 전구체 인입구들과 연결하는 하나 이상의 제2 전구체 다기관을 포함하며, 상기 제1 및 제2 전구체 인입구 위치들의 적어도 일부는 내측면의 수평 연장부의 적어도 일부에 걸쳐 서로 분산되어 있고, 상기 다공성 요소는 각각의 제1 및 제2 전구체 인입구와 인접한 모든 제1 및 제2 전구체 인입구 사이에서 연장되는 것인 화학적 기상 증착 반응기용 가스 분배 인젝터.
- 제14항에 있어서, 상기 내측면 한정 구조는 하나 이상의 냉각수 통로를 더 형성하고, 상기 냉각수 통로는 냉각수 통로용의 S형 경로를 형성하는 냉각수 통로 벽에 의해 경계가 정해지고, 상기 냉각수 통로는 전구체 인입구들 또는 캐리어 가스 다기관과 유체 연통되지 않도록 되며, 상기 전구체 인입구들은 냉각수 통로 벽들을 관통하여 연장되고, 상기 냉각수 통로는 냉각수 인입구와 배출구에 냉각수가 통하도록 연결되는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
- 제16항에 있어서, 상기 캐리어 가스 다기관은 다공성 요소와 하나 이상의 냉각수 통로들 사이에 배치되는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
- 제16항에 있어서, 상기 하나 이상의 냉각수 통로는 상기 캐리어 가스 다기관과 상기 하나 이상의 전구체 가스 다기관 사이에 배치되는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
- 제15항에 있어서, 상기 제1 전구체 인입구들은 내측면에 복수의 동심원적 구역들에 배치되고, 상기 하나 이상의 제1 전구체 가스 연결부는 복수의 제1 전구체 가스 연결부들을 포함하며, 상기 하나 이상의 제1 전구체 다기관은 상기 구역들중 하나에서 제1 전구체 인입구들에 각각 연결되는 복수의 제1 전구체 다기관을 포함하는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
- 제19항에 있어서, 상기 제1 전구체 다기관은 서로 동심원적인 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
- 제19항에 있어서, 상기 제2 전구체 인입구들은 복수의 구역들에 배치되고, 상기 하나 이상의 제2 전구체 가스 연결부는 복수의 제2 전구체 가스 연결부들을 포함하며, 상기 하나 이상의 제2 전구체 다기관들은 상기 구역들중 하나에서 제2 전구체 인입구들에 각각 연결되는 복수의 제2 전구체 다기관을 포함하는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
- 제14항에 있어서, 상기 전구체 가스 연결부들은 하나 이상의 전구체 다기관들에 각각의 전구체 인입구를 연결하는 개별적인 도관을 형성하고, 상기 도관중 적어도 일부와 관련된 개별적인 흐름 제한 요소를 포함하는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
- 제15항에 있어서, 상기 전구체 가스 연결부는 하나 이상의 전구체 다기관들에 각각의 전구체 인입구를 연결하는 개별적인 도관을 형성하며, 상기 도관중 적어도 일부와 관련된 개별적인 흐름 제한 요소를 포함하는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
- 제22항에 있어서, 상기 개별적인 흐름 제한 요소는 오리피스와 다공체로 이루어진 군에서 선택되는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 화학적 기상 증착 반응기용의 가스 분배장치로서,제14항에 따른 가스 분배 인젝터와,CAS 방식의 ⅡB-ⅥA족 반도체화합물, ⅢA-ⅤA족 반도체화합물 및 ⅣA-ⅣA족 반도체화합물로 이루어지는 군에서 선택된 반응 증착물을 형성하도록 반응하는 하나 이상의 전구체 가스를 공급하도록 전구체 가스 연결부에 연결되는 하나 이상의 전구체 가스 공급원과,하나 이상의 전구체로부터 형성되는 증착물이 내측면에 증착하는 것을 캐리어 가스가 저지하도록 하나 이상의 전구체 가스와 반응하지 않는 하나 이상의 캐리어 가스를 다공성 요소의 구멍에 공급하도록 캐리어 가스 연결부에 연결되는 하나 이상의 캐리어 가스 공급원을 포함하는 화학적 기상 증착 반응기용의 가스 분배장치.
- 삭제
- 삭제
- 내부 공간이 형성된 반응실과, 내측면이 내부 공간을 향하고 상기 인입구들의 구멍이 내부 공간과 연통되게 상기 반응실에 연결된 제14항의 인젝터를 포함하는 반응기.
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 삭제
- 제14항에 있어서, 상기 전구체 인입구들중 적어도 일부는 이중-포트 인입구들이며, 각각의 이중-포트 인입구는 나란히 연장된 제1 주입 채널과 제2 주입채널 및 상기 제1 주입 채널과 제2 주입 채널을 서로 분리시키는 공통 벽을 포함하며, 상기 하나 이상의 전구체 가스 연결부는 상기 제1 주입 채널에 연결되는 제1 전구체 가스 연결부와 상기 제2 주입 채널에 연결되는 제2 전구체 가스 연결부를 포함하는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
- 제14항에 있어서, 상기 전구체 인입구들중 적어도 일부는 동심원적 인입구들이며, 그러한 각각의 동심원적 인입구는 제1 주입 채널과 이 제1 주입 채널을 둘러싸는 제2 주입 채널을 포함하며, 상기 하나 이상의 전구체 가스 연결부는 상기 제1 주입 채널에 연결되는 제1 전구체 가스 연결부와 상기 제2 주입 채널에 연결되는 제2 전구체 가스 연결부를 포함하는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
- 화학적 기상 증착 반응기로서,제34항의 가스 분배 장치와, 반응실과, 인젝터로부터 하류측의 반응실에 장착되는 기판 캐리어를 포함하고, 상기 기판 캐리어는 하방으로 연장되는 축을 중심으로 회전될 수 있는 것인 화학적 기상 증착 반응기.
- 제1항에 있어서, 상기 인젝터의 하나 이상의 냉각수 통로를 통해 냉각수를 통과시키는 단계를 더 포함하고,상기 냉각수 통로는 냉각수 통로용의 S형 경로를 형성하는 냉각수 통로 벽에 의해 경계가 정해지고, 상기 냉각수 통로는 전구체 인입구들 또는 다공성 구조와 유체 연통되지 않도록 되며, 상기 전구체 인입구들은 냉각수 통로 벽들을 관통하여 연장되고, 상기 냉각수 통로는 냉각수 인입구와 냉각수 배출구에 냉각수가 통하도록 연결되며,상기 냉각수 통로는 상기 가스 분배 인젝터를 포함하는 다른 판들과 함께 적층된 냉각판 내에서 획정되고, 상기 냉각판은 냉각수 통로 벽이 안에서 돌출된 오목한 판과 상기 오목한 판의 개방된 면에 고정되어 상기 오목한 판의 개방된 단부를 밀봉하는 커버부재로부터 만들어지는 것인 화학적 기상 증착 방법.
- 제7항에 있어서, 상기 인젝터의 하나 이상의 냉각수 통로를 통해 냉각수를 통과시키는 단계를 더 포함하고,상기 냉각수 통로는 냉각수 통로용의 S형 경로를 형성하는 냉각수 통로 벽에 의해 경계가 정해지고, 상기 냉각수 통로는 전구체 인입구들 또는 다공성 구조와 유체 연통되지 않도록 되며, 상기 전구체 인입구들은 냉각수 통로 벽들을 관통하여 연장됨으로써 상기 냉각수 통로 벽 중 적어도 하나는 냉각수 통로 벽을 통해 연장하는 적어도 하나의 제1 전구체 인입구와 적어도 하나의 제2 전구체 인입구를 포함하고 상기 냉각수 통로는 냉각수 인입구와 냉각수 배출구에 냉각수가 통하도록 연결되는 것인 화학적 기상 증착 방법.
- 제16항에 있어서, 상기 냉각수 통로는 상기 가스 분배 인젝터를 포함하는 다른 판들과 함께 적층된 냉각판 내에서 획정되고, 상기 냉각판은 냉각수 통로 벽이 안에서 돌출된 오목한 판과 상기 오목한 판의 개방된 면에 고정되어 상기 오목한 판의 개방된 단부를 밀봉하는 커버부재로부터 만들어지는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
- 화학적 기상 증착 반응기용의 가스 분배장치로서,제15항에 따른 가스 분배 인젝터;하나 이상의 제1 전구체 가스를 공급하도록 제1 전구체 가스 연결부에 연결되는 하나 이상의 제1 전구체 가스 공급원과, 하나 이상의 제2 전구체 가스를 공급하도록 제2 전구체 가스 연결부에 연결되는 하나 이상의 제2 전구체 가스 공급원; 및상기 하나 이상의 제1 및 제2 전구체 가스로부터 형성되는 증착물이 내측면에 증착하는 것을 캐리어 가스가 저지하도록 하나 이상의 제1 및 제2 전구체 가스와 반응하지 않는 하나 이상의 캐리어 가스를 다공성 요소의 구멍에 공급하도록 캐리어 가스 연결부에 연결되는 하나 이상의 캐리어 가스 공급원; 을 포함하고,상기 가스 분배 인젝터가 하나 이상의 냉각수 통로를 포함하며,상기 냉각수 통로는 냉각수 통로용의 S형 경로를 형성하는 냉각수 통로 벽에 의해 경계가 정해지고, 상기 냉각수 통로는 전구체 인입구들 또는 다공성 요소와 유체 연통되지 않도록 되며, 상기 전구체 인입구들은 냉각수 통로 벽들을 관통하여 연장됨으로써 상기 냉각수 통로 벽 중 적어도 하나는 냉각수 통로 벽을 통해 연장하는 적어도 하나의 제1 전구체 인입구와 적어도 하나의 제2 전구체 인입구를 포함하고 상기 냉각수 통로는 냉각수 인입구와 냉각수 배출구에 냉각수가 통하도록 연결되는 것인 화학적 기상 증착 반응기용의 가스 분배장치.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US59817204P | 2004-08-02 | 2004-08-02 | |
US60/598,172 | 2004-08-02 | ||
PCT/US2005/026891 WO2006020424A2 (en) | 2004-08-02 | 2005-07-29 | Multi-gas distribution injector for chemical vapor deposition reactors |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20070048233A KR20070048233A (ko) | 2007-05-08 |
KR101309334B1 true KR101309334B1 (ko) | 2013-09-16 |
Family
ID=35908034
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020077005170A Active KR101309334B1 (ko) | 2004-08-02 | 2005-07-29 | 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터 |
Country Status (6)
Country | Link |
---|---|
US (2) | US20060021574A1 (ko) |
JP (1) | JP5519105B2 (ko) |
KR (1) | KR101309334B1 (ko) |
CN (2) | CN101090998B (ko) |
TW (1) | TWI319783B (ko) |
WO (1) | WO2006020424A2 (ko) |
Families Citing this family (275)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2005019496A1 (en) * | 2003-08-20 | 2005-03-03 | Veeco Instruments Inc. | Alkyl push flow for vertical flow rotating disk reactors |
KR101309334B1 (ko) * | 2004-08-02 | 2013-09-16 | 비코 인스트루먼츠 인코포레이티드 | 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터 |
KR100731164B1 (ko) * | 2005-05-19 | 2007-06-20 | 주식회사 피에조닉스 | 샤워헤드를 구비한 화학기상 증착 방법 및 장치 |
ITMI20050962A1 (it) * | 2005-05-25 | 2006-11-26 | Lpe Spa | Dispositivo per introurre gas di reazione in una camera di reazione e reattore epitassiale che lo utilizza |
US8709162B2 (en) * | 2005-08-16 | 2014-04-29 | Applied Materials, Inc. | Active cooling substrate support |
DE102005055468A1 (de) * | 2005-11-22 | 2007-05-24 | Aixtron Ag | Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor |
CN101137266B (zh) * | 2006-08-28 | 2012-04-11 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 气体注射装置 |
JP2008066413A (ja) * | 2006-09-05 | 2008-03-21 | Tokyo Electron Ltd | シャワーヘッド構造及びこれを用いた処理装置 |
CN101535523B (zh) * | 2006-10-06 | 2012-06-06 | 维高仪器股份有限公司 | 用于竖流型转盘式反应器的密度匹配的烷基挤出流 |
TWI410518B (zh) * | 2006-10-24 | 2013-10-01 | Applied Materials Inc | 用於原子層沉積的渦流室蓋 |
US7976634B2 (en) * | 2006-11-21 | 2011-07-12 | Applied Materials, Inc. | Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems |
US7879401B2 (en) * | 2006-12-22 | 2011-02-01 | The Regents Of The University Of Michigan | Organic vapor jet deposition using an exhaust |
US20080156264A1 (en) | 2006-12-27 | 2008-07-03 | Novellus Systems, Inc. | Plasma Generator Apparatus |
CN102174693B (zh) * | 2007-01-12 | 2014-10-29 | 威科仪器有限公司 | 气体处理系统 |
US20080236495A1 (en) * | 2007-03-27 | 2008-10-02 | Structured Materials Inc. | Showerhead for chemical vapor deposition (CVD) apparatus |
US8216419B2 (en) * | 2008-03-28 | 2012-07-10 | Bridgelux, Inc. | Drilled CVD shower head |
US20090096349A1 (en) * | 2007-04-26 | 2009-04-16 | Moshtagh Vahid S | Cross flow cvd reactor |
DE102007026349A1 (de) | 2007-06-06 | 2008-12-11 | Aixtron Ag | Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler |
US8092599B2 (en) | 2007-07-10 | 2012-01-10 | Veeco Instruments Inc. | Movable injectors in rotating disc gas reactors |
KR100920417B1 (ko) * | 2007-08-01 | 2009-10-14 | 주식회사 에이디피엔지니어링 | 센싱유닛 및 이를 가지는 기판처리장치 |
JP2009088229A (ja) * | 2007-09-28 | 2009-04-23 | Tokyo Electron Ltd | 成膜装置、成膜方法、記憶媒体及びガス供給装置 |
CN101802254B (zh) | 2007-10-11 | 2013-11-27 | 瓦伦斯处理设备公司 | 化学气相沉积反应器 |
US20090095221A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas concentric injection showerhead |
US7976631B2 (en) | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
US20090095222A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas spiral channel showerhead |
US8668775B2 (en) * | 2007-10-31 | 2014-03-11 | Toshiba Techno Center Inc. | Machine CVD shower head |
US9175419B2 (en) | 2007-12-20 | 2015-11-03 | Soitec | Apparatus for delivering precursor gases to an epitaxial growth substrate |
KR101444873B1 (ko) * | 2007-12-26 | 2014-09-26 | 주성엔지니어링(주) | 기판처리장치 |
KR20090078538A (ko) * | 2008-01-15 | 2009-07-20 | 삼성전기주식회사 | 샤워 헤드와 이를 구비하는 화학 기상 증착 장치 |
JP5351479B2 (ja) * | 2008-01-28 | 2013-11-27 | 東京エレクトロン株式会社 | 加熱源の冷却構造 |
JP5179389B2 (ja) * | 2008-03-19 | 2013-04-10 | 東京エレクトロン株式会社 | シャワーヘッド及び基板処理装置 |
US8110068B2 (en) * | 2008-03-20 | 2012-02-07 | Novellus Systems, Inc. | Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes |
US9591738B2 (en) * | 2008-04-03 | 2017-03-07 | Novellus Systems, Inc. | Plasma generator systems and methods of forming plasma |
KR101004927B1 (ko) * | 2008-04-24 | 2010-12-29 | 삼성엘이디 주식회사 | Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치 |
US20100212591A1 (en) * | 2008-05-30 | 2010-08-26 | Alta Devices, Inc. | Reactor lid assembly for vapor deposition |
KR100994920B1 (ko) * | 2008-06-05 | 2010-11-17 | 주식회사 소로나 | 기상 자기조립 단분자막 코팅장치 |
JP2010016225A (ja) * | 2008-07-04 | 2010-01-21 | Tokyo Electron Ltd | 温度調節機構および温度調節機構を用いた半導体製造装置 |
JP2010027868A (ja) * | 2008-07-18 | 2010-02-04 | Toshiba Corp | 気相成長装置及び気相成長方法 |
EP2309023A1 (en) * | 2008-07-30 | 2011-04-13 | Kyocera Corporation | Deposition film forming apparatus and deposition film forming method |
CN100568453C (zh) * | 2008-08-22 | 2009-12-09 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 等离子体处理设备、气体分配装置以及气体输送方法 |
US8916022B1 (en) | 2008-09-12 | 2014-12-23 | Novellus Systems, Inc. | Plasma generator systems and methods of forming plasma |
CN102246274A (zh) * | 2008-10-10 | 2011-11-16 | 奥塔装置公司 | 用于气相沉积的同轴喷头 |
CN105755451A (zh) * | 2008-10-24 | 2016-07-13 | 应用材料公司 | 多处气体馈送装置与方法 |
US8895107B2 (en) | 2008-11-06 | 2014-11-25 | Veeco Instruments Inc. | Chemical vapor deposition with elevated temperature gas injection |
JP5445044B2 (ja) * | 2008-11-14 | 2014-03-19 | 東京エレクトロン株式会社 | 成膜装置 |
CN110079789A (zh) * | 2008-12-04 | 2019-08-02 | 威科仪器有限公司 | 用于化学气相沉积的进气口元件及其制造方法 |
US8293013B2 (en) * | 2008-12-30 | 2012-10-23 | Intermolecular, Inc. | Dual path gas distribution device |
CA2653581A1 (en) | 2009-02-11 | 2010-08-11 | Kenneth Scott Alexander Butcher | Migration and plasma enhanced chemical vapour deposition |
EP2409320A4 (en) * | 2009-03-16 | 2013-07-24 | Alta Devices Inc | SHOWER APPLE FOR VAPOR DEPOSITION |
US8758512B2 (en) * | 2009-06-08 | 2014-06-24 | Veeco Ald Inc. | Vapor deposition reactor and method for forming thin film |
DE102009043840A1 (de) * | 2009-08-24 | 2011-03-03 | Aixtron Ag | CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor |
US20120100311A1 (en) * | 2009-08-28 | 2012-04-26 | Kyocera Corporation | Apparatus for forming deposited film and method for forming deposited film |
US9449859B2 (en) * | 2009-10-09 | 2016-09-20 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
KR20110054840A (ko) * | 2009-11-18 | 2011-05-25 | 주식회사 아토 | 샤워헤드 어셈블리 및 이를 구비한 박막증착장치 |
US20110256692A1 (en) * | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
KR101313262B1 (ko) | 2010-07-12 | 2013-09-30 | 삼성전자주식회사 | 화학 기상 증착 장치 및 이를 이용한 반도체 에피 박막의 제조 방법 |
US9303319B2 (en) * | 2010-12-17 | 2016-04-05 | Veeco Instruments Inc. | Gas injection system for chemical vapor deposition using sequenced valves |
GB201021870D0 (en) * | 2010-12-23 | 2011-02-02 | Element Six Ltd | A microwave plasma reactor for manufacturing synthetic diamond material |
GB2497880B (en) | 2010-12-23 | 2015-05-27 | Element Six Ltd | Controlling doping of synthetic diamond material |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8143147B1 (en) | 2011-02-10 | 2012-03-27 | Intermolecular, Inc. | Methods and systems for forming thin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
TWI534291B (zh) * | 2011-03-18 | 2016-05-21 | 應用材料股份有限公司 | 噴淋頭組件 |
WO2012139006A2 (en) * | 2011-04-07 | 2012-10-11 | Veeco Instruments Inc. | Metal-organic vapor phase epitaxy system and process |
ES2486307T3 (es) * | 2011-05-18 | 2014-08-18 | Riber | Inyector para un sistema de deposición de vapor bajo vacío |
DE102011056589A1 (de) | 2011-07-12 | 2013-01-17 | Aixtron Se | Gaseinlassorgan eines CVD-Reaktors |
TWI505400B (zh) * | 2011-08-26 | 2015-10-21 | Lg Siltron Inc | 基座 |
US10066297B2 (en) * | 2011-08-31 | 2018-09-04 | Alta Devices, Inc. | Tiled showerhead for a semiconductor chemical vapor deposition reactor |
US9175393B1 (en) * | 2011-08-31 | 2015-11-03 | Alta Devices, Inc. | Tiled showerhead for a semiconductor chemical vapor deposition reactor |
TWI512139B (zh) * | 2011-09-16 | 2015-12-11 | Kern Energy Entpr Co Ltd | 薄膜製程設備及其製作流程 |
CN103014667B (zh) * | 2011-09-23 | 2015-07-01 | 理想能源设备(上海)有限公司 | 化学气相沉积装置 |
CN103031534B (zh) * | 2011-09-28 | 2015-05-13 | 核心能源实业有限公司 | 薄膜工艺设备及其制作方法 |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9109754B2 (en) | 2011-10-19 | 2015-08-18 | Applied Materials, Inc. | Apparatus and method for providing uniform flow of gas |
US20130145989A1 (en) * | 2011-12-12 | 2013-06-13 | Intermolecular, Inc. | Substrate processing tool showerhead |
JP6038618B2 (ja) * | 2011-12-15 | 2016-12-07 | 株式会社ニューフレアテクノロジー | 成膜装置および成膜方法 |
TW201335418A (zh) * | 2012-02-17 | 2013-09-01 | Tokyo Electron Ltd | Mocvd反應器用淋灑頭、mocvd反應器、mocvd裝置、以及潔淨方法 |
CN102586739A (zh) * | 2012-03-14 | 2012-07-18 | 无锡康力电子有限公司 | 真空镀膜用布气系统 |
TWI498273B (zh) * | 2012-04-02 | 2015-09-01 | Nat Applied Res Laboratories | 微型篩網裝置及其製造方法 |
JP2013229493A (ja) * | 2012-04-26 | 2013-11-07 | Sharp Corp | Iii族窒化物半導体積層基板およびiii族窒化物半導体電界効果トランジスタ |
US20130295283A1 (en) * | 2012-05-07 | 2013-11-07 | Pinecone Material Inc. | Chemical vapor deposition apparatus with multiple inlets for controlling film thickness and uniformity |
CN103388132B (zh) * | 2012-05-11 | 2015-11-25 | 中微半导体设备(上海)有限公司 | 气体喷淋头、其制造方法及薄膜生长反应器 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US20140061039A1 (en) * | 2012-09-05 | 2014-03-06 | Applied Materials, Inc. | Target cooling for physical vapor deposition (pvd) processing systems |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) * | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10174422B2 (en) * | 2012-10-25 | 2019-01-08 | Applied Materials, Inc. | Apparatus for selective gas injection and extraction |
US20140120735A1 (en) * | 2012-10-31 | 2014-05-01 | Macronix International Co., Ltd. | Semiconductor process gas flow control apparatus |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
JP6134522B2 (ja) * | 2013-01-30 | 2017-05-24 | 株式会社ニューフレアテクノロジー | 気相成長装置および気相成長方法 |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
JP6115244B2 (ja) | 2013-03-28 | 2017-04-19 | 東京エレクトロン株式会社 | 成膜装置 |
KR102156795B1 (ko) * | 2013-05-15 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 증착 장치 |
JP6065762B2 (ja) * | 2013-06-21 | 2017-01-25 | 株式会社デンソー | 炭化珪素半導体成膜装置およびそれを用いた成膜方法 |
US9677176B2 (en) * | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
JP6058515B2 (ja) * | 2013-10-04 | 2017-01-11 | 漢民科技股▲分▼有限公司 | 気相成膜装置 |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
CN103911657A (zh) * | 2013-11-25 | 2014-07-09 | 东莞市中镓半导体科技有限公司 | 一种化合物半导体大面积气相外延用喷口分布方式 |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US20150167160A1 (en) * | 2013-12-16 | 2015-06-18 | Applied Materials, Inc. | Enabling radical-based deposition of dielectric films |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
CN104752275B (zh) * | 2013-12-29 | 2018-01-09 | 北京北方华创微电子装备有限公司 | 工艺腔室以及半导体加工设备 |
KR101560623B1 (ko) * | 2014-01-03 | 2015-10-15 | 주식회사 유진테크 | 기판처리장치 및 기판처리방법 |
WO2015103358A1 (en) | 2014-01-05 | 2015-07-09 | Applied Materials, Inc. | Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
JP6237264B2 (ja) | 2014-01-24 | 2017-11-29 | 東京エレクトロン株式会社 | 縦型熱処理装置、熱処理方法及び記憶媒体 |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
TWI545224B (zh) * | 2014-02-26 | 2016-08-11 | 國立中央大學 | 用於有機金屬化學氣相沉積設備之進氣系統 |
US9284644B2 (en) * | 2014-02-27 | 2016-03-15 | Lam Research Corporation | Apparatus and method for improving wafer uniformity |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
JP2017509787A (ja) * | 2014-03-15 | 2017-04-06 | ビーコ・エーエルディー インコーポレイテッド | 堆積装置に洗浄ガスを噴射することによる堆積装置の洗浄 |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
JP6320824B2 (ja) * | 2014-03-31 | 2018-05-09 | 株式会社東芝 | ガス供給管、およびガス処理装置 |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
DE102014106523A1 (de) * | 2014-05-09 | 2015-11-12 | Aixtron Se | Vorrichtung und Verfahren zum Versorgen einer CVD- oder PVD-Beschichtungseinrichtung mit einem Prozessgasgemisch |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US20150361582A1 (en) * | 2014-06-17 | 2015-12-17 | Veeco Instruments, Inc. | Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9840777B2 (en) * | 2014-06-27 | 2017-12-12 | Applied Materials, Inc. | Apparatus for radical-based deposition of dielectric films |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9679749B2 (en) * | 2014-09-26 | 2017-06-13 | Lam Research Corporation | Gas distribution device with actively cooled grid |
JP2016081945A (ja) * | 2014-10-09 | 2016-05-16 | 株式会社ニューフレアテクノロジー | 気相成長装置および気相成長方法 |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
JP6305314B2 (ja) * | 2014-10-29 | 2018-04-04 | 東京エレクトロン株式会社 | 成膜装置およびシャワーヘッド |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
FR3029939A1 (fr) * | 2014-12-16 | 2016-06-17 | Saint-Gobain Lumilog | Reacteur de depot chimique en phase vapeur |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
TW201623681A (zh) * | 2014-12-26 | 2016-07-01 | Advanced Micro Fab Equip Inc | 氣體噴淋頭及沉積裝置 |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
JP6054471B2 (ja) | 2015-05-26 | 2016-12-27 | 株式会社日本製鋼所 | 原子層成長装置および原子層成長装置排気部 |
JP5990626B1 (ja) * | 2015-05-26 | 2016-09-14 | 株式会社日本製鋼所 | 原子層成長装置 |
JP6054470B2 (ja) | 2015-05-26 | 2016-12-27 | 株式会社日本製鋼所 | 原子層成長装置 |
JP6811732B2 (ja) * | 2015-06-17 | 2021-01-13 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 処理チャンバ中のガス制御 |
TWI723997B (zh) * | 2015-06-19 | 2021-04-11 | 美商應用材料股份有限公司 | 用於批次處理之注射器及使用方法 |
JP5961733B1 (ja) * | 2015-07-30 | 2016-08-02 | 雅彰 高野 | 脈動流体または断続流体の生成装置 |
US9748113B2 (en) | 2015-07-30 | 2017-08-29 | Veeco Intruments Inc. | Method and apparatus for controlled dopant incorporation and activation in a chemical vapor deposition system |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10780447B2 (en) * | 2016-04-26 | 2020-09-22 | Applied Materials, Inc. | Apparatus for controlling temperature uniformity of a showerhead |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10266947B2 (en) | 2016-08-23 | 2019-04-23 | Lam Research Corporation | Rotary friction welded blank for PECVD heated showerhead |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10546729B2 (en) * | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
JP2018093150A (ja) * | 2016-12-07 | 2018-06-14 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
US10954596B2 (en) * | 2016-12-08 | 2021-03-23 | Applied Materials, Inc. | Temporal atomic layer deposition process chamber |
US10604841B2 (en) | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
KR102096700B1 (ko) * | 2017-03-29 | 2020-04-02 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 장치 및 기판 처리 방법 |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US11380557B2 (en) * | 2017-06-05 | 2022-07-05 | Applied Materials, Inc. | Apparatus and method for gas delivery in semiconductor process chambers |
CN107195526A (zh) * | 2017-06-08 | 2017-09-22 | 上海华力微电子有限公司 | 一种减少机台的部件之间摩擦的方法 |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US20190032211A1 (en) * | 2017-07-28 | 2019-01-31 | Lam Research Corporation | Monolithic ceramic gas distribution plate |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
DE102017124456A1 (de) | 2017-10-19 | 2019-04-25 | Heraeus Noblelight Gmbh | Beheizbarer Gasinjektor |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
CN111433902A (zh) | 2017-12-08 | 2020-07-17 | 朗姆研究公司 | 向下游室传送自由基和前体气体以实现远程等离子体膜沉积的有改进的孔图案的集成喷头 |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
WO2019125774A1 (en) * | 2017-12-20 | 2019-06-27 | Lam Research Corporation | Systems and methods for homogenous intermixing of precursors in alloy atomic layer deposition |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
KR102576220B1 (ko) * | 2018-06-22 | 2023-09-07 | 삼성디스플레이 주식회사 | 박막 처리 장치 및 박막 처리 방법 |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
KR102329735B1 (ko) | 2018-08-24 | 2021-11-22 | 주식회사 엘지화학 | 코팅기 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
TWI689618B (zh) * | 2018-10-04 | 2020-04-01 | 漢民科技股份有限公司 | 應用於半導體設備之氣體噴射裝置 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
CN111101117B (zh) * | 2018-10-29 | 2022-07-22 | 北京北方华创微电子装备有限公司 | 匀气装置和半导体处理设备 |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
JP7175169B2 (ja) * | 2018-11-30 | 2022-11-18 | 昭和電工株式会社 | SiCエピタキシャル成長装置 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20210127768A (ko) * | 2019-03-11 | 2021-10-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들 |
DE102019119019A1 (de) * | 2019-07-12 | 2021-01-14 | Aixtron Se | Gaseinlassorgan für einen CVD-Reaktor |
US11564292B2 (en) * | 2019-09-27 | 2023-01-24 | Applied Materials, Inc. | Monolithic modular microwave source with integrated temperature control |
US11225716B2 (en) * | 2019-11-27 | 2022-01-18 | Tokyo Electron Limited | Internally cooled multi-hole injectors for delivery of process chemicals |
EP4067532A4 (en) * | 2019-11-27 | 2023-08-16 | Sino Nitride Semiconductor Co, Ltd | GAN MATERIAL GROWTH LINEAR SPRAY HEAD |
US11486039B2 (en) | 2020-05-18 | 2022-11-01 | Ohio State Innovation Foundation | Laser-assisted metal-organic chemical vapor deposition devices and methods of use thereof |
WO2022040164A1 (en) * | 2020-08-18 | 2022-02-24 | Mattson Technology, Inc. | Rapid thermal processing system with cooling system |
DE102020123076A1 (de) | 2020-09-03 | 2022-03-03 | Aixtron Se | Gaseinlassorgan eines CVD-Reaktors mit zwei Einspeisestellen |
CN112813417A (zh) * | 2020-12-28 | 2021-05-18 | 厦门理工学院 | 一种沉积装置及系统 |
US11846024B2 (en) * | 2021-03-15 | 2023-12-19 | Ohio State Innovation Foundation | Laser-assisted metal-organic chemical vapor deposition devices and methods of use thereof for suppressing background carbon incorporation |
JP7315607B2 (ja) * | 2021-03-16 | 2023-07-26 | 株式会社Kokusai Electric | 基板処理装置、基板処理方法及び半導体装置の製造方法 |
CN116180048A (zh) * | 2021-11-29 | 2023-05-30 | 湖南红太阳光电科技有限公司 | 一种用于原子层沉积高保形度三维图形的反应室系统 |
US20230317416A1 (en) * | 2022-04-01 | 2023-10-05 | Applied Materials, Inc. | Plasma showerhead with improved uniformity |
CN114893477A (zh) * | 2022-06-01 | 2022-08-12 | 北京北方华创微电子装备有限公司 | 半导体工艺设备及其匀气装置 |
CN115404463B (zh) * | 2022-10-31 | 2023-03-24 | 上海星原驰半导体有限公司 | 原子层沉积设备及原子层沉积喷淋装置 |
WO2024118468A1 (en) * | 2022-11-28 | 2024-06-06 | Veeco Instruments Inc. | Multi-disc chemical vapor deposition system |
CN118854252B (zh) * | 2024-07-10 | 2025-03-11 | 湖南联合半导体科技有限公司 | 一种用于制备颗粒产品的化学气相沉积装置及其方法 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0891989A (ja) * | 1994-06-14 | 1996-04-09 | Thomas Swan & Co Ltd | 化学蒸着に関する改良 |
US6428850B1 (en) | 1998-05-13 | 2002-08-06 | Tokyo Electron Limited | Single-substrate-processing CVD method of forming film containing metal element |
US6499425B1 (en) | 1999-01-22 | 2002-12-31 | Micron Technology, Inc. | Quasi-remote plasma processing method and apparatus |
US20040050328A1 (en) * | 2002-09-17 | 2004-03-18 | Akira Kumagai | Film-forming system and film-forming method |
Family Cites Families (117)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3297501A (en) * | 1963-12-31 | 1967-01-10 | Ibm | Process for epitaxial growth of semiconductor single crystals |
US3854443A (en) * | 1973-12-19 | 1974-12-17 | Intel Corp | Gas reactor for depositing thin films |
US3888705A (en) * | 1973-12-19 | 1975-06-10 | Nasa | Vapor phase growth of groups iii-v compounds by hydrogen chloride transport of the elements |
JPS5152208A (en) * | 1974-10-30 | 1976-05-08 | Koden Electronics Co Ltd | Jushinkino toratsukinguhoho |
JPS6047202B2 (ja) * | 1976-01-13 | 1985-10-21 | 東北大学金属材料研究所長 | 超硬高純度の配向多結晶質窒化珪素 |
CH628600A5 (fr) * | 1979-02-14 | 1982-03-15 | Siv Soc Italiana Vetro | Procede pour deposer en continu, sur la surface d'un substrat porte a haute temperature, une couche d'une matiere solide et installation pour la mise en oeuvre de ce procede. |
IT1134153B (it) * | 1979-11-21 | 1986-07-31 | Siv Soc Italiana Vetro | Ugello per depositare in continuo su un substrato uno strato di una materia solida |
US4718976A (en) * | 1982-03-31 | 1988-01-12 | Fujitsu Limited | Process and apparatus for plasma treatment |
JPS58176196A (ja) * | 1982-04-06 | 1983-10-15 | Matsushita Electric Ind Co Ltd | 化合物結晶成長装置 |
JPS6081093A (ja) * | 1983-10-06 | 1985-05-09 | Ulvac Corp | 気相エピタキシヤル成長用化学反応装置 |
US4798165A (en) * | 1985-10-07 | 1989-01-17 | Epsilon | Apparatus for chemical vapor deposition using an axially symmetric gas flow |
US5322568A (en) * | 1985-12-28 | 1994-06-21 | Canon Kabushiki Kaisha | Apparatus for forming deposited film |
JPS62199019A (ja) * | 1986-02-27 | 1987-09-02 | Oki Electric Ind Co Ltd | ウエハ処理装置 |
US4839145A (en) * | 1986-08-27 | 1989-06-13 | Massachusetts Institute Of Technology | Chemical vapor deposition reactor |
FR2604917B1 (fr) * | 1986-10-09 | 1989-01-27 | Aerospatiale | Procede, cellule et dispositif de cristallogenese, notamment par vaisseau spatial |
EP0276796B1 (en) * | 1987-01-27 | 1992-04-08 | Asahi Glass Company Ltd. | Gas feeding nozzle for a chemical vapor deposition apparatus |
US4980204A (en) * | 1987-11-27 | 1990-12-25 | Fujitsu Limited | Metal organic chemical vapor deposition method with controlled gas flow rate |
JPH0644986B2 (ja) * | 1988-05-08 | 1994-06-15 | 忠弘 大見 | プロセスガス供給配管装置 |
US5156820A (en) * | 1989-05-15 | 1992-10-20 | Rapro Technology, Inc. | Reaction chamber with controlled radiant energy heating and distributed reactant flow |
US4993358A (en) * | 1989-07-28 | 1991-02-19 | Watkins-Johnson Company | Chemical vapor deposition reactor and method of operation |
DE69006809T2 (de) * | 1989-09-12 | 1994-09-15 | Shinetsu Chemical Co | Vorrichtung für die Verdampfung und Bereitstellung von Organometallverbindungen. |
US4983358A (en) * | 1989-09-13 | 1991-01-08 | Sverdrup Technology, Inc. | Niobium-aluminum base alloys having improved, high temperature oxidation resistance |
US5077875A (en) * | 1990-01-31 | 1992-01-07 | Raytheon Company | Reactor vessel for the growth of heterojunction devices |
US5094974A (en) * | 1990-02-28 | 1992-03-10 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Growth of III-V films by control of MBE growth front stoichiometry |
US4985111A (en) * | 1990-03-02 | 1991-01-15 | Chemcut Corporation | Process and apparatus for intermittent fluid application |
JP2626925B2 (ja) * | 1990-05-23 | 1997-07-02 | 三菱電機株式会社 | 基板処理装置および基板処理方法 |
US5136975A (en) * | 1990-06-21 | 1992-08-11 | Watkins-Johnson Company | Injector and method for delivering gaseous chemicals to a surface |
US5269847A (en) * | 1990-08-23 | 1993-12-14 | Applied Materials, Inc. | Variable rate distribution gas flow reaction chamber |
US5304247A (en) * | 1990-09-21 | 1994-04-19 | Fujitsu Limited | Apparatus for depositing compound semiconductor crystal |
JPH04287912A (ja) * | 1991-02-19 | 1992-10-13 | Mitsubishi Electric Corp | 半導体製造装置 |
JPH0766919B2 (ja) * | 1991-02-20 | 1995-07-19 | 株式会社半導体プロセス研究所 | 半導体製造装置 |
JPH05144753A (ja) * | 1991-11-21 | 1993-06-11 | Nissin Electric Co Ltd | 薄膜気相成長装置 |
US5336324A (en) * | 1991-12-04 | 1994-08-09 | Emcore Corporation | Apparatus for depositing a coating on a substrate |
JPH069297A (ja) * | 1991-12-09 | 1994-01-18 | Sumitomo Electric Ind Ltd | 成膜装置 |
US5803977A (en) * | 1992-09-30 | 1998-09-08 | Applied Materials, Inc. | Apparatus for full wafer deposition |
US5453124A (en) * | 1992-12-30 | 1995-09-26 | Texas Instruments Incorporated | Programmable multizone gas injector for single-wafer semiconductor processing equipment |
TW289839B (ko) * | 1993-02-09 | 1996-11-01 | Gen Instrument Corp | |
US5578129A (en) * | 1993-03-17 | 1996-11-26 | Tokyo Electron Limited | Gas supplying head and load lock chamber of semiconductor processing system |
JPH07142394A (ja) * | 1993-11-12 | 1995-06-02 | Sony Corp | Cvd方法及びcvd装置 |
KR950020993A (ko) * | 1993-12-22 | 1995-07-26 | 김광호 | 반도체 제조장치 |
US5551982A (en) * | 1994-03-31 | 1996-09-03 | Applied Materials, Inc. | Semiconductor wafer process chamber with susceptor back coating |
US5516722A (en) * | 1994-10-31 | 1996-05-14 | Texas Instruments Inc. | Method for increasing doping uniformity in a flow flange reactor |
US6409828B1 (en) * | 1994-10-31 | 2002-06-25 | Texas Instruments Incorporated | Method and apparatus for achieving a desired thickness profile in a flow-flange reactor |
JPH08255795A (ja) * | 1995-03-15 | 1996-10-01 | Sony Corp | 半導体製造方法および装置 |
JP3360098B2 (ja) * | 1995-04-20 | 2002-12-24 | 東京エレクトロン株式会社 | 処理装置のシャワーヘッド構造 |
EP0738788B1 (en) * | 1995-04-20 | 2003-08-13 | Ebara Corporation | Thin-Film vapor deposition apparatus |
JP3534940B2 (ja) * | 1995-04-20 | 2004-06-07 | 株式会社荏原製作所 | 薄膜気相成長装置 |
US5683517A (en) * | 1995-06-07 | 1997-11-04 | Applied Materials, Inc. | Plasma reactor with programmable reactant gas distribution |
JPH0945624A (ja) * | 1995-07-27 | 1997-02-14 | Tokyo Electron Ltd | 枚葉式の熱処理装置 |
US5772771A (en) * | 1995-12-13 | 1998-06-30 | Applied Materials, Inc. | Deposition chamber for improved deposition thickness uniformity |
US5653807A (en) * | 1996-03-28 | 1997-08-05 | The United States Of America As Represented By The Secretary Of The Air Force | Low temperature vapor phase epitaxial system for depositing thin layers of silicon-germanium alloy |
US6070551A (en) * | 1996-05-13 | 2000-06-06 | Applied Materials, Inc. | Deposition chamber and method for depositing low dielectric constant films |
US5976261A (en) * | 1996-07-11 | 1999-11-02 | Cvc Products, Inc. | Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment |
JP3360539B2 (ja) * | 1996-07-12 | 2002-12-24 | 信越半導体株式会社 | ガス供給装置及び気相成長用設備 |
JP3310171B2 (ja) * | 1996-07-17 | 2002-07-29 | 松下電器産業株式会社 | プラズマ処理装置 |
US6090210A (en) * | 1996-07-24 | 2000-07-18 | Applied Materials, Inc. | Multi-zone gas flow control in a process chamber |
US5653808A (en) * | 1996-08-07 | 1997-08-05 | Macleish; Joseph H. | Gas injection system for CVD reactors |
US5950925A (en) * | 1996-10-11 | 1999-09-14 | Ebara Corporation | Reactant gas ejector head |
KR100242982B1 (ko) * | 1996-10-17 | 2000-02-01 | 김영환 | 반도체 장비의 가스 공급 장치 |
US6039812A (en) * | 1996-10-21 | 2000-03-21 | Abb Research Ltd. | Device for epitaxially growing objects and method for such a growth |
US5992463A (en) * | 1996-10-30 | 1999-11-30 | Unit Instruments, Inc. | Gas panel |
US5911834A (en) * | 1996-11-18 | 1999-06-15 | Applied Materials, Inc. | Gas delivery system |
US6280793B1 (en) * | 1996-11-20 | 2001-08-28 | Micron Technology, Inc. | Electrostatic method and apparatus for vaporizing precursors and system for using same |
US6136186A (en) * | 1997-01-31 | 2000-10-24 | Lynntech, Inc. | Photocatalytic oxidation of organics using a porous titanium dioxide membrane and an efficient oxidant |
JPH10306377A (ja) * | 1997-05-02 | 1998-11-17 | Tokyo Electron Ltd | 微量ガス供給方法及びその装置 |
GB9724168D0 (en) * | 1997-11-14 | 1998-01-14 | Air Prod & Chem | Gas control device and method of supplying gas |
US6132552A (en) * | 1998-02-19 | 2000-10-17 | Micron Technology, Inc. | Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor |
KR100282853B1 (ko) * | 1998-05-18 | 2001-04-02 | 서성기 | 연속기체분사에의한반도체박막증착장치 |
US6185839B1 (en) * | 1998-05-28 | 2001-02-13 | Applied Materials, Inc. | Semiconductor process chamber having improved gas distributor |
US6086677A (en) * | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6302964B1 (en) * | 1998-06-16 | 2001-10-16 | Applied Materials, Inc. | One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US6289842B1 (en) * | 1998-06-22 | 2001-09-18 | Structured Materials Industries Inc. | Plasma enhanced chemical vapor deposition system |
US6120606A (en) * | 1998-06-26 | 2000-09-19 | Acer Semiconductor Manufacturing Inc. | Gas vent system for a vacuum chamber |
US6080241A (en) * | 1998-09-02 | 2000-06-27 | Emcore Corporation | Chemical vapor deposition chamber having an adjustable flow flange |
KR100273474B1 (ko) * | 1998-09-14 | 2000-12-15 | 이경수 | 화학기상 증착장치의 가스 공급장치와 그 제어방법 |
US6261374B1 (en) * | 1998-09-29 | 2001-07-17 | Applied Materials, Inc. | Clog resistant gas delivery system |
US6143078A (en) * | 1998-11-13 | 2000-11-07 | Applied Materials, Inc. | Gas distribution system for a CVD processing chamber |
NL1011017C2 (nl) * | 1999-01-13 | 2000-07-31 | Asm Int | Inrichting voor het positioneren van een wafer. |
TW582050B (en) * | 1999-03-03 | 2004-04-01 | Ebara Corp | Apparatus and method for processing substrate |
US6402848B1 (en) * | 1999-04-23 | 2002-06-11 | Tokyo Electron Limited | Single-substrate-treating apparatus for semiconductor processing system |
US20010047756A1 (en) * | 1999-05-17 | 2001-12-06 | Bartholomew Lawrence Duane | Gas distribution system |
US6206972B1 (en) * | 1999-07-08 | 2001-03-27 | Genus, Inc. | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes |
JP2001064777A (ja) * | 1999-08-30 | 2001-03-13 | Ebara Corp | ガス噴射ヘッド |
JP4327319B2 (ja) * | 1999-12-24 | 2009-09-09 | 株式会社アルバック | 雛壇形シャワーヘッド、及びそのシャワーヘッドを用いた真空処理装置 |
JP4246343B2 (ja) * | 2000-01-06 | 2009-04-02 | 株式会社荏原製作所 | ガス雰囲気形成装置及びガス雰囲気形成方法 |
JP3654142B2 (ja) * | 2000-01-20 | 2005-06-02 | 住友電気工業株式会社 | 半導体製造装置用ガスシャワー体 |
US7011710B2 (en) * | 2000-04-10 | 2006-03-14 | Applied Materials Inc. | Concentration profile on demand gas delivery system (individual divert delivery system) |
US6635117B1 (en) * | 2000-04-26 | 2003-10-21 | Axcelis Technologies, Inc. | Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system |
US6461435B1 (en) * | 2000-06-22 | 2002-10-08 | Applied Materials, Inc. | Showerhead with reduced contact area |
US6602346B1 (en) * | 2000-08-22 | 2003-08-05 | Novellus Systems, Inc. | Gas-purged vacuum valve |
US20020072164A1 (en) * | 2000-09-13 | 2002-06-13 | Applied Materials, Inc. | Processing chamber with multi-layer brazed lid |
US6333272B1 (en) * | 2000-10-06 | 2001-12-25 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
WO2002061179A1 (en) * | 2001-01-19 | 2002-08-08 | Tokyo Electron Limited | Method and apparatus for gas injection system with minimum particulate contamination |
EP1361604B1 (en) * | 2001-01-22 | 2009-03-18 | Tokyo Electron Limited | Device and method for treatment |
US6598610B2 (en) * | 2001-02-05 | 2003-07-29 | Dalsa Semiconductor Inc. | Method of depositing a thick dielectric film |
WO2002074445A2 (en) * | 2001-02-28 | 2002-09-26 | Porter Instrument Company, Inc. | Atomizer |
US20020129768A1 (en) * | 2001-03-15 | 2002-09-19 | Carpenter Craig M. | Chemical vapor deposition apparatuses and deposition methods |
JP4050483B2 (ja) * | 2001-05-14 | 2008-02-20 | 株式会社日立国際電気 | 基板処理装置および半導体装置の製造方法 |
JP4720019B2 (ja) * | 2001-05-18 | 2011-07-13 | 東京エレクトロン株式会社 | 冷却機構及び処理装置 |
KR100427996B1 (ko) * | 2001-07-19 | 2004-04-28 | 주식회사 아이피에스 | 박막증착용 반응용기 및 그를 이용한 박막증착방법 |
US6676760B2 (en) * | 2001-08-16 | 2004-01-13 | Appiled Materials, Inc. | Process chamber having multiple gas distributors and method |
TW573053B (en) * | 2001-09-10 | 2004-01-21 | Anelva Corp | Surface processing apparatus |
US7780785B2 (en) * | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
KR100450068B1 (ko) * | 2001-11-23 | 2004-09-24 | 주성엔지니어링(주) | Cvd 장치의 멀티섹터 평판형 샤워헤드 |
KR20030065810A (ko) * | 2002-02-01 | 2003-08-09 | 필터레이 화이버 옵틱스 인코퍼레이티드 | 광학박막 제조 장치 및 방법 |
US20030159653A1 (en) * | 2002-02-28 | 2003-08-28 | Dando Ross S. | Manifold assembly for feeding reactive precursors to substrate processing chambers |
US6743736B2 (en) * | 2002-04-11 | 2004-06-01 | Micron Technology, Inc. | Reactive gaseous deposition precursor feed apparatus |
KR20030081144A (ko) * | 2002-04-11 | 2003-10-17 | 가부시키가이샤 히다치 고쿠사이 덴키 | 종형 반도체 제조 장치 |
US6749906B2 (en) * | 2002-04-25 | 2004-06-15 | Eastman Kodak Company | Thermal physical vapor deposition apparatus with detachable vapor source(s) and method |
US7160577B2 (en) * | 2002-05-02 | 2007-01-09 | Micron Technology, Inc. | Methods for atomic-layer deposition of aluminum oxides in integrated circuits |
US6887521B2 (en) * | 2002-08-15 | 2005-05-03 | Micron Technology, Inc. | Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices |
US20040050326A1 (en) * | 2002-09-12 | 2004-03-18 | Thilderkvist Karin Anna Lena | Apparatus and method for automatically controlling gas flow in a substrate processing system |
TW587139B (en) * | 2002-10-18 | 2004-05-11 | Winbond Electronics Corp | Gas distribution system and method for the plasma gas in the chamber |
JP4036292B2 (ja) * | 2002-11-20 | 2008-01-23 | 古河機械金属株式会社 | 気相成長装置のガス吹き出し部 |
JP3574651B2 (ja) * | 2002-12-05 | 2004-10-06 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
US8317968B2 (en) * | 2004-04-30 | 2012-11-27 | Lam Research Corporation | Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing |
KR101309334B1 (ko) * | 2004-08-02 | 2013-09-16 | 비코 인스트루먼츠 인코포레이티드 | 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터 |
-
2005
- 2005-07-29 KR KR1020077005170A patent/KR101309334B1/ko active Active
- 2005-07-29 CN CN200580030594XA patent/CN101090998B/zh not_active Expired - Fee Related
- 2005-07-29 WO PCT/US2005/026891 patent/WO2006020424A2/en active Application Filing
- 2005-07-29 JP JP2007524857A patent/JP5519105B2/ja not_active Expired - Fee Related
- 2005-07-29 CN CN201110127968.7A patent/CN102154628B/zh not_active Expired - Fee Related
- 2005-07-29 US US11/192,483 patent/US20060021574A1/en not_active Abandoned
- 2005-08-01 TW TW094126032A patent/TWI319783B/zh not_active IP Right Cessation
-
2010
- 2010-08-16 US US12/857,083 patent/US20100300359A1/en not_active Abandoned
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0891989A (ja) * | 1994-06-14 | 1996-04-09 | Thomas Swan & Co Ltd | 化学蒸着に関する改良 |
US6428850B1 (en) | 1998-05-13 | 2002-08-06 | Tokyo Electron Limited | Single-substrate-processing CVD method of forming film containing metal element |
US6499425B1 (en) | 1999-01-22 | 2002-12-31 | Micron Technology, Inc. | Quasi-remote plasma processing method and apparatus |
US20040050328A1 (en) * | 2002-09-17 | 2004-03-18 | Akira Kumagai | Film-forming system and film-forming method |
Also Published As
Publication number | Publication date |
---|---|
US20060021574A1 (en) | 2006-02-02 |
US20100300359A1 (en) | 2010-12-02 |
JP2008508744A (ja) | 2008-03-21 |
CN102154628A (zh) | 2011-08-17 |
CN102154628B (zh) | 2014-05-07 |
CN101090998B (zh) | 2013-10-16 |
KR20070048233A (ko) | 2007-05-08 |
JP5519105B2 (ja) | 2014-06-11 |
TWI319783B (en) | 2010-01-21 |
CN101090998A (zh) | 2007-12-19 |
WO2006020424A3 (en) | 2007-06-28 |
TW200619415A (en) | 2006-06-16 |
WO2006020424A2 (en) | 2006-02-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101309334B1 (ko) | 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터 | |
TWI390608B (zh) | 氣體處理系統 | |
US8298370B2 (en) | Apparatus for chemical vapor deposition (CVD) with showerhead | |
US9315897B2 (en) | Showerhead for film depositing vacuum equipment | |
US10130958B2 (en) | Showerhead assembly with gas injection distribution devices | |
JP5859004B2 (ja) | ガス注入分散デバイスを備えるシャワーヘッドアセンブリ | |
US20100263588A1 (en) | Methods and apparatus for epitaxial growth of semiconductor materials | |
US8882913B2 (en) | Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof | |
EP1129234B1 (en) | Dual channel gas distribution plate | |
TWI490366B (zh) | Cvd腔室之流體控制特徵結構 | |
US8216419B2 (en) | Drilled CVD shower head | |
CN110904432B (zh) | 一种mocvd反应器 | |
JP3168277B2 (ja) | 半導体結晶成長装置 | |
CN108728821B (zh) | Mocvd处理装置以及用于mocvd的气体供应装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PA0105 | International application |
Patent event date: 20070302 Patent event code: PA01051R01D Comment text: International Patent Application |
|
PG1501 | Laying open of application | ||
A201 | Request for examination | ||
AMND | Amendment | ||
PA0201 | Request for examination |
Patent event code: PA02012R01D Patent event date: 20100729 Comment text: Request for Examination of Application |
|
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20120710 Patent event code: PE09021S01D |
|
AMND | Amendment | ||
E601 | Decision to refuse application | ||
PE0601 | Decision on rejection of patent |
Patent event date: 20130327 Comment text: Decision to Refuse Application Patent event code: PE06012S01D Patent event date: 20120710 Comment text: Notification of reason for refusal Patent event code: PE06011S01I |
|
AMND | Amendment | ||
J201 | Request for trial against refusal decision | ||
PJ0201 | Trial against decision of rejection |
Patent event date: 20130425 Comment text: Request for Trial against Decision on Refusal Patent event code: PJ02012R01D Patent event date: 20130327 Comment text: Decision to Refuse Application Patent event code: PJ02011S01I Appeal kind category: Appeal against decision to decline refusal Decision date: 20130614 Appeal identifier: 2013101003052 Request date: 20130425 |
|
PB0901 | Examination by re-examination before a trial |
Comment text: Amendment to Specification, etc. Patent event date: 20130425 Patent event code: PB09011R02I Comment text: Request for Trial against Decision on Refusal Patent event date: 20130425 Patent event code: PB09011R01I Comment text: Amendment to Specification, etc. Patent event date: 20121109 Patent event code: PB09011R02I Comment text: Amendment to Specification, etc. Patent event date: 20100729 Patent event code: PB09011R02I |
|
B701 | Decision to grant | ||
PB0701 | Decision of registration after re-examination before a trial |
Patent event date: 20130614 Comment text: Decision to Grant Registration Patent event code: PB07012S01D Patent event date: 20130528 Comment text: Transfer of Trial File for Re-examination before a Trial Patent event code: PB07011S01I |
|
GRNT | Written decision to grant | ||
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20130910 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20130910 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration | ||
FPAY | Annual fee payment |
Payment date: 20160629 Year of fee payment: 4 |
|
PR1001 | Payment of annual fee |
Payment date: 20160629 Start annual number: 4 End annual number: 4 |
|
FPAY | Annual fee payment |
Payment date: 20170830 Year of fee payment: 5 |
|
PR1001 | Payment of annual fee |
Payment date: 20170830 Start annual number: 5 End annual number: 5 |
|
PR1001 | Payment of annual fee |
Payment date: 20180827 Start annual number: 6 End annual number: 6 |