KR101011580B1 - 이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스 - Google Patents
이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스 Download PDFInfo
- Publication number
- KR101011580B1 KR101011580B1 KR1020047019828A KR20047019828A KR101011580B1 KR 101011580 B1 KR101011580 B1 KR 101011580B1 KR 1020047019828 A KR1020047019828 A KR 1020047019828A KR 20047019828 A KR20047019828 A KR 20047019828A KR 101011580 B1 KR101011580 B1 KR 101011580B1
- Authority
- KR
- South Korea
- Prior art keywords
- plasma
- tube
- product support
- reentrant
- enclosure
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000009826 distribution Methods 0.000 title claims abstract description 82
- 238000000034 method Methods 0.000 claims abstract description 138
- 238000012545 processing Methods 0.000 claims abstract description 79
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims abstract description 61
- 230000008569 process Effects 0.000 claims abstract description 59
- 239000007789 gas Substances 0.000 claims description 171
- 238000004804 winding Methods 0.000 claims description 82
- 238000007789 sealing Methods 0.000 claims description 60
- 239000003990 capacitor Substances 0.000 claims description 14
- 238000010168 coupling process Methods 0.000 claims description 14
- 239000000463 material Substances 0.000 claims description 14
- 230000008878 coupling Effects 0.000 claims description 13
- 238000005859 coupling reaction Methods 0.000 claims description 13
- 238000002347 injection Methods 0.000 claims description 11
- 239000007924 injection Substances 0.000 claims description 11
- 238000002156 mixing Methods 0.000 claims description 9
- 238000005086 pumping Methods 0.000 claims description 9
- 239000004020 conductor Substances 0.000 claims description 7
- 230000009977 dual effect Effects 0.000 claims description 7
- 230000010355 oscillation Effects 0.000 claims description 5
- 230000015572 biosynthetic process Effects 0.000 claims description 4
- 239000012212 insulator Substances 0.000 claims 3
- 230000005415 magnetization Effects 0.000 claims 3
- 230000000149 penetrating effect Effects 0.000 claims 1
- 210000002381 plasma Anatomy 0.000 description 342
- 235000012431 wafers Nutrition 0.000 description 187
- 150000002500 ions Chemical class 0.000 description 71
- 230000001965 increasing effect Effects 0.000 description 42
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 24
- 239000011737 fluorine Substances 0.000 description 24
- 229910052731 fluorine Inorganic materials 0.000 description 24
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 23
- 229920002120 photoresistant polymer Polymers 0.000 description 23
- 230000000694 effects Effects 0.000 description 22
- 230000002829 reductive effect Effects 0.000 description 22
- 230000008901 benefit Effects 0.000 description 20
- 238000000926 separation method Methods 0.000 description 20
- 238000005530 etching Methods 0.000 description 18
- 230000004907 flux Effects 0.000 description 16
- 229910052751 metal Inorganic materials 0.000 description 15
- 239000002184 metal Substances 0.000 description 15
- 230000005484 gravity Effects 0.000 description 14
- 230000001939 inductive effect Effects 0.000 description 13
- 229910052786 argon Inorganic materials 0.000 description 12
- 230000008859 change Effects 0.000 description 11
- 229920000642 polymer Polymers 0.000 description 11
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 9
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 8
- 229910052802 copper Inorganic materials 0.000 description 8
- 239000010949 copper Substances 0.000 description 8
- 238000010438 heat treatment Methods 0.000 description 8
- 239000001301 oxygen Substances 0.000 description 8
- 229910052760 oxygen Inorganic materials 0.000 description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 7
- 230000035699 permeability Effects 0.000 description 7
- 238000006243 chemical reaction Methods 0.000 description 6
- 230000005684 electric field Effects 0.000 description 6
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 6
- 238000009616 inductively coupled plasma Methods 0.000 description 6
- 230000004048 modification Effects 0.000 description 6
- 238000012986 modification Methods 0.000 description 6
- XTFIVUDBNACUBN-UHFFFAOYSA-N 1,3,5-trinitro-1,3,5-triazinane Chemical compound [O-][N+](=O)N1CN([N+]([O-])=O)CN([N+]([O-])=O)C1 XTFIVUDBNACUBN-UHFFFAOYSA-N 0.000 description 5
- 238000001816 cooling Methods 0.000 description 5
- 230000003993 interaction Effects 0.000 description 5
- 230000009467 reduction Effects 0.000 description 5
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 4
- PRPAGESBURMWTI-UHFFFAOYSA-N [C].[F] Chemical compound [C].[F] PRPAGESBURMWTI-UHFFFAOYSA-N 0.000 description 4
- 230000006698 induction Effects 0.000 description 4
- 238000009413 insulation Methods 0.000 description 4
- 230000000670 limiting effect Effects 0.000 description 4
- 230000001681 protective effect Effects 0.000 description 4
- 239000000376 reactant Substances 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- 238000012360 testing method Methods 0.000 description 4
- 230000007704 transition Effects 0.000 description 4
- 238000010521 absorption reaction Methods 0.000 description 3
- 238000001636 atomic emission spectroscopy Methods 0.000 description 3
- 239000000919 ceramic Substances 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 238000000354 decomposition reaction Methods 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 239000003085 diluting agent Substances 0.000 description 3
- 230000014759 maintenance of location Effects 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- 230000004044 response Effects 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- 238000009827 uniform distribution Methods 0.000 description 3
- 229910000859 α-Fe Inorganic materials 0.000 description 3
- 208000033999 Device damage Diseases 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- -1 argon ions Chemical class 0.000 description 2
- 238000003491 array Methods 0.000 description 2
- 238000006073 displacement reaction Methods 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 239000003701 inert diluent Substances 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 229910052742 iron Inorganic materials 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 238000004377 microelectronic Methods 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 239000012811 non-conductive material Substances 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- 238000009717 reactive processing Methods 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 239000013598 vector Substances 0.000 description 2
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 229910000831 Steel Inorganic materials 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 150000001768 cations Chemical class 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000012937 correction Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 230000000779 depleting effect Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000007865 diluting Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 239000010408 film Substances 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 239000006260 foam Substances 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000004020 luminiscence type Methods 0.000 description 1
- 239000000696 magnetic material Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- QLOAVXSYZAJECW-UHFFFAOYSA-N methane;molecular fluorine Chemical compound C.FF QLOAVXSYZAJECW-UHFFFAOYSA-N 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 210000002445 nipple Anatomy 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 238000007493 shaping process Methods 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 230000007480 spreading Effects 0.000 description 1
- 238000003892 spreading Methods 0.000 description 1
- 239000010959 steel Substances 0.000 description 1
- 230000001629 suppression Effects 0.000 description 1
- 238000010408 sweeping Methods 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 239000002470 thermal conductor Substances 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32412—Plasma immersion ion implantation
Landscapes
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Plasma & Fusion (AREA)
- Plasma Technology (AREA)
- Drying Of Semiconductors (AREA)
- Physical Vapour Deposition (AREA)
Abstract
Description
Claims (68)
- 플라즈마 반응기로서,진공 챔버 - 상기 진공 챔버는 제품 지지 페디스털 위에 놓이는 처리 영역을 규정하는, 상기 진공 챔버 내의 상기 제품 지지 페디스털, 실링(ceiling) 및 측벽을 포함하는 엔클로저(enclosure)에 의해 규정되고, 상기 진공 챔버는 상기 처리 영역의 반대 측면들 근처에서 상기 엔클로저를 통과하는 적어도 제 1 포트 쌍을 가짐 -;각각의 단부들에서 상기 포트 쌍과 접속되는 제 1 외부 재진입(reentrant) 튜브;처리 가스 주입 장치;상기 제 1 외부 재진입 튜브를 통과하고 상기 처리 영역을 가로지르는 제 1 재진입 토로이드형 플라즈마 전류를 형성하도록, 상기 제 1 외부 재진입 튜브 내의 처리 가스들에 플라즈마 소스 전력을 인가하기 위해 상기 제 1 외부 재진입 튜브에 결합된 제 1 RF 전력 어플리케이터(applicator); 및상기 처리 영역에서 플라즈마 이온 밀도의 방사상 분포를 제어하기 위한 자석 - 상기 자석은 상기 처리 영역을 교차하는 극 축을 가진 연장된 극 형성 부재(pole-defining member)를 포함함 -을 포함하는 플라즈마 반응기.
- 제 1 항에 있어서,상기 극 형성 부재는 영구적으로 자화된 극편(pole piece)인 것을 특징으로 하는 플라즈마 반응기.
- 제 1 항에 있어서,상기 극 형성 부재는 자화 재료를 포함하는 극편이고, 상기 자석은,코일을 형성하도록 상기 연장된 극편 주위에 감긴 전기 도전체를 더 포함하고, 이에 의해 상기 코일을 통과하는 전류 흐름이 자기장을 형성하는 것을 특징으로 하는 플라즈마 반응기.
- 제 3 항에 있어서,상기 엔클로저는, 상기 제품 지지 페디스털 상에 놓이고 상기 지지 페디스털에 접하는, 실링을 포함하고,상기 극편은, 상기 극편의 일부가 상기 실링 위로 상기 실링의 외부에 있고 상기 극편의 나머지 일부가 상기 실링 내부로 연장하며, 상기 진공 챔버의 외측에서 종결되는 것을 특징으로 하는 플라즈마 반응기.
- 제 4 항에 있어서,상기 처리 영역은 상기 제품 지지 페디스털 및 상기 실링의 평행한 평면들 사이에 규정되고, 상기 극 축은 상기 제품 지지 페디스털에 수직인 것을 특징으로 하는 플라즈마 반응기.
- 제 4 항에 있어서,상기 실링은 가스 분배 플레이트를 포함하는 것을 특징으로 하는 플라즈마 반응기.
- 제 6 항에 있어서,상기 가스 분배 플레이트는 관통하는 가스 입구 통로들을 가진 실링 층, 가스 매니폴드 층, 가스 혼합 층 및 가스 주입 오리피스 층을 포함하고,상기 극편은 상기 실링 상부의 상부 섹션 및 상기 가스 분배 플레이트 내부의 하부 섹션을 가지며, 상기 코일은 상기 상부 섹션 둘레에 배치되는 것을 특징으로 하는 플라즈마 반응기.
- 제 7 항에 있어서,상기 극편은 상기 실링 층 및 상기 가스 매니폴드 층을 통해 상기 가스 혼합 층 내부로 연장하고,상기 극편의 하부 섹션은 상기 실링 층 내의 제 1 직경, 및 상기 가스 분배 플레이트의 상기 가스 매니폴드 및 혼합 층들 내의 상기 제 1 직경 미만의 제 2 직경을 갖는 것을 특징으로 하는 플라즈마 반응기.
- 제 2 항에 있어서,상기 영구적으로 자화된 극편 및 상기 제 1 외부 재진입 튜브 사이의 자기 차폐부(magnetic shield)를 더 포함하고, 상기 자기 차폐부는 상기 자석 위에 놓이고 상기 자석을 둘러싸는 것을 특징으로 하는 플라즈마 반응기.
- 제 1 항에 있어서,상기 처리 영역의 반대 측면들 근처에서 상기 엔클로저를 통과하는 제 2 포트 쌍;각각의 단부들에서 상기 제 2 포트 쌍에 접속되고, 상기 제 1 외부 재진입 튜브의 일부분 상에 놓이는 제 2 외부 재진입 튜브; 및상기 제 2 외부 재진입 튜브를 통과하고 상기 처리 영역을 가로지르는 제 2 재진입 토로이드형 플라즈마 전류를 형성하도록, 상기 제 2 외부 재진입 튜브 내의 처리 가스들에 플라즈마 소스 전력을 인가하기 위해 상기 제 2 외부 재진입 튜브에 결합된 제 2 RF 전력 어플리케이터를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
- 제 10 항에 있어서,상기 제 1 외부 재진입 튜브는 상기 제 2 외부 재진입 튜브 하부에 놓이는 영역에 축 함몰부를 형성하여, 상기 제 2 외부 재진입 튜브가 상기 제 1 외부 재진입 튜브의 상기 함몰부에 함유되는(nested) 것을 특징으로 하는 플라즈마 반응기.
- 제 11 항에 있어서,상기 제 1 외부 재진입 튜브 및 상기 제 2 외부 재진입 튜브는 서로에 대해 직교하는 것을 특징으로 하는 플라즈마 반응기.
- 제 12 항에 있어서,상기 포트들은 상기 실링내에 있고, 이에 따라 상기 제 1 외부 재진입 튜브 및 상기 제 2 외부 재진입 튜브가 축방향으로 상기 포트들 내부로 종결되는 것을 특징으로 하는 플라즈마 반응기.
- 제 12 항에 있어서,상기 포트들은 상기 측벽내에 있고, 이에 따라 상기 제 1 외부 재진입 튜브 및 상기 제 2 외부 재진입 튜브가 방사상으로 상기 포트들 내부로 종결되는 것을 특징으로 하는 플라즈마 반응기.
- 제 12 항에 있어서,상기 자석은 방사상 자기장의 부재시, 낮은 플라즈마 이온 밀도 영역의 방사상 분포에 해당하는 방사상 영역의 피크를 갖는 방사상 자기장을 형성하는 것을 특징으로 하는 플라즈마 반응기.
- 제 2 항에 있어서,상기 극편은 상기 처리 영역의 중심부 근처에 상기 극편의 최대 방사상 자기장 영역을 한정하는 직경을 갖는 것을 특징으로 하는 플라즈마 반응기.
- 제 16 항에 있어서,상기 처리 영역의 중심부는 상기 방사상 자기장의 부재시, 낮은 플라즈마 이온 밀도 영역에 해당하는 것을 특징으로 하는 플라즈마 반응기.
- 제 12 항에 있어서,상기 처리 영역에 RF 바이어스 전력을 결합하기 위해 상기 제품 지지 페디스털에 결합된 RF 전력 소스를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
- 제 2 항에 있어서,상기 극편은 상기 제품 지지 페디스털 아래에 배치되는 것을 특징으로 하는 플라즈마 반응기.
- 제 12 항에 있어서,상기 처리 영역은 처리 영역 직경을 갖고, 각각의 상기 제 1 외부 재진입 튜브 및 상기 제 2 외부 재진입 튜브는 상기 처리 영역 직경 미만의 폭을 가지며, 상기 플라즈마 반응기는,각각의 상기 제 1 외부 재진입 튜브 및 상기 제 2 외부 재진입 튜브의 각 단부들에 상기 제 1 외부 재진입 튜브 및 상기 제 2 외부 재진입 튜브의 각각의 폭보다 더 큰 폭을 갖는 각각의 튜브 종결 섹션들; 및상기 각각의 튜브 종결 섹션들내의 각각의 플라즈마 전류 흐름 스플리터들(splitter)을 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
- 제 20 항에 있어서,각각의 상기 스플리터는 상기 제 1 외부 재진입 튜브 및 상기 제 2 외부 재진입 튜브의 각각의 쪽으로 접하는 정점, 및 상기 정점으로부터 떨어지게 연장하는 몸체를 갖고,상기 몸체는 상기 종결 섹션 근처의 집중된 플라즈마 이온 밀도 영역의 형성을 억제하기 위해 플라즈마 전류 흐름의 방향을 따라 길이를 갖는 것을 특징으로 하는 플라즈마 반응기.
- 제 21 항에 있어서,상기 길이는 상기 스플리터의 반대 측면들상의 불균형 전류 흐름들을 방지하도록 제한되는 것을 특징으로 하는 플라즈마 반응기.
- 제 20 항에 있어서,상기 포트들은 상기 실링내에 있고, 이에 따라 각각의 스플리터 근처의 플라즈마 전류 흐름 및 각각의 종결 섹션이 축방향인 것을 특징으로 하는 플라즈마 반응기.
- 제 20 항에 있어서,상기 포트들은 상기 실링내에 있고, 이에 따라 각각의 스플리터 근처의 플라즈마 전류 흐름 및 각각의 종결 섹션은 방사방향인 것을 특징으로 하는 플라즈마 반응기.
- 제 24 항에 있어서,상기 측벽은 직사각형이고, 각각의 포트는 상기 직사각형의 측벽 모서리에 배치되는 것을 특징으로 하는 플라즈마 반응기.
- 제 25 항에 있어서,각각의 스플리터는 상기 제품 지지 페디스털의 평면으로부터 상기 실링으로 연장하는 것을 특징으로 하는 플라즈마 반응기.
- 제 26 항에 있어서,각각의 스플리터는 상기 제품의 평면으로부터 상기 실링을 향해 연장하는 것을 특징으로 하는 플라즈마 반응기.
- 제 23 항에 있어서,상기 각각의 스플리터의 정점은 상기 각각의 종결 섹션의 방사상 내부 표면 근처에 있고,상기 종결 섹션의 방사상 외부 표면들과 접하는 상기 스플리터의 표면들은 보다 긴 평균 거리상에서 이동하도록 대향 포트들 사이에서 플라즈마 전류 흐름을 가압하기 위해, 방사상 외부 바이어스에 의해 상기 정점으로부터 하향하게 연장하는 것을 특징으로 하는 플라즈마 반응기.
- 제 1 항에 있어서,상기 제품 지지 페디스털 및 상기 측벽 사이의 펌핑 고리를 통해 대향 포트들 사이의 플라즈마 전류 흐름을 억제하기 위해, 상기 제품 지지 페디스털로부터 상기 측벽으로 연장하는 방사상 핀들(fins)을 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
- 제 29 항에 있어서,상기 핀들은 상기 제품 지지 페디스털의 평면까지 연장하는 것을 특징으로 하는 플라즈마 반응기.
- 제 29 항에 있어서,상기 핀들은 상기 제품 지지 페디스털의 평면 너머로 연장하는 것을 특징으로 하는 플라즈마 반응기.
- 제 1 항에 있어서,상기 제 1 RF 전력 어플리케이터는,임피던스 매칭 장치를 포함하는 RF 전력 소스;상기 제 1 외부 재진입 튜브의 일부분 둘레에 위치한 자기적으로 투과성 토로이드형 코어; 및상기 RF 전력 소스를 가로질러 접속된 상기 코어의 일부분 둘레의 1차 와인딩(winding)을 포함하는 것을 특징으로 하는 플라즈마 반응기.
- 제 32 항에 있어서,상기 코어를 중심으로 방위각상으로 분포된 다수의 그룹들의 턴들을 포함하는 상기 코어 둘레의 2차 와인딩을 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
- 제 33 항에 있어서,상기 다수의 그룹들의 턴들은 상기 코어를 중심으로 균일하게 분포되고 서로 병렬로 접속되며, 상기 플라즈마 반응기는,상기 코어의 대향 측면들 둘레에서 합동으로(congruently) 연장하는 한 쌍의 원형 도전성 버스들을 더 포함하고, 각각의 버스는 각각의 상기 그룹들의 턴들의 각 단부에 접속되는 것을 특징으로 하는 플라즈마 반응기.
- 제 34 항에 있어서,상기 버스들의 쌍을 가로질러 접속되고 상기 코어를 중심으로 방위각상으로 분포된 다수의 커패시터들을 더 포함하며, 상기 커패시터들은 상기 RF 전력 소스의 주파수에서 상기 2차 와인딩 공진을 제공하는 것을 특징으로 하는 플라즈마 반응기.
- 제 1 항에 있어서,상기 제 1 RF 전력 어플리케이터는,임피던스 매칭 장치를 포함하는 RF 전력 소스;상기 제 1 외부 재진입 튜브의 일부분 둘레에 위치한 자기적으로 투과성 토로이드형 코어; 및상기 코어를 중심으로 방위각상으로 분포된 다수의 그룹들의 턴들을 포함하는 상기 코어 둘레의 공진 와인딩을 포함하고,상기 공진 와인딩은 상기 RF 전력 소스에 결합되는 것을 특징으로 하는 플라즈마 반응기.
- 제 36 항에 있어서,상기 RF 전력 소스를 가로질러 접속된 상기 코어 둘레의 1차 와인딩;및 2차 와인딩을 더 포함하고, 상기 2차 와인딩은 상기 코어 및 상기 1차 와인딩을 통해 상기 RF 전력 발생기에 유도성으로 결합되는 것을 특징으로 하는 플라즈마 반응기.
- 제 37 항에 있어서,상기 다수의 그룹들의 턴들은 상기 코어를 중심으로 균일하게 분포되고 서로에 대해 병렬로 접속되며, 상기 플라즈마 반응기는,상기 코어의 대향 측벽들 둘레에서 합동으로 연장하는 한 쌍의 원형 도전성 버스들을 더 포함하고, 각각의 버스는 각각의 상기 그룹들의 턴들의 각각의 단부에 접속되는 것을 특징으로 하는 플라즈마 반응기.
- 제 38 항에 있어서,상기 버스들의 쌍을 가로질러 접속되고 상기 코어를 중심으로 방위각상으로 분포된 다수의 커패시터들을 더 포함하고, 상기 커패시터들은 상기 RF 전력 소스의 주파수에서 상기 2차 와인딩 공진을 제공하는 것을 특징으로 하는 플라즈마 반응기.
- 제 20 항에 있어서,상기 종결 섹션 및 상기 측벽 사이에 절연체를 더 포함하여, 상기 종결 섹션 및 상기 스플리터가 상기 플라즈마 전류의 RF 전위의 오실레이션(oscillations)을 따르는 RF 전위를 갖는 것을 특징으로 하는 플라즈마 반응기.
- 제 7 항에 있어서,상기 가스 분배 플레이트는 방사상 내부 및 외부 존들(zones)을 포함하고, 상기 플라즈마 반응기는 상기 가스 분배 플레이트의 상기 내부 및 외부 존들의 각각 존들에 접속된 듀얼 가스 공급기들을 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
- 제품을 처리하기 위한 플라즈마 반응기로서,엔클로저;상기 엔클로저의 상부 부분과 접하는 상기 엔클로저내의 제품 지지부 - 상기 엔클로저의 상부 부분 및 상기 제품 지지부는 그 사이에 처리 영역을 규정하고 상기 제품 지지부의 직경을 가로질러 연장되며, 상기 엔클로저는 상기 제품 지지부의 대향 측면들 근처에서 상기 엔클로저를 관통하는 적어도 제 1 및 제 2 개구부들을 가짐 -;상기 처리 영역 외측에 있고 상기 제 1 및 제 2 개구부들과 접속되는 적어도 하나의 중공 도관 - 상기 적어도 하나의 중공 도관은 상기 도관을 통하여 상기 처리 영역을 가로질러 연장하는 제 1 토로이드형 경로를 제공함 -;상기 중공 도관의 내부에 결합되고 상기 토로이드형 경로에서 플라즈마를 유지할 수 있는 제 1 RF 전력 어플리케이터; 및상기 처리 영역에서 플라즈마 이온 밀도의 방사상 분포를 제어하기 위한 자석 - 상기 자석은 상기 처리 영역에 교차하는 극편 축을 규정하는 연장된 극편을 포함함 -을 포함하는 플라즈마 반응기.
- 제 42 항에 있어서,상기 극편은 영구 자석을 포함하는 것을 특징으로 하는 플라즈마 반응기.
- 제 42 항에 있어서,상기 극편은 자화 재료를 포함하고, 상기 자석은,코일을 통한 전류 흐름이 자기장을 형성하도록 코일을 형성하기 위해, 상기 연장된 극편 둘레에 감겨진 전기 도전체를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
- 제품을 처리하기 위한 플라즈마 반응기로서,엔클로저;상기 엔클로저의 상부 부분과 접하는 상기 엔클로저내의 제품 지지부 - 상기 엔클로저의 상부 부분 및 제품 지지부는 그 사이에 처리 영역을 규정하고, 상기 처리 영역은 상기 제품 지지부의 직경을 가로질러 연장하며, 상기 엔클로저는 상기 제품 지지부의 대향 측면들 근처에서 상기 엔클로저를 관통하는 적어도 제 1 및 제 2 개구부들을 가짐 -;상기 처리 영역의 외측에 있고 상기 제 1 및 제 2 개구부들에 접속되는 적어도 하나의 중공 도관 - 상기 적어도 하나의 중공 도관은 상기 도관을 관통하여 상기 처리 영역을 가로질러 연장하는 제 1 토로이드형 경로를 제공함 -;상기 적어도 하나의 중공 도관의 내부에 결합되고 상기 제 1 토로이드형 경로에 플라즈마를 유지할 수 있는 제 1 RF 전력 어플리케이터;상기 제품 지지부의 대향 측면들 근처에서 관통되고 상기 제 1 및 제 2 개구부들의 축에 교차하는 축을 따라 배치된 적어도 제 3 및 제 4 개구부들;제 2 폐쇄 토로이드형 경로를 제공하기 위해, 상기 적어도 하나의 중공 도관에 교차하고, 상기 처리 영역의 외측에 배치되며, 상기 제 3 및 제 4 개구부들에 접속된, 제 2 중공 도관 - 상기 제 2 토로이드형 경로는 상기 제 2 도관을 통해 상기 엔클로저의 외측으로 연장하고, 상기 제 1 토로이드형 경로에 교차하는 방향으로 상기 제 3 및 제 4 개구부들 사이에서 상기 처리 영역을 가로질러 연장함 -; 및상기 처리 영역에서 플라즈마 이온 밀도의 방사상 분포를 제어하기 위한 자석 - 상기 자석은 상기 처리 영역에 교차하는 극편 축을 규정하는 연장된 극편을 포함함 -을 포함하는 플라즈마 반응기.
- 제 45 항에 있어서,상기 제 2 도관에 결합되고 상기 제 2 토로이드형 경로에 플라즈마를 유지할 수 있는, 제 2 RF 전력 어플리케이터를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
- 제 45 항에 있어서,상기 극편은 영구 자석을 포함하는 것을 특징으로 하는 플라즈마 반응기.
- 제 45 항에 있어서,상기 극편은 자화 재료를 포함하고, 상기 자석은,코일을 통한 전류 흐름이 자기장을 형성하도록 코일을 형성하기 위해, 상기 연장된 극편 둘레에 감겨진 전기 도전체를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
- 플라즈마 반응기로서,진공 챔버 - 상기 진공 챔버는, 제품 지지 페디스털 위에 놓이는 처리 영역을 규정하는, 상기 진공 챔버 내의 상기 제품 지지 페디스털, 실링 및 측벽을 포함하는 엔클로저에 의해 규정되고, 상기 진공 챔버는 상기 처리 영역의 반대 측면들 근처에서 상기 엔클로저를 통과하는 적어도 제 1 포트 쌍을 가짐 -;각각의 단부들에서 상기 포트 쌍에 접속되는 제 1 외부 재진입 튜브;처리 가스 주입 장치; 및상기 제 1 외부 재진입 튜브를 통과하고 상기 처리 영역을 가로지르는 제 1 재진입 토로이드형 플라즈마 전류를 형성하도록, 상기 제 1 외부 재진입 튜브내의 처리 가스들에 플라즈마 소스 전력을 인가하기 위해, 상기 제 1 외부 재진입 튜브에 결합된 제 1 RF 전력 어플리케이터를 포함하고,상기 처리 영역은 처리 영역 직경을 가지며, 상기 제 1 외부 재진입 튜브는 상기 처리 영역 직경 미만의 폭을 갖고, 상기 반응기는,상기 제 1 외부 재진입 튜브의 각각의 단부에 있는 각각의 튜브 종결 섹션들; 및 상기 각각의 튜브 종결 섹션들내의 각각의 플라즈마 전류 흐름 스플리터들을 더 포함하며,상기 각각의 튜브 종결 섹션은 상기 제 1 외부 재진입 튜브의 폭보다 큰 폭을 갖는,플라즈마 반응기.
- 제 49 항에 있어서,각각의 상기 스플리터는 상기 제 1 외부 재진입 튜브에 접하는 정점, 및 상기 정점으로부터 떨어지게 연장하는 몸체를 가지며,상기 몸체는 상기 종결 섹션 근처의 집중된 플라즈마 이온 밀도 영역의 형성을 억제하기 위해, 플라즈마 전류 흐름의 방향을 따라 길이를 갖는 것을 특징으로 하는 플라즈마 반응기.
- 제 50 항에 있어서,상기 길이는 상기 스플리터의 반대 측면들상의 불균형 전류 흐름을 방지하도록 제한되는 것을 특징으로 하는 플라즈마 반응기.
- 제 49 항에 있어서,상기 포트들은 각각의 종결 섹션 및 각각의 스플리터 근처의 플라즈마 전류 흐름이 축방향이도록, 상기 실링에 있는 것을 특징으로 하는 플라즈마 반응기.
- 제 49 항에 있어서,상기 포트들은 각각의 종결 섹션 및 각각의 스플리터 근처의 플라즈마 전류 흐름이 방사방향이도록, 상기 실링에 있는 것을 특징으로 하는 플라즈마 반응기.
- 제 53 항에 있어서,상기 측벽은 직사각형이고, 각각의 포트는 상기 직사각형 측벽의 모서리에 배치되는 것을 특징으로 하는 플라즈마 반응기.
- 제 54 항에 있어서,각각의 스플리터는 상기 제품 지지 페디스털의 평면으로부터 상기 실링으로 연장하는 것을 특징으로 하는 플라즈마 반응기.
- 제 55 항에 있어서,상기 각각의 스플리터는 상기 제품의 평면으로부터 상기 실링을 향해 연장하는 것을 특징으로 하는 플라즈마 반응기.
- 제 50 항에 있어서,상기 각각의 스플리터의 정점은 상기 각각의 종결 섹션의 방사상 내부 표면 근처에 있고, 상기 종결 섹션의 방사상 외부 표면들과 접하는 상기 스플리터의 표면들은 보다 긴 평균 거리를 이동하도록 대향 포트들 사이에 플라즈마 전류 흐름을 가압하기 위해, 방사상으로 외측 바이어스에 의해 상기 정점으로부터 하향하게 연장하는 것을 특징으로 하는 플라즈마 반응기.
- 제 49 항에 있어서,상기 종결 섹션 및 상기 측벽 사이에 절연체를 더 포함하여, 상기 종결 섹션 및 상기 스플리터가 상기 플라즈마 전류의 RF 전위의 오실레이션들을 따르는 RF 전위를 갖는 것을 특징으로 하는 플라즈마 반응기.
- 플라즈마 반응기로서,진공 챔버 - 상기 진공 챔버는 제품 지지 페디스털 위에 놓이는 처리 영역을 규정하는, 상기 진공 챔버내의 상기 제품 지지 페디스털 및 측벽을 포함하는 엔클로저에 의해 규정되고, 상기 진공 챔버는 상기 처리 영역의 반대 측면들 근처에서 상기 엔클로저를 통과하는 적어도 제 1 포트 쌍을 가짐 -;각각의 단부들에서 상기 포트 쌍에 접속되는 제 1 외부 재진입 튜브;처리 가스 주입 장치; 및상기 제 1 외부 재진입 튜브를 통과하고 상기 처리 영역을 가로지르는 제 1 재진입 토로이드형 플라즈마 전류를 형성하도록, 상기 제 1 외부 재진입 튜브내의 처리 가스들에 플라즈마 소스 전력을 인가하기 위해 상기 제 1 외부 재진입 튜브에 결합된 제 1 RF 전력 어플리케이터를 포함하고, 상기 처리 영역은 처리 영역 직경을 가지며, 상기 제 1 외부 재진입 튜브는 상기 처리 영역 직경 미만의 폭을 갖고, 상기 반응기는,상기 제 1 외부 재진입 튜브의 각각의 단부들에 있는 각각의 튜브 종결 섹션들 - 각각의 튜브 종결 섹션은 상기 제 1 외부 재진입 튜브의 폭보다 더 큰 폭을 가짐 -; 및상기 종결 섹션의 각각 및 상기 측벽 사이의 절연체를 더 포함하여, 상기 종결 섹션이 상기 플라즈마 전류의 RF 전위의 오실레이션들을 따르는 RF 전위를 갖는,플라즈마 반응기.
- 제 59 항에 있어서,상기 각각의 튜브 종결 섹션들내의 각각의 플라즈마 전류 흐름 스플리터들을 더 포함하는,플라즈마 반응기.
- 플라즈마 반응기로서,진공 챔버 - 상기 진공 챔버는 제품 지지 페디스털 위에 놓이는 처리 영역을 규정하는, 상기 진공 챔버내의 상기 제품 지지 페디스털 및 측벽을 포함하는 엔클로저에 의해 규정되고, 상기 진공 챔버는 상기 처리 영역의 반대 측면들 근처에서 상기 엔클로저를 통과하는 적어도 제 1 포트 쌍을 가짐 -;각각의 단부들에서 상기 포트 쌍에 접속되는 제 1 외부 재진입 튜브;처리 가스 주입 장치; 및상기 제 1 외부 재진입 튜브를 통과하고 상기 처리 영역을 가로지르는 제 1 재진입 토로이드형 플라즈마 전류를 형성하도록, 상기 제 1 외부 재진입 튜브내의 처리 가스들에 플라즈마 소스 전력을 인가하기 위해, 상기 제 1 외부 재진입 튜브에 결합된 제 1 RF 전력 어플리케이터- 상기 제 1 RF 전력 어플리케이터는,임피던스 매칭 장치를 포함하는 RF 전력 소스;상기 제 1 외부 재진입 튜브의 일부분 둘레에 위치한 토로이드형 자기 투과성 코어; 및상기 코어를 중심으로 방위각상으로 분포된 다수의 그룹들의 턴들을 포함하는 상기 코어 둘레의 공진 와인딩을 포함하고,상기 공진 와인딩은 상기 RF 전력 소스에 결합됨 -를 포함하는 플라즈마 반응기.
- 제 61 항에 있어서,상기 RF 전력 소스를 가로질러 접속된 상기 코어 둘레의 1차 와인딩과 2차 와인딩을 더 포함하고, 상기 2차 와인딩은 상기 코어 및 상기 1차 와인딩을 통해 상기 RF 전력에 유도성으로 결합되는 것을 특징으로 하는 플라즈마 반응기.
- 제 62 항에 있어서,상기 다수의 그룹들의 턴들은 상기 코어를 중심으로 균일하게 분포되고 서로 병렬로 접속되며, 상기 반응기는,상기 코어의 반대 측면들 둘레에서 합동으로 연장하는 한 쌍의 원형 도전성 버스들을 더 포함하고, 각각의 버스는 각각의 상기 그룹들의 턴들의 각 단부에 접속되는 것을 특징으로 하는 플라즈마 반응기.
- 제 63 항에 있어서,상기 한 쌍의 버스들을 가로질러 접속되고 상기 코어를 중심으로 방위각상으로 분포된 다수의 커패시터들을 더 포함하고, 상기 커패시터들은 상기 RF 전력 소스의 주파수에서 상기 2차 와인딩 공진을 제공하는 플라즈마 반응기.
- 플라즈마 반응기로서,진공 챔버 - 상기 진공 챔버는 제품 지지 페디스털 위에 놓이는 처리 영역을 규정하는, 상기 진공 챔버내의 상기 제품 지지 페디스털 및 측벽을 포함하는 엔클로저에 의해 규정되고, 상기 진공 챔버는 상기 처리 영역의 반대 측면들 근처에서 상기 엔클로저를 통과하는 적어도 제 1 포트 쌍을 가짐 -;각각의 단부들에서 상기 포트 쌍에 접속된 제 1 외부 재진입 튜브;처리 가스 주입 장치;상기 제 1 외부 재진입 튜브를 통과하고 상기 처리 영역을 가로지르는 제 1 재진입 토로이드형 플라즈마 전류를 형성하도록, 상기 제 1 외부 재진입 튜브내의 처리 가스들에 플라즈마 소스 전력을 인가하기 위해, 상기 제 1 외부 재진입 튜브에 결합된 제 1 RF 전력 어플리케이터; 및상기 지지 페디스털 및 상기 측벽 사이의 펌핑 고리를 통과하는 대향 포트들 사이의 플라즈마 전류 흐름을 억제하도록, 상기 제품 지지 페디스털로부터 상기 측벽으로 연장하는 방사상 핀들을 포함하는 플라즈마 반응기.
- 제 65 항에 있어서,상기 핀들은 상기 제품 지지 페디스털의 평면까지 연장하는 것을 특징으로 하는 플라즈마 반응기.
- 제 66 항에 있어서,상기 핀들은 상기 제품 지지 페디스털의 평면 넘어로 연장하는 것을 특징으로 하는 플라즈마 반응기.
- 플라즈마 반응기로서,진공 챔버 - 상기 진공 챔버는 제품 지지 페디스털 위에 놓이는 처리 영역을 규정하는, 상기 진공 챔버내의 제품 지지 페디스털 및 측벽을 포함하는 엔클로저에 의해 규정되고, 상기 진공 챔버는 상기 처리 영역의 반대 측면들 근처에서 상기 엔클로저를 통과하는 적어도 제 1 포트 쌍을 가짐 -;각각의 단부들에서 상기 포트 쌍에 접속된 제 1 외부 공진 튜브;처리 가스 주입 장치; 및상기 제 1 외부 재진입 튜브를 통과하고 상기 처리 영역을 가로지르는 제 1 재진입 토로이드형 플라즈마 전류를 형성하도록, 상기 제 1 외부 재진입 튜브내의 처리 가스들에 플라즈마 소스 전력을 인가하기 위해, 상기 제 1 외부 재진입 튜브에 결합된 제 1 RF 전력 어플리케이터를 포함하고,상기 엔클로저는, 상기 제품 지지 페디스털 상부에 놓이고 상기 제품 지지 페디스털에 접하는 가스 분배 플레이트를 포함하며,상기 가스 분배 플레이트는 가스 입구 통로들을 가진 실링 층, 가스 매니폴드 층, 가스 혼합 층 및 가스 주입 오리피스 층을 포함하고,상기 가스 분배 플레이트는 방사상 내부 및 외부 존들을 포함하며, 상기 반응기는 상기 가스 분배 플레이트의 내부 및 외부 존들의 각각 존들에 접속된 듀얼 가스 공급기들을 더 포함하는,플라즈마 반응기.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/164,327 | 2002-06-05 | ||
US10/164,327 US6939434B2 (en) | 2000-08-11 | 2002-06-05 | Externally excited torroidal plasma source with magnetic control of ion distribution |
PCT/US2003/018025 WO2003105182A2 (en) | 2002-06-05 | 2003-06-05 | Externally excited torroidal plasma source with magnetic control of ion distribution |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20040111725A KR20040111725A (ko) | 2004-12-31 |
KR101011580B1 true KR101011580B1 (ko) | 2011-01-27 |
Family
ID=29710179
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020047019828A Expired - Fee Related KR101011580B1 (ko) | 2002-06-05 | 2003-06-05 | 이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스 |
Country Status (4)
Country | Link |
---|---|
US (7) | US6939434B2 (ko) |
KR (1) | KR101011580B1 (ko) |
TW (1) | TWI333396B (ko) |
WO (1) | WO2003105182A2 (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2019169016A1 (en) * | 2018-03-01 | 2019-09-06 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
Families Citing this family (257)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7294563B2 (en) * | 2000-08-10 | 2007-11-13 | Applied Materials, Inc. | Semiconductor on insulator vertical transistor fabrication and doping process |
US20070042580A1 (en) * | 2000-08-10 | 2007-02-22 | Amir Al-Bayati | Ion implanted insulator material with reduced dielectric constant |
US7223676B2 (en) * | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
US6939434B2 (en) * | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US20040025791A1 (en) * | 2002-08-09 | 2004-02-12 | Applied Materials, Inc. | Etch chamber with dual frequency biasing sources and a single frequency plasma generating source |
JP2004128281A (ja) * | 2002-10-03 | 2004-04-22 | Tokyo Electron Ltd | 基板処理方法および基板処理装置 |
US7169231B2 (en) * | 2002-12-13 | 2007-01-30 | Lam Research Corporation | Gas distribution system with tuning gas |
US7534363B2 (en) * | 2002-12-13 | 2009-05-19 | Lam Research Corporation | Method for providing uniform removal of organic material |
US20040112540A1 (en) * | 2002-12-13 | 2004-06-17 | Lam Research Corporation | Uniform etch system |
US20040135828A1 (en) * | 2003-01-15 | 2004-07-15 | Schmitt Stephen E. | Printer and method for printing an item with a high durability and/or resolution image |
US6981767B2 (en) * | 2003-01-15 | 2006-01-03 | Ssgii, Inc. | Printed item having an image with a high durability and/or resolution |
US8158016B2 (en) * | 2004-02-04 | 2012-04-17 | Veeco Instruments, Inc. | Methods of operating an electromagnet of an ion source |
KR20050024949A (ko) * | 2003-09-05 | 2005-03-11 | 삼성전자주식회사 | 플라즈마 식각 장치 |
JP2005093518A (ja) * | 2003-09-12 | 2005-04-07 | Matsushita Electric Ind Co Ltd | 不純物導入の制御方法および不純物導入装置 |
EP1695038B1 (en) * | 2003-12-12 | 2013-02-13 | Semequip, Inc. | Controlling the flow of vapors sublimated from solids |
US20080223409A1 (en) * | 2003-12-12 | 2008-09-18 | Horsky Thomas N | Method and apparatus for extending equipment uptime in ion implantation |
US20050170598A1 (en) * | 2004-01-29 | 2005-08-04 | Howard Gregory E. | Silicided amorphous polysilicon - metal capacitor |
US20050202624A1 (en) * | 2004-03-12 | 2005-09-15 | Infineon Technologies North America Corp. | Plasma ion implantation system |
US20050205211A1 (en) * | 2004-03-22 | 2005-09-22 | Vikram Singh | Plasma immersion ion implantion apparatus and method |
US7396746B2 (en) * | 2004-05-24 | 2008-07-08 | Varian Semiconductor Equipment Associates, Inc. | Methods for stable and repeatable ion implantation |
US20050287307A1 (en) * | 2004-06-23 | 2005-12-29 | Varian Semiconductor Equipment Associates, Inc. | Etch and deposition control for plasma implantation |
US7531469B2 (en) * | 2004-10-23 | 2009-05-12 | Applied Materials, Inc. | Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current |
US7422988B2 (en) * | 2004-11-12 | 2008-09-09 | Applied Materials, Inc. | Rapid detection of imminent failure in laser thermal processing of a substrate |
US7129440B2 (en) * | 2004-11-12 | 2006-10-31 | Applied Materials, Inc. | Single axis light pipe for homogenizing slow axis of illumination systems based on laser diodes |
US7438468B2 (en) * | 2004-11-12 | 2008-10-21 | Applied Materials, Inc. | Multiple band pass filtering for pyrometry in laser based annealing systems |
US7910499B2 (en) * | 2004-11-12 | 2011-03-22 | Applied Materials, Inc. | Autofocus for high power laser diode based annealing system |
US7459692B2 (en) | 2004-11-19 | 2008-12-02 | Varian Semiconductor Equipment Associates, Inc. | Electron confinement inside magnet of ion implanter |
CA2585009C (en) * | 2004-11-24 | 2014-09-30 | Nanosys, Inc. | Contact doping and annealing systems and processes for nanowire thin films |
JP5116466B2 (ja) * | 2005-03-30 | 2013-01-09 | パナソニック株式会社 | 不純物導入装置及び不純物導入方法 |
KR101153161B1 (ko) * | 2005-04-01 | 2012-06-18 | 주성엔지니어링(주) | 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치 |
US20060234455A1 (en) * | 2005-04-19 | 2006-10-19 | Chien-Hao Chen | Structures and methods for forming a locally strained transistor |
US7256094B2 (en) * | 2005-05-24 | 2007-08-14 | Atmel Corporation | Method for changing threshold voltage of device in resist asher |
US7135392B1 (en) * | 2005-07-20 | 2006-11-14 | Applied Materials, Inc. | Thermal flux laser annealing for ion implantation of semiconductor P-N junctions |
US7695633B2 (en) * | 2005-10-18 | 2010-04-13 | Applied Materials, Inc. | Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor |
US8900980B2 (en) | 2006-01-20 | 2014-12-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Defect-free SiGe source/drain formation by epitaxy-free process |
US7645357B2 (en) * | 2006-04-24 | 2010-01-12 | Applied Materials, Inc. | Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency |
US20070246163A1 (en) * | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Plasma reactor apparatus with independent capacitive and inductive plasma sources |
US7264688B1 (en) * | 2006-04-24 | 2007-09-04 | Applied Materials, Inc. | Plasma reactor apparatus with independent capacitive and toroidal plasma sources |
US20070246162A1 (en) * | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency |
US20070246161A1 (en) * | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency |
US20070246443A1 (en) * | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation |
US20070245960A1 (en) * | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density |
US20070245961A1 (en) * | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation |
US7780864B2 (en) * | 2006-04-24 | 2010-08-24 | Applied Materials, Inc. | Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution |
US20070245958A1 (en) * | 2006-04-24 | 2007-10-25 | Applied Materials, Inc. | Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution |
US7727413B2 (en) * | 2006-04-24 | 2010-06-01 | Applied Materials, Inc. | Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density |
US8932430B2 (en) * | 2011-05-06 | 2015-01-13 | Axcelis Technologies, Inc. | RF coupled plasma abatement system comprising an integrated power oscillator |
US7888245B2 (en) * | 2006-05-11 | 2011-02-15 | Hynix Semiconductor Inc. | Plasma doping method and method for fabricating semiconductor device using the same |
US7504326B2 (en) * | 2006-05-30 | 2009-03-17 | Advanced Micro Devices, Inc. | Use of scanning theme implanters and annealers for selective implantation and annealing |
US7674999B2 (en) * | 2006-08-23 | 2010-03-09 | Applied Materials, Inc. | Fast axis beam profile shaping by collimation lenslets for high power laser diode based annealing system |
US7967930B2 (en) * | 2006-10-30 | 2011-06-28 | Applied Materials, Inc. | Plasma reactor for processing a workpiece and having a tunable cathode |
US8012366B2 (en) * | 2006-10-30 | 2011-09-06 | Applied Materials, Inc. | Process for etching a transparent workpiece including backside endpoint detection steps |
US8017029B2 (en) * | 2006-10-30 | 2011-09-13 | Applied Materials, Inc. | Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside |
US8002946B2 (en) * | 2006-10-30 | 2011-08-23 | Applied Materials, Inc. | Mask etch plasma reactor with cathode providing a uniform distribution of etch rate |
US9218944B2 (en) * | 2006-10-30 | 2015-12-22 | Applied Materials, Inc. | Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors |
US7976671B2 (en) | 2006-10-30 | 2011-07-12 | Applied Materials, Inc. | Mask etch plasma reactor with variable process gas distribution |
US20080099450A1 (en) * | 2006-10-30 | 2008-05-01 | Applied Materials, Inc. | Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution |
US7659187B2 (en) * | 2006-11-03 | 2010-02-09 | Applied Materials, Inc. | Method of forming PN junctions including a post-ion implant dynamic surface anneal process with minimum interface trap density at the gate insulator-silicon interface |
US7786024B2 (en) | 2006-11-29 | 2010-08-31 | Nanosys, Inc. | Selective processing of semiconductor nanowires by polarized visible radiation |
US20080136887A1 (en) * | 2006-12-11 | 2008-06-12 | Schmitt Stephen E | Printed item having an image with a high durability and/or resolution |
US7547900B2 (en) * | 2006-12-22 | 2009-06-16 | Varian Semiconductor Equipment Associates, Inc. | Techniques for providing a ribbon-shaped gas cluster ion beam |
KR100864928B1 (ko) * | 2006-12-29 | 2008-10-22 | 동부일렉트로닉스 주식회사 | 모스펫 소자의 형성 방법 |
KR20090106617A (ko) * | 2007-01-19 | 2009-10-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 플라스마 함침 챔버 |
US7586109B2 (en) * | 2007-01-25 | 2009-09-08 | Varian Semiconductor Equipment Associates, Inc. | Technique for improving the performance and extending the lifetime of an ion source with gas dilution |
US7871828B2 (en) * | 2007-02-06 | 2011-01-18 | Applied Materials, Inc. | In-situ dose monitoring using optical emission spectroscopy |
US7655931B2 (en) * | 2007-03-29 | 2010-02-02 | Varian Semiconductor Equipment Associates, Inc. | Techniques for improving the performance and extending the lifetime of an ion source with gas mixing |
US8394687B2 (en) * | 2007-03-30 | 2013-03-12 | Intel Corporation | Ultra-abrupt semiconductor junction profile |
US7972469B2 (en) * | 2007-04-22 | 2011-07-05 | Applied Materials, Inc. | Plasma processing apparatus |
JP2008286742A (ja) * | 2007-05-21 | 2008-11-27 | Kyoto Univ | イオンビーム制御装置および該方法 |
KR100855002B1 (ko) * | 2007-05-23 | 2008-08-28 | 삼성전자주식회사 | 플라즈마 이온 주입시스템 |
US7875125B2 (en) * | 2007-09-21 | 2011-01-25 | Semequip, Inc. | Method for extending equipment uptime in ion implantation |
US20090142875A1 (en) * | 2007-11-30 | 2009-06-04 | Applied Materials, Inc. | Method of making an improved selective emitter for silicon solar cells |
JP5297048B2 (ja) * | 2008-01-28 | 2013-09-25 | 三菱重工業株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US20110076420A1 (en) * | 2008-01-30 | 2011-03-31 | Applied Materials, Inc. | High efficiency low energy microwave ion/electron source |
US7993733B2 (en) | 2008-02-20 | 2011-08-09 | Applied Materials, Inc. | Index modified coating on polymer substrate |
US7713757B2 (en) * | 2008-03-14 | 2010-05-11 | Applied Materials, Inc. | Method for measuring dopant concentration during plasma ion implantation |
US20090238998A1 (en) * | 2008-03-18 | 2009-09-24 | Applied Materials, Inc. | Coaxial microwave assisted deposition and etch systems |
US20090238993A1 (en) * | 2008-03-19 | 2009-09-24 | Applied Materials, Inc. | Surface preheating treatment of plastics substrate |
KR101004927B1 (ko) * | 2008-04-24 | 2010-12-29 | 삼성엘이디 주식회사 | Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치 |
US8057649B2 (en) * | 2008-05-06 | 2011-11-15 | Applied Materials, Inc. | Microwave rotatable sputtering deposition |
US8349156B2 (en) * | 2008-05-14 | 2013-01-08 | Applied Materials, Inc. | Microwave-assisted rotatable PVD |
JP2012503342A (ja) * | 2008-09-22 | 2012-02-02 | アプライド マテリアルズ インコーポレイテッド | 高アスペクト比構造のエッチングに適したエッチングリアクタ |
US20100078315A1 (en) * | 2008-09-26 | 2010-04-01 | Applied Materials, Inc. | Microstrip antenna assisted ipvd |
US8252653B2 (en) | 2008-10-21 | 2012-08-28 | Applied Materials, Inc. | Method of forming a non-volatile memory having a silicon nitride charge trap layer |
US8815634B2 (en) | 2008-10-31 | 2014-08-26 | Varian Semiconductor Equipment Associates, Inc. | Dark currents and reducing defects in image sensors and photovoltaic junctions |
KR101124419B1 (ko) * | 2009-02-18 | 2012-03-20 | 포항공과대학교 산학협력단 | 마이크로파 플라즈마 생성을 위한 휴대용 전력 공급 장치 |
TW201129713A (en) * | 2009-07-09 | 2011-09-01 | Applied Materials Inc | Curved microwave plasma line source for coating of three-dimensional substrates |
CA2772178A1 (en) * | 2009-08-27 | 2011-03-03 | Mosaic Crystals Ltd. | Penetrating plasma generating apparatus for high vacuum chambers |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
WO2012011480A1 (ja) * | 2010-07-21 | 2012-01-26 | 東京エレクトロン株式会社 | 層間絶縁層形成方法及び半導体装置 |
KR101147349B1 (ko) * | 2010-09-17 | 2012-05-23 | 인제대학교 산학협력단 | 누설 전류형 변압기를 이용한 플라즈마 처리장치 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
WO2012177900A1 (en) | 2011-06-22 | 2012-12-27 | Research Triangle Institute, International | Bipolar microelectronic device |
US10225919B2 (en) * | 2011-06-30 | 2019-03-05 | Aes Global Holdings, Pte. Ltd | Projected plasma source |
US20130017315A1 (en) * | 2011-07-15 | 2013-01-17 | Applied Materials, Inc. | Methods and apparatus for controlling power distribution in substrate processing systems |
KR101241049B1 (ko) * | 2011-08-01 | 2013-03-15 | 주식회사 플라즈마트 | 플라즈마 발생 장치 및 플라즈마 발생 방법 |
KR101629869B1 (ko) | 2011-09-16 | 2016-06-13 | 엠파이어 테크놀로지 디벨롭먼트 엘엘씨 | 그래핀 결함의 변경 |
US8692468B2 (en) | 2011-10-03 | 2014-04-08 | Varian Semiconductor Equipment Associates, Inc. | Transformer-coupled RF source for plasma processing tool |
US10271416B2 (en) | 2011-10-28 | 2019-04-23 | Applied Materials, Inc. | High efficiency triple-coil inductively coupled plasma source with phase control |
US8933628B2 (en) * | 2011-10-28 | 2015-01-13 | Applied Materials, Inc. | Inductively coupled plasma source with phase control |
US9035553B2 (en) * | 2011-11-09 | 2015-05-19 | Dae-Kyu Choi | Hybrid plasma reactor |
US10283325B2 (en) * | 2012-10-10 | 2019-05-07 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
JP2013165254A (ja) * | 2012-01-13 | 2013-08-22 | Tokyo Electron Ltd | プラズマドーピング装置、プラズマドーピング方法、半導体素子の製造方法、および半導体素子 |
JP6009171B2 (ja) * | 2012-02-14 | 2016-10-19 | 東京エレクトロン株式会社 | 基板処理装置 |
US9082591B2 (en) * | 2012-04-24 | 2015-07-14 | Applied Materials, Inc. | Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9082589B2 (en) * | 2012-10-09 | 2015-07-14 | Novellus Systems, Inc. | Hybrid impedance matching for inductively coupled plasma system |
US8944003B2 (en) * | 2012-11-16 | 2015-02-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Remote plasma system and method |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
USD738946S1 (en) * | 2013-04-30 | 2015-09-15 | American Ceramic Technology | Nuclear reactor boiler divider plate shield |
USD733202S1 (en) * | 2013-04-30 | 2015-06-30 | American Ceramic Technology | Nuclear reactor tube shield |
USD732589S1 (en) * | 2013-04-30 | 2015-06-23 | American Ceramic Technology | Nuclear reactor boiler divider plate shield |
KR20140137172A (ko) * | 2013-05-22 | 2014-12-02 | 최대규 | 자기 관리 기능을 갖는 원격 플라즈마 시스템 및 이의 자기 관리 방법 |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US10020800B2 (en) | 2013-11-14 | 2018-07-10 | Eagle Harbor Technologies, Inc. | High voltage nanosecond pulser with variable pulse width and pulse repetition frequency |
US10892140B2 (en) | 2018-07-27 | 2021-01-12 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
US11539352B2 (en) | 2013-11-14 | 2022-12-27 | Eagle Harbor Technologies, Inc. | Transformer resonant converter |
US10978955B2 (en) | 2014-02-28 | 2021-04-13 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
CN109873621B (zh) | 2013-11-14 | 2023-06-16 | 鹰港科技有限公司 | 高压纳秒脉冲发生器 |
US10483089B2 (en) | 2014-02-28 | 2019-11-19 | Eagle Harbor Technologies, Inc. | High voltage resistive output stage circuit |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9284210B2 (en) | 2014-03-31 | 2016-03-15 | Corning Incorporated | Methods and apparatus for material processing using dual source cyclonic plasma reactor |
US9550694B2 (en) | 2014-03-31 | 2017-01-24 | Corning Incorporated | Methods and apparatus for material processing using plasma thermal source |
US9533909B2 (en) | 2014-03-31 | 2017-01-03 | Corning Incorporated | Methods and apparatus for material processing using atmospheric thermal plasma reactor |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
CN104209069B (zh) * | 2014-09-05 | 2015-12-30 | 北京石油化工工程有限公司 | 浆态床反应器气体分布结构 |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) * | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US20160200618A1 (en) | 2015-01-08 | 2016-07-14 | Corning Incorporated | Method and apparatus for adding thermal energy to a glass melt |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US12281385B2 (en) * | 2015-06-15 | 2025-04-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas dispenser and deposition apparatus using the same |
HK1255306A1 (zh) * | 2015-08-05 | 2019-08-16 | W‧斯皮塞 | 磁力驅動的無密封泵 |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10395895B2 (en) * | 2015-08-27 | 2019-08-27 | Mks Instruments, Inc. | Feedback control by RF waveform tailoring for ion energy distribution |
US10367110B2 (en) * | 2015-12-09 | 2019-07-30 | First Solar, Inc. | Photovoltaic devices and method of manufacturing |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11004660B2 (en) | 2018-11-30 | 2021-05-11 | Eagle Harbor Technologies, Inc. | Variable output impedance RF generator |
US11430635B2 (en) | 2018-07-27 | 2022-08-30 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US20200017960A1 (en) * | 2016-07-05 | 2020-01-16 | Duralar Technologies, Llc | Plasma-enhanced chemical vapor deposition of carbon-based coatings on surfaces |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10535505B2 (en) * | 2016-11-11 | 2020-01-14 | Lam Research Corporation | Plasma light up suppression |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10957801B2 (en) * | 2017-02-07 | 2021-03-23 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method for manufacturing semiconductor device |
EP4266579A3 (en) | 2017-02-07 | 2023-12-27 | Eagle Harbor Technologies, Inc. | Transformer resonant converter |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
KR102764217B1 (ko) | 2017-02-10 | 2025-02-06 | 어플라이드 머티어리얼스, 인코포레이티드 | 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치 |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US10950500B2 (en) | 2017-05-05 | 2021-03-16 | Applied Materials, Inc. | Methods and apparatus for filling a feature disposed in a substrate |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
JP7176860B6 (ja) | 2017-05-17 | 2022-12-16 | アプライド マテリアルズ インコーポレイテッド | 前駆体の流れを改善する半導体処理チャンバ |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
CN111264032B (zh) | 2017-08-25 | 2022-08-19 | 鹰港科技有限公司 | 使用纳秒脉冲的任意波形生成 |
US10002746B1 (en) * | 2017-09-13 | 2018-06-19 | Lam Research Corporation | Multi regime plasma wafer processing to increase directionality of ions |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
JP2019186098A (ja) * | 2018-04-12 | 2019-10-24 | 東京エレクトロン株式会社 | プラズマを生成する方法 |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10707050B2 (en) * | 2018-07-26 | 2020-07-07 | Varian Semiconductor Equipment Associates, Inc. | System and method to detect glitches |
US11532457B2 (en) | 2018-07-27 | 2022-12-20 | Eagle Harbor Technologies, Inc. | Precise plasma control system |
US11302518B2 (en) | 2018-07-27 | 2022-04-12 | Eagle Harbor Technologies, Inc. | Efficient energy recovery in a nanosecond pulser circuit |
US11222767B2 (en) | 2018-07-27 | 2022-01-11 | Eagle Harbor Technologies, Inc. | Nanosecond pulser bias compensation |
US11810761B2 (en) | 2018-07-27 | 2023-11-07 | Eagle Harbor Technologies, Inc. | Nanosecond pulser ADC system |
JP7038901B2 (ja) | 2018-08-10 | 2022-03-18 | イーグル ハーバー テクノロジーズ,インク. | Rfプラズマリアクタ用プラズマシース制御 |
JP7091196B2 (ja) * | 2018-09-04 | 2022-06-27 | キオクシア株式会社 | プラズマ処理装置および半導体装置の製造方法 |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10796887B2 (en) | 2019-01-08 | 2020-10-06 | Eagle Harbor Technologies, Inc. | Efficient nanosecond pulser with source and sink capability for plasma control applications |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR102791775B1 (ko) | 2019-05-07 | 2025-04-03 | 램 리써치 코포레이션 | 폐루프 다중 출력 rf 매칭 |
US12205796B2 (en) | 2019-07-31 | 2025-01-21 | Lam Research Corporation | Radio frequency power generator having multiple output ports |
TWI778449B (zh) | 2019-11-15 | 2022-09-21 | 美商鷹港科技股份有限公司 | 高電壓脈衝電路 |
US11984298B2 (en) | 2019-12-02 | 2024-05-14 | Lam Research Corporation | Impedance transformation in radio-frequency-assisted plasma generation |
US20210175103A1 (en) * | 2019-12-06 | 2021-06-10 | Applied Materials, Inc. | In situ failure detection in semiconductor processing chambers |
KR102591378B1 (ko) | 2019-12-24 | 2023-10-19 | 이글 하버 테크놀로지스, 인코포레이티드 | 플라즈마 시스템을 위한 나노초 펄서 rf 절연 |
US11994542B2 (en) | 2020-03-27 | 2024-05-28 | Lam Research Corporation | RF signal parameter measurement in an integrated circuit fabrication chamber |
KR20230021739A (ko) | 2020-06-12 | 2023-02-14 | 램 리써치 코포레이션 | Rf 커플링 구조체들에 의한 플라즈마 형성의 제어 |
KR20210155623A (ko) * | 2020-06-16 | 2021-12-23 | 주식회사 뉴파워 프라즈마 | 플라즈마 반응 장치 및 이의 냉각 방법 |
CN113889391B (zh) | 2020-07-02 | 2024-03-12 | 中微半导体设备(上海)股份有限公司 | 等离子体处理装置及其绝缘窗组件 |
KR20220069150A (ko) | 2020-11-19 | 2022-05-27 | 삼성전자주식회사 | 전고체 전지 및 그 제조방법 |
CN114724912B (zh) * | 2021-01-04 | 2025-07-15 | 江苏鲁汶仪器股份有限公司 | 一种线圈结构能随放电腔结构进行变化的离子源 |
KR20220107521A (ko) * | 2021-01-25 | 2022-08-02 | (주) 엔피홀딩스 | 반응기, 이를 포함하는 공정 처리 장치 및 반응기 제조 방법 |
IL281747B2 (en) * | 2021-03-22 | 2024-04-01 | N T Tao Ltd | System and method for creating plasma with high efficiency |
US20240039407A1 (en) * | 2021-05-20 | 2024-02-01 | Kaufman & Robinson, Inc. | Power efficient load current derived switch timing of switching resonant topology |
US11823867B2 (en) * | 2021-05-20 | 2023-11-21 | Kaufman & Robinson, Inc. | Load current derived switch timing of switching resonant topology |
CN113285223B (zh) * | 2021-05-24 | 2023-10-10 | 中国科学院合肥物质科学研究院 | 一种分立式π/2相位差离子回旋共振加热天线 |
CN113757297B (zh) * | 2021-09-09 | 2023-06-06 | 重庆交通大学 | 基于u形线圈的磁流变减振器 |
US11824542B1 (en) | 2022-06-29 | 2023-11-21 | Eagle Harbor Technologies, Inc. | Bipolar high voltage pulser |
US12354832B2 (en) | 2022-09-29 | 2025-07-08 | Eagle Harbor Technologies, Inc. | High voltage plasma control |
US20240212983A1 (en) * | 2022-12-21 | 2024-06-27 | Advanced Energy Industries, Inc. | Compensation of impedance modulation in a plasma generator by frequency sweep |
CN116066319A (zh) * | 2023-03-14 | 2023-05-05 | 哈尔滨工业大学 | 抑制电推进空心阴极放电振荡的阴极外部电子补偿方法 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR970052615A (ko) * | 1995-12-12 | 1997-07-29 | 제임스 조셈 드롱 | 고밀도 플라즈마 반응로용 중앙 가스 공급 장치 |
KR970068752A (ko) * | 1996-03-29 | 1997-10-13 | 하워드 네프 | 고전력 마이크로파 플라즈마 어플리케이터(high power microwave plasma applicator) |
KR20010078211A (ko) * | 2000-01-31 | 2001-08-20 | 조셉 제이. 스위니 | 강화된 챔버 클리닝을 위한 방법및 장치 |
KR20010098812A (ko) * | 2000-04-26 | 2001-11-08 | 브라이언 알. 바흐맨 | 플라즈마 처리 시스템에서 반응 가스 온도를 감소시키는능동-냉각 분배판 |
Family Cites Families (193)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US13314A (en) * | 1855-07-24 | Parlor-stove | ||
US310910A (en) * | 1885-01-20 | piquerez | ||
US2344138A (en) | 1940-05-20 | 1944-03-14 | Chemical Developments Corp | Coating method |
US3109100A (en) | 1960-05-19 | 1963-10-29 | Automatic Canteen Co | Photosensitive currency testing device |
US3576685A (en) | 1968-03-15 | 1971-04-27 | Itt | Doping semiconductors with elemental dopant impurity |
US3676685A (en) * | 1970-05-04 | 1972-07-11 | Xercon Inc | Light-responsive switching circuit |
US3907616A (en) | 1972-11-15 | 1975-09-23 | Texas Instruments Inc | Method of forming doped dielectric layers utilizing reactive plasma deposition |
CH611938A5 (ko) | 1976-05-19 | 1979-06-29 | Battelle Memorial Institute | |
RU725326C (ru) | 1977-08-29 | 1993-11-15 | Отделение Института химической физики АН СССР | Способ получени двухслойных труб |
US4176003A (en) * | 1978-02-22 | 1979-11-27 | Ncr Corporation | Method for enhancing the adhesion of photoresist to polysilicon |
DE3118785A1 (de) | 1981-05-12 | 1982-12-02 | Siemens AG, 1000 Berlin und 8000 München | Verfahren und vorrichtung zum dotieren von halbleitermaterial |
US4465529A (en) | 1981-06-05 | 1984-08-14 | Mitsubishi Denki Kabushiki Kaisha | Method of producing semiconductor device |
US4385946A (en) | 1981-06-19 | 1983-05-31 | Bell Telephone Laboratories, Incorporated | Rapid alteration of ion implant dopant species to create regions of opposite conductivity |
US4434063A (en) * | 1981-08-07 | 1984-02-28 | Kyodo Yushi Co., Ltd. | Lubricant compositions |
US4382099A (en) | 1981-10-26 | 1983-05-03 | Motorola, Inc. | Dopant predeposition from high pressure plasma source |
JPH0635323B2 (ja) | 1982-06-25 | 1994-05-11 | 株式会社日立製作所 | 表面処理方法 |
JPS5986214A (ja) | 1982-11-09 | 1984-05-18 | Nippon Denso Co Ltd | アモルフアス半導体の製造方法 |
US4500563A (en) | 1982-12-15 | 1985-02-19 | Pacific Western Systems, Inc. | Independently variably controlled pulsed R.F. plasma chemical vapor processing |
JPS59218728A (ja) | 1983-05-26 | 1984-12-10 | Fuji Electric Corp Res & Dev Ltd | 半導体基体への不純物導入方法 |
US4521441A (en) | 1983-12-19 | 1985-06-04 | Motorola, Inc. | Plasma enhanced diffusion process |
US4579618A (en) * | 1984-01-06 | 1986-04-01 | Tegal Corporation | Plasma reactor apparatus |
JPS60153119A (ja) | 1984-01-20 | 1985-08-12 | Fuji Electric Corp Res & Dev Ltd | 不純物拡散方法 |
US4656588A (en) * | 1984-04-17 | 1987-04-07 | Nissan Motor Company, Limited | Anti-skid brake control system with a plurality of independently operative digital controllers |
US4539217A (en) | 1984-06-27 | 1985-09-03 | Eaton Corporation | Dose control method |
US4584026A (en) * | 1984-07-25 | 1986-04-22 | Rca Corporation | Ion-implantation of phosphorus, arsenic or boron by pre-amorphizing with fluorine ions |
US4698104A (en) | 1984-12-06 | 1987-10-06 | Xerox Corporation | Controlled isotropic doping of semiconductor materials |
JPS62120041A (ja) | 1985-11-20 | 1987-06-01 | Fujitsu Ltd | 半導体装置の製造方法 |
JPS62290885A (ja) | 1986-06-10 | 1987-12-17 | Toshiba Corp | 反応性イオンエツチング装置 |
JPH0763056B2 (ja) | 1986-08-06 | 1995-07-05 | 三菱電機株式会社 | 薄膜形成装置 |
US4892753A (en) | 1986-12-19 | 1990-01-09 | Applied Materials, Inc. | Process for PECVD of silicon oxide using TEOS decomposition |
US4764394A (en) | 1987-01-20 | 1988-08-16 | Wisconsin Alumni Research Foundation | Method and apparatus for plasma source ion implantation |
US4912065A (en) | 1987-05-28 | 1990-03-27 | Matsushita Electric Industrial Co., Ltd. | Plasma doping method |
KR930003857B1 (ko) | 1987-08-05 | 1993-05-14 | 마쯔시다덴기산교 가부시기가이샤 | 플라즈마 도우핑방법 |
US4892752A (en) * | 1987-08-12 | 1990-01-09 | Oki Electric Industry Co., Ltd. | Method of ion implantation |
US4778561A (en) | 1987-10-30 | 1988-10-18 | Veeco Instruments, Inc. | Electron cyclotron resonance plasma source |
US5643838A (en) | 1988-03-31 | 1997-07-01 | Lucent Technologies Inc. | Low temperature deposition of silicon oxides for device fabrication |
US4871421A (en) | 1988-09-15 | 1989-10-03 | Lam Research Corporation | Split-phase driver for plasma etch system |
US5061838A (en) | 1989-06-23 | 1991-10-29 | Massachusetts Institute Of Technology | Toroidal electron cyclotron resonance reactor |
US4948458A (en) | 1989-08-14 | 1990-08-14 | Lam Research Corporation | Method and apparatus for producing magnetically-coupled planar plasma |
US5106827A (en) | 1989-09-18 | 1992-04-21 | The Perkin Elmer Corporation | Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges |
US5312778A (en) | 1989-10-03 | 1994-05-17 | Applied Materials, Inc. | Method for plasma processing using magnetically enhanced plasma chemical vapor deposition |
US5074456A (en) * | 1990-09-18 | 1991-12-24 | Lam Research Corporation | Composite electrode for plasma processes |
US5040046A (en) | 1990-10-09 | 1991-08-13 | Micron Technology, Inc. | Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby |
US5107201A (en) | 1990-12-11 | 1992-04-21 | Ogle John S | High voltage oscilloscope probe with wide frequency response |
US5288650A (en) * | 1991-01-25 | 1994-02-22 | Ibis Technology Corporation | Prenucleation process for simox device fabrication |
JP3119693B2 (ja) | 1991-10-08 | 2000-12-25 | エム・セテック株式会社 | 半導体基板の製造方法及びその装置 |
US5290382A (en) | 1991-12-13 | 1994-03-01 | Hughes Aircraft Company | Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films |
US5423945A (en) * | 1992-09-08 | 1995-06-13 | Applied Materials, Inc. | Selectivity for etching an oxide over a nitride |
US5229305A (en) * | 1992-02-03 | 1993-07-20 | Motorola, Inc. | Method for making intrinsic gettering sites in bonded substrates |
US5208172A (en) * | 1992-03-02 | 1993-05-04 | Motorola, Inc. | Method for forming a raised vertical transistor |
WO1993018201A1 (en) | 1992-03-02 | 1993-09-16 | Varian Associates, Inc. | Plasma implantation process and equipment |
US5505780A (en) | 1992-03-18 | 1996-04-09 | International Business Machines Corporation | High-density plasma-processing tool with toroidal magnetic field |
US5277751A (en) | 1992-06-18 | 1994-01-11 | Ogle John S | Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window |
AU5017293A (en) | 1992-09-01 | 1994-03-29 | University Of North Carolina At Chapel Hill, The | High pressure magnetically assisted inductively coupled plasma |
US5510011A (en) * | 1992-11-09 | 1996-04-23 | Canon Kabushiki Kaisha | Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature |
US5542559A (en) | 1993-02-16 | 1996-08-06 | Tokyo Electron Kabushiki Kaisha | Plasma treatment apparatus |
US5354381A (en) | 1993-05-07 | 1994-10-11 | Varian Associates, Inc. | Plasma immersion ion implantation (PI3) apparatus |
JP3430552B2 (ja) | 1993-05-07 | 2003-07-28 | ソニー株式会社 | ダイヤモンド半導体の製造方法 |
US5572038A (en) | 1993-05-07 | 1996-11-05 | Varian Associates, Inc. | Charge monitor for high potential pulse current dose measurement apparatus and method |
IT1263372B (it) | 1993-05-26 | 1996-08-05 | Deregibus A & A Spa | Macchina perfezionata per la produzione di tubi in gomma vulcanizzata. |
JP3320392B2 (ja) | 1993-06-24 | 2002-09-03 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
CA2126731A1 (en) | 1993-07-12 | 1995-01-13 | Frank Jansen | Hollow cathode array and method of cleaning sheet stock therewith |
JP2919254B2 (ja) | 1993-11-22 | 1999-07-12 | 日本電気株式会社 | 半導体装置の製造方法および形成装置 |
US5520209A (en) | 1993-12-03 | 1996-05-28 | The Dow Chemical Company | Fluid relief device |
US5435881A (en) | 1994-03-17 | 1995-07-25 | Ogle; John S. | Apparatus for producing planar plasma using varying magnetic poles |
US5665640A (en) * | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
US5587038A (en) | 1994-06-16 | 1996-12-24 | Princeton University | Apparatus and process for producing high density axially extending plasmas |
US5504042A (en) * | 1994-06-23 | 1996-04-02 | Texas Instruments Incorporated | Porous dielectric material with improved pore surface properties for electronics applications |
US5569363A (en) | 1994-10-25 | 1996-10-29 | Sony Corporation | Inductively coupled plasma sputter chamber with conductive material sputtering capabilities |
US5674321A (en) | 1995-04-28 | 1997-10-07 | Applied Materials, Inc. | Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor |
US5711812A (en) | 1995-06-06 | 1998-01-27 | Varian Associates, Inc. | Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes |
US5888413A (en) | 1995-06-06 | 1999-03-30 | Matsushita Electric Industrial Co., Ltd. | Plasma processing method and apparatus |
US5683517A (en) | 1995-06-07 | 1997-11-04 | Applied Materials, Inc. | Plasma reactor with programmable reactant gas distribution |
US5702530A (en) | 1995-06-23 | 1997-12-30 | Applied Materials, Inc. | Distributed microwave plasma reactor for semiconductor processing |
US5653811A (en) * | 1995-07-19 | 1997-08-05 | Chan; Chung | System for the plasma treatment of large area substrates |
JPH0945624A (ja) | 1995-07-27 | 1997-02-14 | Tokyo Electron Ltd | 枚葉式の熱処理装置 |
JPH0982495A (ja) * | 1995-09-18 | 1997-03-28 | Toshiba Corp | プラズマ生成装置およびプラズマ生成方法 |
US6036878A (en) * | 1996-02-02 | 2000-03-14 | Applied Materials, Inc. | Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna |
US5660895A (en) | 1996-04-24 | 1997-08-26 | Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College | Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor |
US5751537A (en) * | 1996-05-02 | 1998-05-12 | Applied Materials, Inc. | Multielectrode electrostatic chuck with fuses |
US6000360A (en) * | 1996-07-03 | 1999-12-14 | Tokyo Electron Limited | Plasma processing apparatus |
US5885358A (en) * | 1996-07-09 | 1999-03-23 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
US5711182A (en) * | 1996-09-09 | 1998-01-27 | Yang; Shyi-Dong | Crimping tool with wire stripping capability |
JP3220394B2 (ja) * | 1996-09-27 | 2001-10-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US6254737B1 (en) | 1996-10-08 | 2001-07-03 | Applied Materials, Inc. | Active shield for generating a plasma for sputtering |
US5654043A (en) | 1996-10-10 | 1997-08-05 | Eaton Corporation | Pulsed plate plasma implantation system and method |
US5911832A (en) | 1996-10-10 | 1999-06-15 | Eaton Corporation | Plasma immersion implantation with pulsed anode |
US5770982A (en) | 1996-10-29 | 1998-06-23 | Sematech, Inc. | Self isolating high frequency saturable reactor |
SE510984C2 (sv) * | 1996-10-31 | 1999-07-19 | Assa Ab | Cylinderlås |
JP4013271B2 (ja) | 1997-01-16 | 2007-11-28 | 日新電機株式会社 | 物品表面処理方法及び装置 |
US6139697A (en) | 1997-01-31 | 2000-10-31 | Applied Materials, Inc. | Low temperature integrated via and trench fill process and apparatus |
JPH10270428A (ja) | 1997-03-27 | 1998-10-09 | Mitsubishi Electric Corp | プラズマ処理装置 |
US6174450B1 (en) | 1997-04-16 | 2001-01-16 | Lam Research Corporation | Methods and apparatus for controlling ion energy and plasma density in a plasma processing system |
US6582999B2 (en) * | 1997-05-12 | 2003-06-24 | Silicon Genesis Corporation | Controlled cleavage process using pressurized fluid |
US6291313B1 (en) * | 1997-05-12 | 2001-09-18 | Silicon Genesis Corporation | Method and device for controlled cleaving process |
US6162705A (en) * | 1997-05-12 | 2000-12-19 | Silicon Genesis Corporation | Controlled cleavage process and resulting device using beta annealing |
US5897752A (en) | 1997-05-20 | 1999-04-27 | Applied Materials, Inc. | Wafer bias ring in a sustained self-sputtering reactor |
TW460943B (en) | 1997-06-11 | 2001-10-21 | Applied Materials Inc | Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions |
US6150628A (en) | 1997-06-26 | 2000-11-21 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas source |
US6103599A (en) * | 1997-07-25 | 2000-08-15 | Silicon Genesis Corporation | Planarizing technique for multilayered substrates |
GB2343550A (en) * | 1997-07-29 | 2000-05-10 | Silicon Genesis Corp | Cluster tool method and apparatus using plasma immersion ion implantation |
US5935077A (en) | 1997-08-14 | 1999-08-10 | Ogle; John Seldon | Noninvasive blood flow sensor using magnetic field parallel to skin |
AU9296098A (en) * | 1997-08-29 | 1999-03-16 | Sharon N. Farrens | In situ plasma wafer bonding method |
JPH1187340A (ja) * | 1997-09-05 | 1999-03-30 | Mitsubishi Electric Corp | 半導体装置及びその製造方法 |
US5995207A (en) * | 1997-11-26 | 1999-11-30 | Litton Systems, Inc. | Method for determining the phase difference of light waves propagated over two paths |
US6041735A (en) | 1998-03-02 | 2000-03-28 | Ball Semiconductor, Inc. | Inductively coupled plasma powder vaporization for fabricating integrated circuits |
US5994236A (en) | 1998-01-23 | 1999-11-30 | Ogle; John Seldon | Plasma source with process nonuniformity improved using ferromagnetic cores |
US6265328B1 (en) * | 1998-01-30 | 2001-07-24 | Silicon Genesis Corporation | Wafer edge engineering method and device |
US6274459B1 (en) | 1998-02-17 | 2001-08-14 | Silicon Genesis Corporation | Method for non mass selected ion implant profile control |
US6132552A (en) | 1998-02-19 | 2000-10-17 | Micron Technology, Inc. | Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor |
US5944942A (en) | 1998-03-04 | 1999-08-31 | Ogle; John Seldon | Varying multipole plasma source |
US6395150B1 (en) * | 1998-04-01 | 2002-05-28 | Novellus Systems, Inc. | Very high aspect ratio gapfill using HDP |
US5998933A (en) | 1998-04-06 | 1999-12-07 | Shun'ko; Evgeny V. | RF plasma inductor with closed ferrite core |
EP0964074A3 (en) | 1998-05-13 | 2001-02-07 | Axcelis Technologies, Inc. | Ion implantation control using optical emission spectroscopy |
US6101971A (en) | 1998-05-13 | 2000-08-15 | Axcelis Technologies, Inc. | Ion implantation control using charge collection, optical emission spectroscopy and mass analysis |
US6164241A (en) | 1998-06-30 | 2000-12-26 | Lam Research Corporation | Multiple coil antenna for inductively-coupled plasma generation systems |
JP3497092B2 (ja) | 1998-07-23 | 2004-02-16 | 名古屋大学長 | プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置 |
US6020592A (en) | 1998-08-03 | 2000-02-01 | Varian Semiconductor Equipment Associates, Inc. | Dose monitor for plasma doping system |
US6300643B1 (en) | 1998-08-03 | 2001-10-09 | Varian Semiconductor Equipment Associates, Inc. | Dose monitor for plasma doping system |
US6050218A (en) | 1998-09-28 | 2000-04-18 | Eaton Corporation | Dosimetry cup charge collection in plasma immersion ion implantation |
EP1132956A4 (en) * | 1998-10-29 | 2005-04-27 | Tokyo Electron Ltd | VACUUM GENERATOR UNIT |
WO2000026939A1 (en) * | 1998-10-29 | 2000-05-11 | Applied Materials, Inc. | Apparatus for coupling power through a workpiece in a semiconductor wafer processing system |
US6174743B1 (en) | 1998-12-08 | 2001-01-16 | Advanced Micro Devices, Inc. | Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines |
US6096661A (en) | 1998-12-15 | 2000-08-01 | Advanced Micro Devices, Inc. | Method for depositing silicon dioxide using low temperatures |
WO2000041229A1 (fr) * | 1998-12-28 | 2000-07-13 | Tokyo Electron Limited | Appareil a plasma et electrode inferieure associee |
US6579805B1 (en) * | 1999-01-05 | 2003-06-17 | Ronal Systems Corp. | In situ chemical generator and method |
US6239553B1 (en) | 1999-04-22 | 2001-05-29 | Applied Materials, Inc. | RF plasma source for material processing |
US6392351B1 (en) * | 1999-05-03 | 2002-05-21 | Evgeny V. Shun'ko | Inductive RF plasma source with external discharge bridge |
US20020036881A1 (en) * | 1999-05-07 | 2002-03-28 | Shamouil Shamouilian | Electrostatic chuck having composite base and method |
JP3160263B2 (ja) | 1999-05-14 | 2001-04-25 | キヤノン販売株式会社 | プラズマドーピング装置及びプラズマドーピング方法 |
US6248642B1 (en) * | 1999-06-24 | 2001-06-19 | Ibis Technology Corporation | SIMOX using controlled water vapor for oxygen implants |
US6375790B1 (en) * | 1999-07-19 | 2002-04-23 | Epion Corporation | Adaptive GCIB for smoothing surfaces |
DE19936864A1 (de) | 1999-08-05 | 2001-02-15 | Patent Treuhand Ges Fuer Elektrische Gluehlampen Mbh | Verfahren zum Einsetzen eines Pumpstengels in ein Entladungsgefäß |
KR20020029743A (ko) | 1999-08-06 | 2002-04-19 | 로버트 엠. 포터 | 가스와 재료를 처리하기 위한 유도결합 링-플라즈마소스장치 및 그의 방법 |
US6237527B1 (en) | 1999-08-06 | 2001-05-29 | Axcelis Technologies, Inc. | System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate |
US6103567A (en) * | 1999-08-10 | 2000-08-15 | Vanguard International Semiconductor Corp. | Method of fabricating dielectric layer |
US6264328B1 (en) * | 1999-10-21 | 2001-07-24 | University Of Rochester | Wavefront sensor with off-axis illumination |
US6182604B1 (en) | 1999-10-27 | 2001-02-06 | Varian Semiconductor Equipment Associates, Inc. | Hollow cathode for plasma doping system |
US6335536B1 (en) | 1999-10-27 | 2002-01-01 | Varian Semiconductor Equipment Associates, Inc. | Method and apparatus for low voltage plasma doping using dual pulses |
US6433553B1 (en) | 1999-10-27 | 2002-08-13 | Varian Semiconductor Equipment Associates, Inc. | Method and apparatus for eliminating displacement current from current measurements in a plasma processing system |
US6341574B1 (en) | 1999-11-15 | 2002-01-29 | Lam Research Corporation | Plasma processing systems |
DE19954926C2 (de) * | 1999-11-16 | 2001-09-13 | Bruker Medical Gmbh | Verfahren zum Korrigieren linearer Feldinhomogenitäten in einer Apparatur der magnetischen Resonanz |
US6426015B1 (en) | 1999-12-14 | 2002-07-30 | Applied Materials, Inc. | Method of reducing undesired etching of insulation due to elevated boron concentrations |
US6458430B1 (en) | 1999-12-22 | 2002-10-01 | Axcelis Technologies, Inc. | Pretreatment process for plasma immersion ion implantation |
US6350697B1 (en) * | 1999-12-22 | 2002-02-26 | Lam Research Corporation | Method of cleaning and conditioning plasma reaction chamber |
US6291938B1 (en) | 1999-12-31 | 2001-09-18 | Litmas, Inc. | Methods and apparatus for igniting and sustaining inductively coupled plasma |
US6902987B1 (en) | 2000-02-16 | 2005-06-07 | Ziptronix, Inc. | Method for low temperature bonding and bonded structure |
US6417078B1 (en) * | 2000-05-03 | 2002-07-09 | Ibis Technology Corporation | Implantation process using sub-stoichiometric, oxygen doses at different energies |
US6679981B1 (en) * | 2000-05-11 | 2004-01-20 | Applied Materials, Inc. | Inductive plasma loop enhancing magnetron sputtering |
DE10024876A1 (de) | 2000-05-16 | 2001-11-29 | Infineon Technologies Ag | Vertikaler Transistor |
US6418874B1 (en) * | 2000-05-25 | 2002-07-16 | Applied Materials, Inc. | Toroidal plasma source for plasma processing |
US6559026B1 (en) | 2000-05-25 | 2003-05-06 | Applied Materials, Inc | Trench fill with HDP-CVD process including coupled high power density plasma deposition |
US6643557B1 (en) | 2000-06-09 | 2003-11-04 | Advanced Micro Devices, Inc. | Method and apparatus for using scatterometry to perform feedback and feed-forward control |
KR100366623B1 (ko) * | 2000-07-18 | 2003-01-09 | 삼성전자 주식회사 | 반도체 기판 또는 lcd 기판의 세정방법 |
US6303519B1 (en) | 2000-07-20 | 2001-10-16 | United Microelectronics Corp. | Method of making low K fluorinated silicon oxide |
US6305316B1 (en) | 2000-07-20 | 2001-10-23 | Axcelis Technologies, Inc. | Integrated power oscillator RF source of plasma immersion ion implantation system |
US6403453B1 (en) | 2000-07-27 | 2002-06-11 | Sharp Laboratories Of America, Inc. | Dose control technique for plasma doping in ultra-shallow junction formations |
US6939434B2 (en) | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US20070042580A1 (en) * | 2000-08-10 | 2007-02-22 | Amir Al-Bayati | Ion implanted insulator material with reduced dielectric constant |
US7166524B2 (en) * | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US7223676B2 (en) * | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
US6893907B2 (en) * | 2002-06-05 | 2005-05-17 | Applied Materials, Inc. | Fabrication of silicon-on-insulator structure using plasma immersion ion implantation |
US7294563B2 (en) * | 2000-08-10 | 2007-11-13 | Applied Materials, Inc. | Semiconductor on insulator vertical transistor fabrication and doping process |
EP1307896A2 (en) * | 2000-08-11 | 2003-05-07 | Applied Materials, Inc. | Externally excited torroidal plasma source |
US6453842B1 (en) | 2000-08-11 | 2002-09-24 | Applied Materials Inc. | Externally excited torroidal plasma source using a gas distribution plate |
US6551446B1 (en) * | 2000-08-11 | 2003-04-22 | Applied Materials Inc. | Externally excited torroidal plasma source with a gas distribution plate |
US7303982B2 (en) * | 2000-08-11 | 2007-12-04 | Applied Materials, Inc. | Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage |
US6410449B1 (en) * | 2000-08-11 | 2002-06-25 | Applied Materials, Inc. | Method of processing a workpiece using an externally excited torroidal plasma source |
US7037813B2 (en) * | 2000-08-11 | 2006-05-02 | Applied Materials, Inc. | Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage |
US7137354B2 (en) | 2000-08-11 | 2006-11-21 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage |
US6494986B1 (en) | 2000-08-11 | 2002-12-17 | Applied Materials, Inc. | Externally excited multiple torroidal plasma source |
US7320734B2 (en) | 2000-08-11 | 2008-01-22 | Applied Materials, Inc. | Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage |
US6348126B1 (en) | 2000-08-11 | 2002-02-19 | Applied Materials, Inc. | Externally excited torroidal plasma source |
US6468388B1 (en) | 2000-08-11 | 2002-10-22 | Applied Materials, Inc. | Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate |
AU2001282327A1 (en) | 2000-09-18 | 2002-04-02 | Axcelis Technologies, Inc. | System and method for controlling sputtering and deposition effects in a plasma immersion implantation device |
CA2320557A1 (en) | 2000-09-25 | 2002-03-25 | Michelangelo Delfino | Radioactive medical implant and method of manufacturing |
US6593173B1 (en) * | 2000-11-28 | 2003-07-15 | Ibis Technology Corporation | Low defect density, thin-layer, SOI substrates |
US6413321B1 (en) * | 2000-12-07 | 2002-07-02 | Applied Materials, Inc. | Method and apparatus for reducing particle contamination on wafer backside during CVD process |
US6461972B1 (en) * | 2000-12-22 | 2002-10-08 | Lsi Logic Corporation | Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow |
US6387719B1 (en) * | 2001-02-28 | 2002-05-14 | Lexmark International, Inc. | Method for improving adhesion |
US6755150B2 (en) * | 2001-04-20 | 2004-06-29 | Applied Materials Inc. | Multi-core transformer plasma source |
US6780759B2 (en) | 2001-05-09 | 2004-08-24 | Silicon Genesis Corporation | Method for multi-frequency bonding |
US20030013314A1 (en) * | 2001-07-06 | 2003-01-16 | Chentsau Ying | Method of reducing particulates in a plasma etch chamber during a metal etch process |
US6632728B2 (en) * | 2001-07-16 | 2003-10-14 | Agere Systems Inc. | Increasing the electrical activation of ion-implanted dopants |
US6984288B2 (en) * | 2001-08-08 | 2006-01-10 | Lam Research Corporation | Plasma processor in plasma confinement region within a vacuum chamber |
US6887341B2 (en) * | 2001-11-13 | 2005-05-03 | Tokyo Electron Limited | Plasma processing apparatus for spatial control of dissociation and ionization |
JP4488662B2 (ja) * | 2001-12-13 | 2010-06-23 | 東京エレクトロン株式会社 | プラズマ処理装置、マッチングボックス |
JP2004047696A (ja) * | 2002-07-11 | 2004-02-12 | Matsushita Electric Ind Co Ltd | プラズマドーピング方法及び装置、整合回路 |
FR2846788B1 (fr) * | 2002-10-30 | 2005-06-17 | Procede de fabrication de substrats demontables | |
US6838695B2 (en) * | 2002-11-25 | 2005-01-04 | International Business Machines Corporation | CMOS device structure with improved PFET gate electrode |
US6747243B1 (en) * | 2002-12-24 | 2004-06-08 | Novellus Systems, Inc. | Spot cleaning of particles after inspection |
US20040126993A1 (en) * | 2002-12-30 | 2004-07-01 | Chan Kevin K. | Low temperature fusion bonding with high surface energy using a wet chemical treatment |
US6811448B1 (en) | 2003-07-15 | 2004-11-02 | Advanced Micro Devices, Inc. | Pre-cleaning for silicidation in an SMOS process |
-
2002
- 2002-06-05 US US10/164,327 patent/US6939434B2/en not_active Expired - Fee Related
-
2003
- 2003-06-05 WO PCT/US2003/018025 patent/WO2003105182A2/en not_active Application Discontinuation
- 2003-06-05 KR KR1020047019828A patent/KR101011580B1/ko not_active Expired - Fee Related
- 2003-06-05 TW TW092115322A patent/TWI333396B/zh not_active IP Right Cessation
- 2003-08-22 US US10/646,526 patent/US20040149217A1/en not_active Abandoned
- 2003-08-22 US US10/646,460 patent/US20050051271A1/en not_active Abandoned
- 2003-08-22 US US10/646,533 patent/US7700465B2/en not_active Expired - Fee Related
- 2003-08-22 US US10/646,528 patent/US20040107908A1/en not_active Abandoned
- 2003-08-22 US US10/646,532 patent/US20040112542A1/en not_active Abandoned
-
2006
- 2006-11-15 US US11/600,680 patent/US20070119546A1/en not_active Abandoned
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR970052615A (ko) * | 1995-12-12 | 1997-07-29 | 제임스 조셈 드롱 | 고밀도 플라즈마 반응로용 중앙 가스 공급 장치 |
KR970068752A (ko) * | 1996-03-29 | 1997-10-13 | 하워드 네프 | 고전력 마이크로파 플라즈마 어플리케이터(high power microwave plasma applicator) |
KR20010078211A (ko) * | 2000-01-31 | 2001-08-20 | 조셉 제이. 스위니 | 강화된 챔버 클리닝을 위한 방법및 장치 |
KR20010098812A (ko) * | 2000-04-26 | 2001-11-08 | 브라이언 알. 바흐맨 | 플라즈마 처리 시스템에서 반응 가스 온도를 감소시키는능동-냉각 분배판 |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2019169016A1 (en) * | 2018-03-01 | 2019-09-06 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
Also Published As
Publication number | Publication date |
---|---|
KR20040111725A (ko) | 2004-12-31 |
US20040107909A1 (en) | 2004-06-10 |
TW200405769A (en) | 2004-04-01 |
US20040112542A1 (en) | 2004-06-17 |
US6939434B2 (en) | 2005-09-06 |
US7700465B2 (en) | 2010-04-20 |
US20040107908A1 (en) | 2004-06-10 |
US20040149217A1 (en) | 2004-08-05 |
WO2003105182A2 (en) | 2003-12-18 |
US20030226641A1 (en) | 2003-12-11 |
TWI333396B (en) | 2010-11-11 |
US20050051271A1 (en) | 2005-03-10 |
US20070119546A1 (en) | 2007-05-31 |
WO2003105182A3 (en) | 2004-03-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101011580B1 (ko) | 이온 분포의 자기 제어에 의해 외부적으로 여기된토로이드형 플라즈마 소스 | |
US6468388B1 (en) | Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate | |
US6348126B1 (en) | Externally excited torroidal plasma source | |
US6494986B1 (en) | Externally excited multiple torroidal plasma source | |
US6453842B1 (en) | Externally excited torroidal plasma source using a gas distribution plate | |
US6410449B1 (en) | Method of processing a workpiece using an externally excited torroidal plasma source | |
US6551446B1 (en) | Externally excited torroidal plasma source with a gas distribution plate | |
KR101920842B1 (ko) | 플라즈마 소스 디자인 | |
JP2635267B2 (ja) | Rfプラズマ処理装置 | |
US6806437B2 (en) | Inductively coupled plasma generating apparatus incorporating double-layered coil antenna | |
US5938883A (en) | Plasma processing apparatus | |
US6518195B1 (en) | Plasma reactor using inductive RF coupling, and processes | |
TWI611735B (zh) | 電漿處理裝置(一) | |
KR100809889B1 (ko) | 외부에서 여기된 토로이드형 플라즈마 소스를 구비한 플라즈마 챔버 | |
JP2625072B2 (ja) | 電磁rf結合を用いたプラズマ反応装置及びその方法 | |
US7430984B2 (en) | Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements | |
US20020004309A1 (en) | Processes used in an inductively coupled plasma reactor | |
US7094316B1 (en) | Externally excited torroidal plasma source | |
JP2004140363A (ja) | 蛇行コイルアンテナを具備した誘導結合プラズマ発生装置 | |
JPH11135438A (ja) | 半導体プラズマ処理装置 | |
US20070017897A1 (en) | Multi-frequency plasma enhanced process chamber having a toroidal plasma source | |
WO2007117122A1 (en) | Compound plasma source and method for dissociating gases using the same | |
US6136140A (en) | Plasma processing apparatus | |
US20240420921A1 (en) | Immersed plasma source and process chamber for large area substrates | |
USRE40963E1 (en) | Method for plasma processing by shaping an induced electric field |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PA0105 | International application |
Patent event date: 20041206 Patent event code: PA01051R01D Comment text: International Patent Application |
|
PG1501 | Laying open of application | ||
A201 | Request for examination | ||
AMND | Amendment | ||
PA0201 | Request for examination |
Patent event code: PA02012R01D Patent event date: 20080605 Comment text: Request for Examination of Application |
|
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20100125 Patent event code: PE09021S01D |
|
AMND | Amendment | ||
E601 | Decision to refuse application | ||
PE0601 | Decision on rejection of patent |
Patent event date: 20100820 Comment text: Decision to Refuse Application Patent event code: PE06012S01D Patent event date: 20100125 Comment text: Notification of reason for refusal Patent event code: PE06011S01I |
|
AMND | Amendment | ||
J201 | Request for trial against refusal decision | ||
PJ0201 | Trial against decision of rejection |
Patent event date: 20100917 Comment text: Request for Trial against Decision on Refusal Patent event code: PJ02012R01D Patent event date: 20100820 Comment text: Decision to Refuse Application Patent event code: PJ02011S01I Appeal kind category: Appeal against decision to decline refusal Decision date: 20101101 Appeal identifier: 2010101007239 Request date: 20100917 |
|
PB0901 | Examination by re-examination before a trial |
Comment text: Amendment to Specification, etc. Patent event date: 20100917 Patent event code: PB09011R02I Comment text: Request for Trial against Decision on Refusal Patent event date: 20100917 Patent event code: PB09011R01I Comment text: Amendment to Specification, etc. Patent event date: 20100426 Patent event code: PB09011R02I Comment text: Amendment to Specification, etc. Patent event date: 20080605 Patent event code: PB09011R02I |
|
B701 | Decision to grant | ||
PB0701 | Decision of registration after re-examination before a trial |
Patent event date: 20101101 Comment text: Decision to Grant Registration Patent event code: PB07012S01D Patent event date: 20101019 Comment text: Transfer of Trial File for Re-examination before a Trial Patent event code: PB07011S01I |
|
GRNT | Written decision to grant | ||
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20110121 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20110121 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration | ||
LAPS | Lapse due to unpaid annual fee | ||
PC1903 | Unpaid annual fee |