JP5423205B2 - 成膜装置 - Google Patents
成膜装置 Download PDFInfo
- Publication number
- JP5423205B2 JP5423205B2 JP2009172948A JP2009172948A JP5423205B2 JP 5423205 B2 JP5423205 B2 JP 5423205B2 JP 2009172948 A JP2009172948 A JP 2009172948A JP 2009172948 A JP2009172948 A JP 2009172948A JP 5423205 B2 JP5423205 B2 JP 5423205B2
- Authority
- JP
- Japan
- Prior art keywords
- gas
- substrate
- activated
- turntable
- flow path
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 230000008021 deposition Effects 0.000 title description 5
- 239000007789 gas Substances 0.000 claims description 1172
- 239000010408 film Substances 0.000 claims description 352
- 238000000926 separation method Methods 0.000 claims description 159
- 238000012545 processing Methods 0.000 claims description 154
- 230000004913 activation Effects 0.000 claims description 144
- 239000000758 substrate Substances 0.000 claims description 129
- 239000012495 reaction gas Substances 0.000 claims description 124
- 238000000034 method Methods 0.000 claims description 118
- 230000008569 process Effects 0.000 claims description 94
- 238000005192 partition Methods 0.000 claims description 74
- 239000010409 thin film Substances 0.000 claims description 39
- 238000006243 chemical reaction Methods 0.000 claims description 35
- 239000012298 atmosphere Substances 0.000 claims description 30
- 238000004891 communication Methods 0.000 claims description 25
- 230000003213 activating effect Effects 0.000 claims description 24
- 238000010438 heat treatment Methods 0.000 claims description 23
- 238000007599 discharging Methods 0.000 claims description 18
- 239000007795 chemical reaction product Substances 0.000 claims description 13
- 230000001105 regulatory effect Effects 0.000 claims description 9
- 239000000919 ceramic Substances 0.000 claims description 8
- 238000010030 laminating Methods 0.000 claims description 8
- 239000000047 product Substances 0.000 claims description 7
- 235000012431 wafers Nutrition 0.000 description 165
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 135
- 230000015572 biosynthetic process Effects 0.000 description 57
- 230000002093 peripheral effect Effects 0.000 description 53
- 229910052814 silicon oxide Inorganic materials 0.000 description 49
- 235000012239 silicon dioxide Nutrition 0.000 description 46
- 238000002407 reforming Methods 0.000 description 45
- 229910052681 coesite Inorganic materials 0.000 description 40
- 229910052906 cristobalite Inorganic materials 0.000 description 40
- 239000000377 silicon dioxide Substances 0.000 description 40
- 229910052682 stishovite Inorganic materials 0.000 description 40
- 229910052905 tridymite Inorganic materials 0.000 description 40
- BIVNKSDKIFWKFA-UHFFFAOYSA-N N-propan-2-yl-N-silylpropan-2-amine Chemical compound CC(C)N([SiH3])C(C)C BIVNKSDKIFWKFA-UHFFFAOYSA-N 0.000 description 39
- 230000004048 modification Effects 0.000 description 35
- 238000012986 modification Methods 0.000 description 35
- 230000001965 increasing effect Effects 0.000 description 30
- 238000002474 experimental method Methods 0.000 description 29
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 24
- 230000000694 effects Effects 0.000 description 20
- 239000012535 impurity Substances 0.000 description 20
- 238000011144 upstream manufacturing Methods 0.000 description 20
- 229910052760 oxygen Inorganic materials 0.000 description 19
- 230000002829 reductive effect Effects 0.000 description 19
- 239000001301 oxygen Substances 0.000 description 18
- 238000012546 transfer Methods 0.000 description 18
- 238000010926 purge Methods 0.000 description 17
- 230000000052 comparative effect Effects 0.000 description 16
- 238000004088 simulation Methods 0.000 description 15
- 238000000137 annealing Methods 0.000 description 14
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 14
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 13
- 230000007423 decrease Effects 0.000 description 13
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 12
- 150000002500 ions Chemical class 0.000 description 12
- 230000001681 protective effect Effects 0.000 description 12
- 238000000231 atomic layer deposition Methods 0.000 description 11
- 239000010410 layer Substances 0.000 description 11
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 9
- 238000001514 detection method Methods 0.000 description 9
- 230000007246 mechanism Effects 0.000 description 9
- 238000001039 wet etching Methods 0.000 description 9
- 239000001257 hydrogen Substances 0.000 description 8
- 229910052739 hydrogen Inorganic materials 0.000 description 8
- 229910004298 SiO 2 Inorganic materials 0.000 description 7
- 230000009471 action Effects 0.000 description 6
- 230000003247 decreasing effect Effects 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- 229910052757 nitrogen Inorganic materials 0.000 description 6
- 239000010453 quartz Substances 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- 230000006870 function Effects 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical group [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 4
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 4
- 238000000151 deposition Methods 0.000 description 4
- 238000005259 measurement Methods 0.000 description 4
- 239000002994 raw material Substances 0.000 description 4
- 230000008707 rearrangement Effects 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 229910021529 ammonia Inorganic materials 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 238000002955 isolation Methods 0.000 description 3
- 238000002156 mixing Methods 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 229920006395 saturated elastomer Polymers 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 238000001179 sorption measurement Methods 0.000 description 3
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 3
- HMUNWXXNJPVALC-UHFFFAOYSA-N 1-[4-[2-(2,3-dihydro-1H-inden-2-ylamino)pyrimidin-5-yl]piperazin-1-yl]-2-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)ethanone Chemical compound C1C(CC2=CC=CC=C12)NC1=NC=C(C=N1)N1CCN(CC1)C(CN1CC2=C(CC1)NN=N2)=O HMUNWXXNJPVALC-UHFFFAOYSA-N 0.000 description 2
- YLZOPXRUQYQQID-UHFFFAOYSA-N 3-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)-1-[4-[2-[[3-(trifluoromethoxy)phenyl]methylamino]pyrimidin-5-yl]piperazin-1-yl]propan-1-one Chemical compound N1N=NC=2CN(CCC=21)CCC(=O)N1CCN(CC1)C=1C=NC(=NC=1)NCC1=CC(=CC=C1)OC(F)(F)F YLZOPXRUQYQQID-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 2
- 229910002808 Si–O–Si Inorganic materials 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 239000007864 aqueous solution Substances 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 230000009849 deactivation Effects 0.000 description 2
- 238000003795 desorption Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 238000010494 dissociation reaction Methods 0.000 description 2
- 230000005593 dissociations Effects 0.000 description 2
- 238000001678 elastic recoil detection analysis Methods 0.000 description 2
- 230000003028 elevating effect Effects 0.000 description 2
- 230000005484 gravity Effects 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 239000000463 material Substances 0.000 description 2
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 230000002265 prevention Effects 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- 230000006798 recombination Effects 0.000 description 2
- 238000005215 recombination Methods 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000001004 secondary ion mass spectrometry Methods 0.000 description 2
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 2
- 239000002344 surface layer Substances 0.000 description 2
- 238000005979 thermal decomposition reaction Methods 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 2
- ZFFMLCVRJBZUDZ-UHFFFAOYSA-N 2,3-dimethylbutane Chemical group CC(C)C(C)C ZFFMLCVRJBZUDZ-UHFFFAOYSA-N 0.000 description 1
- VZSRBBMJRBPUNF-UHFFFAOYSA-N 2-(2,3-dihydro-1H-inden-2-ylamino)-N-[3-oxo-3-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)propyl]pyrimidine-5-carboxamide Chemical compound C1C(CC2=CC=CC=C12)NC1=NC=C(C=N1)C(=O)NCCC(N1CC2=C(CC1)NN=N2)=O VZSRBBMJRBPUNF-UHFFFAOYSA-N 0.000 description 1
- LDXJRKWFNNFDSA-UHFFFAOYSA-N 2-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)-1-[4-[2-[[3-(trifluoromethoxy)phenyl]methylamino]pyrimidin-5-yl]piperazin-1-yl]ethanone Chemical compound C1CN(CC2=NNN=C21)CC(=O)N3CCN(CC3)C4=CN=C(N=C4)NCC5=CC(=CC=C5)OC(F)(F)F LDXJRKWFNNFDSA-UHFFFAOYSA-N 0.000 description 1
- SXAMGRAIZSSWIH-UHFFFAOYSA-N 2-[3-[2-(2,3-dihydro-1H-inden-2-ylamino)pyrimidin-5-yl]-1,2,4-oxadiazol-5-yl]-1-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)ethanone Chemical compound C1C(CC2=CC=CC=C12)NC1=NC=C(C=N1)C1=NOC(=N1)CC(=O)N1CC2=C(CC1)NN=N2 SXAMGRAIZSSWIH-UHFFFAOYSA-N 0.000 description 1
- YJLUBHOZZTYQIP-UHFFFAOYSA-N 2-[5-[2-(2,3-dihydro-1H-inden-2-ylamino)pyrimidin-5-yl]-1,3,4-oxadiazol-2-yl]-1-(2,4,6,7-tetrahydrotriazolo[4,5-c]pyridin-5-yl)ethanone Chemical compound C1C(CC2=CC=CC=C12)NC1=NC=C(C=N1)C1=NN=C(O1)CC(=O)N1CC2=C(CC1)NN=N2 YJLUBHOZZTYQIP-UHFFFAOYSA-N 0.000 description 1
- CONKBQPVFMXDOV-QHCPKHFHSA-N 6-[(5S)-5-[[4-[2-(2,3-dihydro-1H-inden-2-ylamino)pyrimidin-5-yl]piperazin-1-yl]methyl]-2-oxo-1,3-oxazolidin-3-yl]-3H-1,3-benzoxazol-2-one Chemical compound C1C(CC2=CC=CC=C12)NC1=NC=C(C=N1)N1CCN(CC1)C[C@H]1CN(C(O1)=O)C1=CC2=C(NC(O2)=O)C=C1 CONKBQPVFMXDOV-QHCPKHFHSA-N 0.000 description 1
- 229910000990 Ni alloy Inorganic materials 0.000 description 1
- 229910018557 Si O Inorganic materials 0.000 description 1
- 229910008051 Si-OH Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 229910006358 Si—OH Inorganic materials 0.000 description 1
- SEQDDYPDSLOBDC-UHFFFAOYSA-N Temazepam Chemical compound N=1C(O)C(=O)N(C)C2=CC=C(Cl)C=C2C=1C1=CC=CC=C1 SEQDDYPDSLOBDC-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 230000004308 accommodation Effects 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 239000011260 aqueous acid Substances 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 238000005452 bending Methods 0.000 description 1
- OYACROKNLOSFPA-UHFFFAOYSA-N calcium;dioxido(oxo)silane Chemical compound [Ca+2].[O-][Si]([O-])=O OYACROKNLOSFPA-UHFFFAOYSA-N 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 230000001276 controlling effect Effects 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000006837 decompression Effects 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 238000005265 energy consumption Methods 0.000 description 1
- 238000002309 gasification Methods 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 230000001678 irradiating effect Effects 0.000 description 1
- 238000005304 joining Methods 0.000 description 1
- WABPQHHGFIMREM-UHFFFAOYSA-N lead(0) Chemical compound [Pb] WABPQHHGFIMREM-UHFFFAOYSA-N 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 1
- 229910052753 mercury Inorganic materials 0.000 description 1
- 239000002052 molecular layer Substances 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 239000012299 nitrogen atmosphere Substances 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000035484 reaction time Effects 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 238000005001 rutherford backscattering spectroscopy Methods 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Inorganic materials [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 150000003384 small molecules Chemical class 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 238000000638 solvent extraction Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 229910052712 strontium Inorganic materials 0.000 description 1
- CIOAGBVUUVVLOB-UHFFFAOYSA-N strontium atom Chemical compound [Sr] CIOAGBVUUVVLOB-UHFFFAOYSA-N 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- LXEXBJXDGVGRAR-UHFFFAOYSA-N trichloro(trichlorosilyl)silane Chemical compound Cl[Si](Cl)(Cl)[Si](Cl)(Cl)Cl LXEXBJXDGVGRAR-UHFFFAOYSA-N 0.000 description 1
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32532—Electrodes
- H01J37/32559—Protection means, e.g. coatings
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/448—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
- C23C16/452—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45517—Confinement of gases to vicinity of substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
- C23C16/45548—Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
- C23C16/45551—Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45578—Elongated nozzles, tubes with holes
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4584—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5093—Coaxial electrodes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J1/00—Details of electrodes, of magnetic control means, of screens, or of the mounting or spacing thereof, common to two or more basic types of discharge tubes or lamps
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Physics & Mathematics (AREA)
- Analytical Chemistry (AREA)
- Electromagnetism (AREA)
- Chemical Vapour Deposition (AREA)
Description
またウエハにプラズマを照射する技術としては、図57に示すように処理容器500内の載置台501上に載置されたウエハWに対して、反応ガスA及び反応ガスBを反応させて成膜した後、プラズマ発生室502にて発生した酸素ラジカルを薄膜に供給して当該薄膜を改質する手法が知られている。503はラジカル搬送路、504はガス供給部、505は反応ガスAの供給源、506は反応ガスBの供給源、507はガス供給路、508はヒータ、509は真空ポンプである。薄膜としては例えばSiO2膜を挙げることができ、この場合酸素ラジカルはSiO2膜中のN、OH基、Hなどの不純物を除去する役割を果たす。しかしながらウエハWに供給されるプラズマはいわゆるリモートプラズマであるため、ラジカルが搬送途中で失活し、ウエハWに供給されるラジカルの濃度が低いために十分な改質を行うことができない。従ってSiO2膜からの前記不純物の排出が進まないので、SiとOとの比率が1:2に近づかないし、またSi−O−Siの3次元構造が形成されにくく、この結果、良質なSiO2膜が得られない。なおプラズマ中のオゾンの分解を促進するためにウエハの温度を高温にすることも考えられるが、その場合には低温プロセスに対応できなくなる。
真空容器内に設けられた回転テーブルと、
この回転テーブルに基板を載置するために設けられた基板載置領域と、
この基板載置領域に載置された基板に活性化されたガスを供給して当該基板上の薄膜の改質を行うために、前記回転テーブルにおける基板載置領域側に対向しかつ当該回転テーブルの移動路と交差するように設けられた活性化ガスインジェクターと、を備え、
前記活性化ガスインジェクターは、
隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って互いに並行に伸びるように設けられ、処理ガスを活性化させるための電力が印加される一対の電極と、
前記隔壁に電極の長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記電極の長さ方向に沿って設けられたガス吐出口と、を備え、
前記活性化ガスインジェクターについて、以下の(1)〜(3)のいずれかの構成となっていることを特徴とする。
(1)活性化ガスインジェクターの外面部は、ガス活性化用流路とガス導入用流路とを覆うカバー体として構成され、このカバー体の下端部は、当該カバー体内への外部からのガスの侵入を抑えるために、回転テーブルと平行にかつ隙間を介して外方に伸び出した気流規制部材として構成されている。
(2)前記活性化ガスインジェクターは、前記回転テーブル上の基板の表面との間の距離が調整できるように前記真空容器の側壁に上下自在に気密に取り付けられている。
(3)前記活性化ガスインジェクターは、前記回転テーブル上の基板の表面に対して前記回転テーブルの移動路と交差する方向に傾斜できるように前記真空容器の側壁に傾斜自在に気密に取り付けられている。
前記真空容器内の回転テーブル上に基板を載置するために設けられた基板載置領域と、
前記基板に第1の反応ガスを供給するための第1の反応ガス供給手段と、
前記第1の反応ガス供給手段よりも前記回転テーブルの回転方向下流側に離間して設けられ、第2の反応ガスを前記基板に供給するための第2の反応ガス供給手段と、
前記回転テーブルの基板載置領域に対向するようにかつ前記回転テーブルの回転方向において前記第2の反応ガス供給手段と前記第1の反応ガス供給手段との間に設けられ、前記基板上の反応生成物の改質を行うために前記基板に活性化された処理ガスを供給する活性化ガスインジェクターと、
前記第1の反応ガスが供給される第1の処理領域と第2の反応ガスが供給される第2の処理領域との雰囲気を分離するために前記回転方向においてこれら処理領域の間に位置する分離領域と、
前記分離領域の両側に拡散する分離ガスと共に前記反応ガスを排気するための排気口と、を備え、
前記分離領域は、分離ガスを供給するための分離ガス供給手段と、この分離ガス供給手段の前記回転方向両側に位置し、当該分離領域から処理領域側に分離ガスが流れるための狭隘な空間を回転テーブルとの間に形成するための天井面と、を備えている。
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って伸びるように設けられ、ガス活性化用流路内の処理ガスを加熱して活性化させるための加熱ヒータと、
前記隔壁に加熱ヒータの長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記加熱ヒータの長さ方向に沿って設けられたガス吐出口と、を備えている。
前記一対の電極の各々はセラミックスにより覆われていても良い。
前記ガス導入用流路内にて前記隔壁に沿って設けられ、長さ方向にガス孔が穿設されると共に前記ガス導入ポートが基端側に形成されたガス導入ノズルを備えていても良い。
前記活性化ガスインジェクターのガス吐出口は、前記基板載置領域に載置された基板の表面から1mm以上、10mm以下の高さ位置に設けられていても良い。
また図14に示すように下方側のシース部材354は、インジェクター本体321の側壁部から離れた位置に配置されており、プラズマ発生部351を通過したNH3ガスは当該側壁部とシース部材354との間に形成された空間を通った後、ガス吐出孔33を経て真空容器1内に供給される構造となっている。
この活性化ガスインジェクター220は、カバー体(気流形成部材)221を備えており、図31(a)はカバー体221を取った状態の図、図31(b)はカバー体221を配置した外観を示している。即ちこの活性化ガスインジェクター220は、図31及び図32に示すように、下面側が開口すると共に回転テーブル2の半径方向に沿って、この例ではウエハWにおける回転テーブル2の中心側の内縁部から回転テーブル2外方側の外縁部に渡って伸び、水平に伸びる概略箱型の偏平な筐体であるカバー体221と、このカバー体221内に長さ方向に沿って収納された既述のガス導入ノズル34及び一対のシース管35a、35bと、を備えている。
このカバー体221は、例えば石英から構成されており、また既述の図30に示すように、真空容器1の天板11から支持部材223によって長さ方向に沿って複数箇所において吊り下げられている。また、このカバー体221は、図31(b)に示すように、回転テーブル2の回転方向(周方向)における両側面の下端部を夫々左右外側に略直角に屈曲させてフランジ状に水平に伸び出すように気流規制面222が形成され、また中心部領域Cに対向する側端面の下端部を中心部領域C側に向けて略直角に屈曲させてフランジ状に水平に伸び出すように気流規制面222(便宜上前記気流規制面222と同符号を付す)が形成され、全体の外観としてはいわばハット(帽子)型の形状となっている。尚、支持部材223については、図30以外では図示を省略している。
シース管35a、35bは、この例では高純度石英から構成されると共に、プラズマエッチング耐性に優れた例えばイットリア(酸化イットリウム、Y2O3)膜が例えば100μm程度の膜厚となるようにその表面にコーティングされている。また、これらのシース管35a、35bは、夫々の内部に貫挿された電極36a、36b間の離間距離が10mm以下例えば4.0mmとなるように配置されている。これらの電極36a、36bは、例えば13.56MHz、例えば500W以下の高周波電力が真空容器1の外部の高周波電源から整合器(いずれも図示せず)を介して供給されるように構成されている。この例では、ガス導入ノズル34の内側の空間がガス導入用流路に相当し、カバー体221が流路形成部材に相当し、またシース管35a、35bが配置されていて処理ガスが活性化される領域がガス活性化用流路に相当する。またガス導入ノズル34の管壁は、これらガス導入用流路とガス活性化用流路とを区画する隔壁に相当し、ガス導入ノズル34のガス孔341はこれらガス導入用流路とガス活性化用流路とを繋ぐ連通孔に相当する。更に、シース管35a、35bの下方領域は、ウェハWに対して活性化されたガスを吐出する吐出口に対応する。
更にまた、真空容器1の内部において成膜サイクルを行う度に改質処理を行っているので、いわば回転テーブル2の周方向においてウェハWが各処理領域P1、P2を通過する経路の途中において成膜処理に干渉しないように改質処理を行っているので、例えば薄膜の成膜が完了した後で改質処理を行うよりも短時間で改質処理を行うことができる。更にまた、上記のArイオンによる改質効果は、例えば膜厚方向において2nm程度までしか起こらないことが知られているが、上記のように成膜サイクルを行う度に改質処理を行うことにより、薄膜の膜厚方向に亘って緻密で不純物濃度の低い薄膜を得ることができる。また、活性化ガスインジェクター220にカバー体221を設けているので、上流側から通流してくるガスのカバー体221の内部への侵入を抑えることができ、このガスの影響を抑えて成膜サイクルの途中で改質処理を行うことができる。そのため、例えば第2の反応ガスノズル210と活性化ガスインジェクター220との間に専用の分離領域Dを設けなくても良いので、成膜装置のコストを抑えて改質処理を行うことができる。
活性化ガスインジェクター220は、処理ガスを活性化して反応生成物の改質を行うための活性化手段をなすものであるが、この活性化手段としては上述の活性化ガスインジェクターの構造のものに限られない。活性化手段は、例えばセラミックスからなり、その長さ方向に沿ってガス吐出口が形成されたインジェクター内に、マイクロ波を供給するアンテナ例えば棒状のアンテナを配置し、このアンテナからのマイクロ波によりインジェクター内のガスを活性化してウエハW上に供給しても良い。
ガス導入ノズル34から供給する活性化用のガスとしては、Arガス以外にも、例えばHe(ヘリウム)ガス、NH3(アンモニア)ガス、H2(水素)ガスあるいはN(窒素)とO(酸素)とを含むガスのうち、少なくとも1種以上を用いても良い。
始めに、BTBASガスを用いた上記のALD(MLD)プロセスについて再度述べておく。先ず、図36(a)に示すように、例えば第1の処理領域P1においてウェハW上にBTBASガスが吸着し、次いで同図(b)に示すように、第2の処理領域P2においてO3ガスによりウェハW上のBTBASガスが酸化され、同図(c)に示すように酸素とBTBASガス中のシリコンとを含む反応生成物がウェハW上に生成すると共に、BTBASガスから不純物例えば有機物が副生成ガスとして脱離していく。そして、同図(d)に示すように、活性化ガスインジェクター220の下方領域において、既述のようにウェハW上に生成した反応生成物に対して例えば余分な酸素元素の放出や元素の再配列といった改質処理が行われ、こうして成膜サイクルの度にBTBASガスの吸着、酸化及び改質が繰り返されることによって、膜厚方向に亘って緻密で不純物の少ないシリコン酸化膜が積層されていくことになる。
ジイソプロピルアミノシランガスを用いる場合においても、改質用のガスとしてはBTBASガスの場合と同様に例えばArガス、He(ヘリウム)ガス、NH3(アンモニア)ガス、H2(水素)ガスあるいはN(窒素)とO(酸素)とを含むガスのうち、少なくとも1種以上を用いても良い。また、成膜サイクル毎に改質処理を行うことが好ましいが、BTBASガスを用いた場合と同様に複数回例えば20回の成膜処理(サイクル)を行う度に改質処理を行っても良い。
既述の例では、ガス供給系、分離領域における天井部などの回転テーブル2の上方部分を鉛直軸回りに回転させる構成としたが、これら上方部分が回転テーブル2に対して鉛直軸回りに回転する構成としても良い。つまりガス供給系と回転テーブル2とが相対的に回転する構成であれば良い。このような具体的な装置構成とする場合には、例えば真空容器1の中心部分に鉛直軸周りに回転自在なスリーブを上方側から挿入し、この回転スリーブに天井部、ガス供給管、活性化インジェクターを取り付ける。そして回転スリーブ内に各ガス供給管を挿入して上方側に立ち上げ、各ガス供給管の基端側(上端側)の高さ位置をガス供給管の間で異なるように構成し、各基端側のガス取り入れ口を回転スリーブの側周面に開口する。更にこの回転スリーブの外側に同心となうように固定スリーブを配置し、固定スリーブと回転スリーブとの間に軸受けと磁気シールとの組を設ける。また各ガス取り入れ口の高さ位置に対応する高さ位置において固定スリーブの外側から各ガスの供給路を接続する。従って各ガスの供給路は、回転スリーブ側の対応するガス取り入れ口と連通する。そしてこの連通空間を全周に亘って形成すると共に上下に並ぶ各ガスごとの連通空間同士を例えば既述の軸受けと磁気シールとの組により分離することで、回転しているスリー部内のガス供給管に外側からガスを供給することができる。
第1の実施の形態に係る活性化ガスインジェクター32と、隔壁324が設けられておらず、ガス導入・活性化室327が共通となっている活性化ガスインジェクター32cと、についてのシミュレーションモデルを作成し、各々のインジェクター本体321内のガスの流れ方についてシミュレーションした。ガスの種類はNH3ガス、ガス流量は3,000sccm、真空容器1内の圧力は1.33kPa(10torr)、ガス温度は273Kとした。
A.シミュレーション条件
(実施例1)
第1の実施の形態に係る活性化ガスインジェクター32について、インジェクター本体321内のガスの流れをシミュレーションした。
(比較例1)
隔壁324を設けていない点以外は、(実施例1)と同様の構成を備える活性化ガスインジェクター32cについてインジェクター本体321内のガスの流れをシミュレーションした。
(実施例1)の結果を図38(a)に示し、(比較例1)の結果を図38(b)に示す。これらの図には、インジェクター本体321内におけるガスの流速の分布を等速線にて区画表示してある。各図に示した数値は当該区画内のガス流速[m/s]の流速範囲を示している。
これらの結果から、2本のシース管35a、35b内に電極36a、36bを配置してプラズマを発生させる第1の実施の形態に示したタイプの活性化ガスインジェクター32においては、隔壁324を設けてバイパス流路が形成されにくくなるようにすることにより、NH3ガスを効率的にプラズマ化することができるといえる。
加熱ヒータ30を利用した第4の実施の形態に係る活性化ガスインジェクター32dを用いて回転テーブル型の成膜装置に処理ガスを供給し、ALD法による成膜実験を行った。処理ガスにはBTBASガスとO3ガスとを用いてシリコン酸化膜を成膜し、O3ガスの供給にあたって加熱ヒータ30を備えた活性化ガスインジェクター32dを用いた。プロセス圧力は1067Pa(8Torr)、ウエハWの加熱温度は100℃、回転テーブル2の回転数は120rpm、BTBASガスの供給量は100sccm、O3ガスの供給量は10000sccmとした。また回転テーブル2には5枚のウエハWを載置し、成膜時間は31分間とした。
A.実験条件
(実施例2-1)
温度検出端305の検出温度が300℃となるように加熱ヒータ30の出力を調節した。
(実施例2-2)
温度検出端305の検出温度が400℃となるように加熱ヒータ30の出力を調節した。
(実施例2-3)
温度検出端305の検出温度が500℃となるように加熱ヒータ30の出力を調節した。
(実施例2-4)
温度検出端305の検出温度が600℃となるように加熱ヒータ30の出力を調節した。
(比較例2)
加熱ヒータによる加熱を行わずに成膜を行った。
各実施例、比較例の結果を(表1)に示す。ここで(表1)における面内均一性[%](ウエハW面内の膜厚の均一性)は、各ウエハW面内の最大膜厚、最小膜厚[nm]計測して以下の(1)式を適用し、5枚のウエハWの面内均一性の平均値を記載した。また面間均一性[%](ウエハW間の平均膜厚の均一性)は、5枚のウエハWの平均膜厚を求め、それら平均膜厚の最大膜厚、最小膜厚[nm]に(1)式を適用して算出した。
±((最大膜厚)−(最小膜厚))×100
/((最大膜厚)+(最小膜厚)) …(1)
(表1)
以上のことから、処理ガスを活性化する手段として加熱ヒータ30を備えた活性化ガスインジェクター32dは、成膜された膜のウエハW面内及び、面間の均一性の向上に寄与すると共に、成膜速度を向上させる効果もあることを確認できた。
次に、活性化ガスインジェクター220を用いた場合の真空容器1内におけるガス流れを検証するために行ったシミュレーションについて説明する。このシミュレーションでは、既述のカバー体221の有無によって、ガス導入ノズル34から吐出されたArガスが真空容器1内をどのように通流するか確認した。シミュレーション条件としては、以下の条件を用いた。
(シミュレーション条件)
圧力(Pa(Torr)):400(2)
回転テーブル2の回転数(rpm):240
第1の反応ガス:O2ガス(10slm)
第2の反応ガス:O3ガス(10slm)
活性化(改質)用ガス:Arガス(2slm)
中心部領域Cに供給するガス:N2ガス(15slm)
各分離領域Dに供給するガス:N2ガス(3slm)
回転テーブル2の下方に供給するガス:N2ガス(10slm)
このシミュレーションにより得られた結果を図39に示す。この図39(a)、(b)は回転テーブル2の上方側から活性化ガスインジェクター220付近におけるArガス(活性化用ガス)の濃度分布を見た平面図を示しており、同図(c)、(d)はガス導入ノズル34近傍におけるN2ガス(分離ガス)の濃度分布を示した斜視図である。また、同図(a)、(c)はカバー体221を設けた場合、(b)、(d)はカバー体221を設けなかった場合を示している。この結果から、カバー体221を設けることによりArガスの流れが規制され、Arガスが活性化ガスインジェクター220内部において高い濃度を保っていることが分かる。一方、カバー体221を設けていない場合には、Arガスは活性化ガスインジェクター220の下流側に向かって広く拡散してしまっていることが分かる。また、ガス導入ノズル34の近傍においても、カバー体221を設けることによりN2ガスの濃度が極めて低くなっており、従って活性化ガスインジェクター220の外部からのN2ガスの流入が抑えられているが、カバー体221を設けない場合には、活性化ガスインジェクター220内にN2ガスが入り込んでしまっていることが分かる。
上記の実施例3−1のシミュレーション条件において活性化用ガスの流量を5slmに変更して同様にシミュレーションを行った。
その結果、図40に示すように、カバー体221を設けることによって、活性化ガスインジェクター220付近におけるArガスの濃度が高くなり、またN2ガスの流入が抑えられることが分かった。以上の実施例3−1、3−2の結果から、活性化ガスインジェクター220内への外部からのガスの流入をおさえるためには、活性化用ガスの流量は2slm程度の少量で十分だということが分かった。
次に、改質処理によりSiO2膜の膜質がどのように変わるか、また図41に示すシース管35a、35bとウェハWとの間の距離yを調整することにより改質処理の度合いがどの程度変化するかを確かめるための実験を行った。
実験には、表面にSiO2膜を成膜した実験用ピースを用意して、シース管35a、35bの長さ方向中央における下方位置に実験用ピースを設置して、以下の条件で改質処理を行った。その後、これらのピースを沸酸水溶液に浸析し、SiO2膜のウェットエッチングレートを測定した。
(実験条件)
温度:室温
圧力(Pa(Torr)):240(1.8)
高周波の出力(W):200
活性化用のガス:Ar(300sccm)
処理時間:5分
シース管35a、35bと実験用ピースの表面との間の距離y(mm):6、9、12
この結果を図42に示す。その結果、改質処理を行わない場合に比べて、改質処理を行うことによりエッチングレートが低下しており、従ってSiO2膜が緻密化していることが分かった。また、実験用ピースとシース管35a、35bとの間の距離yが狭くなっていく程、エッチングレートが更に低下していき、改質処理がより一層進行してSiO2膜が緻密化していることが分かった。また、膜厚方向のエッチングレートの変化から、ピースの表層に近い程SiO2膜の緻密化が進行していることが分かった。従って、この改質処理は、SiO2膜の表層に近い領域にて起こるため、既述のように成膜処理毎に行うことによって、膜厚方向に亘って緻密な膜が得られることが分かった。尚、この図42には、950℃にて熱処理を行って得られた熱酸化膜のエッチングレートについても併せて示しており、本発明では上記の距離yが狭くなっていく程、エッチングレートがこの熱酸化膜の特性に近づいて緻密な膜が得られることが分かった。
次に、既述のシリコン酸化膜を成膜するための反応ガスとして、ジイソプロピルアミノシランガスを用いて行った実験について説明する。この実験では、図28〜図34に示した成膜装置を用いて、以下の表2に示す成膜条件において、成膜サイクルを行う度(回転テーブル2の回転毎)に改質処理を行ってシリコン酸化膜を成膜し、その時の成膜速度(デポレート)を計算した。尚、比較例として、改質処理を行わずにジイソプロピルアミノシランガス及び既述のBTBASガスを用いて夫々成膜した例について示す。また、実験には、直径が300mmのウェハWを用いた。以下の各実施例についても同様である。
その結果、ジイソプロピルアミノシランガスを用いて成膜処理を行い、その後改質処理を行うことによってウェットエッチングレートの耐性が向上し、成膜条件によっては理想的な特性を持つ熱酸化膜に極めて近い結果が得られることが分かった。また、別途行った実験により、既述のBTBASガスを用いてシリコン酸化膜を成膜して改質処理を行わなかった場合には、熱酸化膜に対して5倍以内のウェットエッチングレートの耐性を持たせるためには800℃程度以上の熱処理に相当するエネルギーが必要だということが分かっており、そのためジイソプロピルアミノシランガスを用いて成膜サイクル毎に改質処理を行う手法が極めて有効なものと言える。
この時、既述の実施例5において成膜速度(収縮量)について得られた結果と同様に、活性化ガスインジェクター220の下方領域におけるウェハWの滞留時間を長く、また活性化用ガスから生じるイオンの生成量や反応性を高めるように処理条件を調整することによって、ウェットエッチングレートの耐性が向上することが分かった。
続いて、実施例5と同様にジイソプロピルアミノシランガスを用いてシリコン酸化膜を形成した後、窒素雰囲気中において850℃のアニール処理を行ってシリコン酸化膜の膜厚がどの程度収縮(シュリンク)するか確認する実験を行った。各実施例7−1〜7−6及び比較例7−1〜7−7の成膜条件については、実施例6−1〜6−6及び比較例6−1〜6−7と夫々同じ条件で成膜を行った。また、参考例7−1として、既述のCVD法により成膜したシリコン酸化膜についても結果を併記する。
実施例5と同様に、ジイソプロピルアミノシランガスを用いて成膜や改質を行ったシリコン酸化膜について、成膜後の膜中に含まれる水分(Si−OH及びOH基(H2O))を確認する実験を行った。成膜条件は以下の表4の通りであり、処理圧力は1.07kPa(8Torr)、成膜温度は350℃とした。尚、シリコン酸化膜中の水分の測定には、FT−IR(フーリエ変換赤外分光法)を用いた。
(表4)
この結果、図46に示すように、改質処理を行うことによってシリコン酸化膜中の水分が減少し、更に回転テーブル2の回転数を遅くすることによって一層低くなっていた。
次に、回転テーブル2の回転数を30rpmに固定すると共に、以下の表5のように高周波電力及び処理圧力を調整して、350℃の成膜温度においてジイソプロピルアミノシランガスを用いてシリコン酸化膜を成膜し、上記の実施例8と同様の実験を行った。
(表5)
その結果、図47に示すように、改質処理によりシリコン酸化膜中の水分が減少しており、また処理圧力が低くなる程膜中の水分が減少していた。
回転テーブル2の回転数を30rpmに固定すると共に、以下の表6の成膜条件においてジイソプロピルアミノシランガスを用いて成膜したシリコン酸化膜について、成膜処理後にアニール処理(アニール温度:850℃、アニール時間:10分)を行い、既述の実施例8、9と同様に膜中の水分の測定を行った。
(表6)
次に、ジイソプロピルアミノシランガスを用いて実施例9と同じ成膜条件において成膜処理及び改質処理を行ったシリコン酸化膜について、シリコン酸化膜の比重と膜中のシリコンの量に対する水素及び酸素の夫々の量の割合とをRBS/HFS(ラザフォード後方散乱法/水素前方散乱法)により測定した。
図49に示すように、上記の実施例8〜10と同様に、改質処理により膜中の水素や酸素の量が減少し、比重が増加することが分かった。また、処理圧力が低くなると、改質処理の効果が大きくなっていた。尚、比較例1−1については、測定中にシリコン酸化膜からの水素の脱離が確認されたため、実際には測定結果よりも多く水素が含まれていたと考えられる。
ジイソプロピルアミノシランガスを用いて以下の表7の条件において成膜したシリコン酸化膜について、SIMS(二次イオン質量分析法)を用いて膜厚方向において50nmの深さに亘って不純物の濃度を測定した。尚、成膜温度は350℃、回転テーブル2の回転数は30rpmとした。
(表7)
その結果、図50に示すように、改質処理を行うことにより膜中の水素及び窒素の含有量が低下していた。
上記の実施例12と同様の実験を以下の表8に示す成膜条件で成膜したシリコン酸化膜について行った。成膜温度は350℃、処理圧力は0.5kPa(4Torr)、回転テーブル2の回転数は30rpm、アニール処理は850℃、10分とした。
(表8)
この実験の結果、図51に示すように、改質処理とアニール処理とを行うことによって、シリコン酸化膜中の水素の量が減少することが分かった。
次に、ウェハWの表面にアスペクト比(=30)の極めて大きな凹部(開口部)を含むパターン(開口深さ:10μm、開口幅:0.3μm)を形成し、このウェハWに対してジイソプロピルアミノシランガスにより薄膜の埋め込み特性を確認する実験を行った。そして、ウェハW上に成膜された薄膜の膜厚について、ウェハWの表面における凹部以外の部位の膜厚に対する凹部の側壁面における膜厚の割合(膜厚比:R=側壁面の膜厚÷凹部以外の部位の膜厚)を計算して、凹部への埋め込み特性の評価の指標として用いた。この時の実験条件を以下の表9に示す。尚、成膜温度は350℃、処理圧力は0.5kPa(4Torr)、ジイソプロピルアミノシランガスの流量は275sccm、O3ガスの濃度及び流量は夫々300g/Nm3、10000sccmとした。
(表9)
これらの結果について、得られたSEM(Scanning Electron Microscope)画像を読み取って模式的に図52に示すと、改質処理を行うことにより、更に回転テーブル2の回転数を遅くすることにより、凹部から薄膜が埋め込まれていき、自己選択的な埋め込み特性が得られることが分かった。
続いて、以下の表10に示す条件においてジイソプロピルアミノシランガスによりシリコン酸化膜を成膜し、リーク電流がどの程度となるか確認する実験を行った。実験には、水銀プローブ法を用いてネガティブバイアス電圧をシリコン酸化膜に印加して、当該シリコン酸化膜を通過した電流密度を測定した。そのため、電流密度が小さい程、リーク電流が小さいと言える。尚、処理圧力を1.07kPa(8Torr)、ジイソプロピルアミノシランガスの流量を275sccm、O3ガスの濃度及び流量を夫々300g/Nm3及び10000sccm、改質処理用のガス(Ar/O2)の流量を5slm/0.1slcm、回転テーブル2の回転数を240rpmとして成膜を行った。
(表10)
図53に示すように、改質処理によりリーク電流が小さくなり、また成膜温度が高い程リーク電流が減少していた。
表11の条件で成膜したシリコン酸化膜について、上記の実施例15と同様の実験を行った。成膜温度は350℃、回転テーブル2の回転数は30rpmとして、それ以外の条件については実施例15と同じ条件で成膜した。
(表11)
その結果、図54に示すように、改質処理用の高周波電力を高くする程リーク電流が減少していた。
上記の実施例15、16と同様に、以下の表12の条件で成膜したシリコン酸化膜についてリーク電流を測定した。高周波電力を400Wとした以外は実施例16と同じ条件で成膜した。
(表12)
その結果、図55に示すように、回転テーブル2の回転数が遅くなる程、リーク電流が減少していた。
上記の各実施例15〜17と同様に、以下の表13の条件で成膜したシリコン酸化膜についてリーク電流を測定した。回転テーブル2の回転数を30rpmとした以外は実施例17と同じ条件で成膜した。
(表13)
その結果、図56に示すように、処理圧力が低いほどリーク電流が減少していた。尚、1.07kPa(8Torr)で成膜したシリコン酸化膜について得られた特性は、既述のBTBASガスを用いて350℃の成膜温度で成膜し、その後850℃のアニール処理を行ったシリコン酸化膜と同程度の値を示していた。従って、ジイソプロピルアミノシランガスを用いると共に改質処理を行うことにより、BTBASガスを用いた場合よりも良好なリーク電流が低い成膜温度で得られることが分かった。
図示や詳細の説明については省略するが、別途行った実験により、ジイソプロピルアミノシランガスを用いることによって、サイクルレート(回転テーブル2の回転毎に成膜されるシリコン酸化膜の膜厚)及びウェハW内のシリコン酸化膜の面内均一性のいずれについても、BTBASガスを用いるよりも向上することが分かった。サイクルレートについては、バッチ式の反応炉を用いた実験の結果、ジイソプロピルアミノシランガスではBTBASガスの1.34倍となっていた。また、ジイソプロピルアミノシランガスでは、成膜温度を350℃〜500℃の間で変化させても成膜速度がほとんど変わらないことが確認されたため、ジイソプロピルアミノシランガスはこの温度範囲では安定で熱分解が抑えられて、ALD法による良好な成膜が行われることが分かった。従って、例えばO3ガスにより酸化される前におけるジイソプロピルアミノシランガスの熱分解が抑えられることが分かった。
1 真空容器
2 回転テーブル
4 凸状部
30 加熱ヒータ
31 第1の反応ガスノズル
32、32a〜32d
活性化ガスインジェクター
322 ガス導入室、ガス導入用流路
323 ガス活性化室、ガス活性化用流路
33 ガス吐出孔
34 ガス導入ノズル
35a、35b
シース管
36a、36b
電極
41、42 分離ガスノズル
Claims (15)
- 真空容器内に設けられた回転テーブルと、
この回転テーブルに基板を載置するために設けられた基板載置領域と、
この基板載置領域に載置された基板に活性化されたガスを供給して当該基板上の薄膜の改質を行うために、前記回転テーブルにおける基板載置領域側に対向しかつ当該回転テーブルの移動路と交差するように設けられた活性化ガスインジェクターと、を備え、
前記活性化ガスインジェクターは、
隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って互いに並行に伸びるように設けられ、処理ガスを活性化させるための電力が印加される一対の電極と、
前記隔壁に電極の長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記電極の長さ方向に沿って設けられたガス吐出口と、を備え、
前記活性化ガスインジェクターの外面部は、ガス活性化用流路とガス導入用流路とを覆うカバー体として構成され、このカバー体の下端部は、当該カバー体内への外部からのガスの侵入を抑えるために、回転テーブルと平行にかつ隙間を介して外方に伸び出した気流規制部材として構成されていることを特徴とする成膜装置。 - 真空容器内に設けられた回転テーブルと、
この回転テーブルに基板を載置するために設けられた基板載置領域と、
この基板載置領域に載置された基板に活性化されたガスを供給して当該基板上の薄膜の改質を行うために、前記回転テーブルにおける基板載置領域側に対向しかつ当該回転テーブルの移動路と交差するように設けられた活性化ガスインジェクターと、を備え、
前記活性化ガスインジェクターは、
隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って互いに並行に伸びるように設けられ、処理ガスを活性化させるための電力が印加される一対の電極と、
前記隔壁に電極の長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記電極の長さ方向に沿って設けられたガス吐出口と、を備え、
前記活性化ガスインジェクターは、前記回転テーブル上の基板の表面との間の距離が調整できるように前記真空容器の側壁に上下自在に気密に取り付けられていることを特徴とする成膜装置。 - 真空容器内に設けられた回転テーブルと、
この回転テーブルに基板を載置するために設けられた基板載置領域と、
この基板載置領域に載置された基板に活性化されたガスを供給して当該基板上の薄膜の改質を行うために、前記回転テーブルにおける基板載置領域側に対向しかつ当該回転テーブルの移動路と交差するように設けられた活性化ガスインジェクターと、を備え、
前記活性化ガスインジェクターは、
隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って互いに並行に伸びるように設けられ、処理ガスを活性化させるための電力が印加される一対の電極と、
前記隔壁に電極の長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記電極の長さ方向に沿って設けられたガス吐出口と、を備え、
前記活性化ガスインジェクターは、前記回転テーブル上の基板の表面に対して前記回転テーブルの移動路と交差する方向に傾斜できるように前記真空容器の側壁に傾斜自在に気密に取り付けられていることを特徴とする成膜装置。 - 真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜装置において、
前記真空容器内の回転テーブル上に基板を載置するために設けられた基板載置領域と、
前記基板に第1の反応ガスを供給するための第1の反応ガス供給手段と、
前記第1の反応ガス供給手段よりも前記回転テーブルの回転方向下流側に離間して設けられ、第2の反応ガスを前記基板に供給するための第2の反応ガス供給手段と、
前記回転テーブルの基板載置領域に対向するようにかつ前記回転テーブルの回転方向において前記第2の反応ガス供給手段と前記第1の反応ガス供給手段との間に設けられ、前記基板上の反応生成物の改質を行うために前記基板に活性化された処理ガスを供給する活性化ガスインジェクターと、
前記第1の反応ガスが供給される第1の処理領域と第2の反応ガスが供給される第2の処理領域との雰囲気を分離するために前記回転方向においてこれら処理領域の間に位置する分離領域と、
前記分離領域の両側に拡散する分離ガスと共に前記反応ガスを排気するための排気口と、を備え、
前記分離領域は、分離ガスを供給するための分離ガス供給手段と、この分離ガス供給手段の前記回転方向両側に位置し、当該分離領域から処理領域側に分離ガスが流れるための狭隘な空間を回転テーブルとの間に形成するための天井面と、を備え、
前記活性化ガスインジェクターは、
隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って互いに並行に伸びるように設けられ、処理ガスを活性化させるための電力が印加される一対の電極と、
前記隔壁に電極の長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記電極の長さ方向に沿って設けられたガス吐出口と、を備え、
前記活性化ガスインジェクターの外面部は、ガス活性化用流路とガス導入用流路とを覆うカバー体として構成され、このカバー体の下端部は、当該カバー体内への外部からのガスの侵入を抑えるために、回転テーブルと平行にかつ隙間を介して外方に伸び出した気流規制部材として構成されていることを特徴とする成膜装置。 - 真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜装置において、
前記真空容器内の回転テーブル上に基板を載置するために設けられた基板載置領域と、
前記基板に第1の反応ガスを供給するための第1の反応ガス供給手段と、
前記第1の反応ガス供給手段よりも前記回転テーブルの回転方向下流側に離間して設けられ、第2の反応ガスを前記基板に供給するための第2の反応ガス供給手段と、
前記回転テーブルの基板載置領域に対向するようにかつ前記回転テーブルの回転方向において前記第2の反応ガス供給手段と前記第1の反応ガス供給手段との間に設けられ、前記基板上の反応生成物の改質を行うために前記基板に活性化された処理ガスを供給する活性化ガスインジェクターと、
前記第1の反応ガスが供給される第1の処理領域と第2の反応ガスが供給される第2の処理領域との雰囲気を分離するために前記回転方向においてこれら処理領域の間に位置する分離領域と、
前記分離領域の両側に拡散する分離ガスと共に前記反応ガスを排気するための排気口と、を備え、
前記分離領域は、分離ガスを供給するための分離ガス供給手段と、この分離ガス供給手段の前記回転方向両側に位置し、当該分離領域から処理領域側に分離ガスが流れるための狭隘な空間を回転テーブルとの間に形成するための天井面と、を備え、
前記活性化ガスインジェクターは、
隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って互いに並行に伸びるように設けられ、処理ガスを活性化させるための電力が印加される一対の電極と、
前記隔壁に電極の長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記電極の長さ方向に沿って設けられたガス吐出口と、を備え、
前記活性化ガスインジェクターは、前記回転テーブル上の基板の表面との間の距離が調整できるように前記真空容器の側壁に上下自在に気密に取り付けられていることを特徴とする成膜装置。 - 真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜装置において、
前記真空容器内の回転テーブル上に基板を載置するために設けられた基板載置領域と、
前記基板に第1の反応ガスを供給するための第1の反応ガス供給手段と、
前記第1の反応ガス供給手段よりも前記回転テーブルの回転方向下流側に離間して設けられ、第2の反応ガスを前記基板に供給するための第2の反応ガス供給手段と、
前記回転テーブルの基板載置領域に対向するようにかつ前記回転テーブルの回転方向において前記第2の反応ガス供給手段と前記第1の反応ガス供給手段との間に設けられ、前記基板上の反応生成物の改質を行うために前記基板に活性化された処理ガスを供給する活性化ガスインジェクターと、
前記第1の反応ガスが供給される第1の処理領域と第2の反応ガスが供給される第2の処理領域との雰囲気を分離するために前記回転方向においてこれら処理領域の間に位置する分離領域と、
前記分離領域の両側に拡散する分離ガスと共に前記反応ガスを排気するための排気口と、を備え、
前記分離領域は、分離ガスを供給するための分離ガス供給手段と、この分離ガス供給手段の前記回転方向両側に位置し、当該分離領域から処理領域側に分離ガスが流れるための狭隘な空間を回転テーブルとの間に形成するための天井面と、を備え、
前記活性化ガスインジェクターは、
隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って互いに並行に伸びるように設けられ、処理ガスを活性化させるための電力が印加される一対の電極と、
前記隔壁に電極の長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記電極の長さ方向に沿って設けられたガス吐出口と、を備え、
前記活性化ガスインジェクターは、前記回転テーブル上の基板の表面に対して前記回転テーブルの移動路と交差する方向に傾斜できるように前記真空容器の側壁に傾斜自在に気密に取り付けられていることを特徴とする成膜装置。 - 真空容器内に設けられた回転テーブルと、
この回転テーブルに基板を載置するために設けられた基板載置領域と、
この基板載置領域に載置された基板に活性化されたガスを供給して当該基板上の薄膜の改質を行うために、前記回転テーブルにおける基板載置領域側に対向しかつ当該回転テーブルの移動路と交差するように設けられた活性化ガスインジェクターと、を備え、
前記活性化ガスインジェクターは、
隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って伸びるように設けられ、ガス活性化用流路内の処理ガスを加熱して活性化させるための加熱ヒータと、
前記隔壁に加熱ヒータの長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記加熱ヒータの長さ方向に沿って設けられたガス吐出口と、を備え、
前記活性化ガスインジェクターの外面部は、ガス活性化用流路とガス導入用流路とを覆うカバー体として構成され、このカバー体の下端部は、当該カバー体内への外部からのガスの侵入を抑えるために、回転テーブルと平行にかつ隙間を介して外方に伸び出した気流規制部材として構成されていることを特徴とする成膜装置。 - 真空容器内に設けられた回転テーブルと、
この回転テーブルに基板を載置するために設けられた基板載置領域と、
この基板載置領域に載置された基板に活性化されたガスを供給して当該基板上の薄膜の改質を行うために、前記回転テーブルにおける基板載置領域側に対向しかつ当該回転テーブルの移動路と交差するように設けられた活性化ガスインジェクターと、を備え、
前記活性化ガスインジェクターは、
隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って伸びるように設けられ、ガス活性化用流路内の処理ガスを加熱して活性化させるための加熱ヒータと、
前記隔壁に加熱ヒータの長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記加熱ヒータの長さ方向に沿って設けられたガス吐出口と、を備え、
前記活性化ガスインジェクターは、前記回転テーブル上の基板の表面との間の距離が調整できるように前記真空容器の側壁に上下自在に気密に取り付けられていることを特徴とする成膜装置。 - 真空容器内に設けられた回転テーブルと、
この回転テーブルに基板を載置するために設けられた基板載置領域と、
この基板載置領域に載置された基板に活性化されたガスを供給して当該基板上の薄膜の改質を行うために、前記回転テーブルにおける基板載置領域側に対向しかつ当該回転テーブルの移動路と交差するように設けられた活性化ガスインジェクターと、を備え、
前記活性化ガスインジェクターは、
隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って伸びるように設けられ、ガス活性化用流路内の処理ガスを加熱して活性化させるための加熱ヒータと、
前記隔壁に加熱ヒータの長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記加熱ヒータの長さ方向に沿って設けられたガス吐出口と、を備え、
前記活性化ガスインジェクターは、前記回転テーブル上の基板の表面に対して前記回転テーブルの移動路と交差する方向に傾斜できるように前記真空容器の側壁に傾斜自在に気密に取り付けられていることを特徴とする成膜装置。 - 真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜装置において、
前記真空容器内の回転テーブル上に基板を載置するために設けられた基板載置領域と、
前記基板に第1の反応ガスを供給するための第1の反応ガス供給手段と、
前記第1の反応ガス供給手段よりも前記回転テーブルの回転方向下流側に離間して設けられ、第2の反応ガスを前記基板に供給するための第2の反応ガス供給手段と、
前記回転テーブルの基板載置領域に対向するようにかつ前記回転テーブルの回転方向において前記第2の反応ガス供給手段と前記第1の反応ガス供給手段との間に設けられ、前記基板上の反応生成物の改質を行うために前記基板に活性化された処理ガスを供給する活性化ガスインジェクターと、
前記第1の反応ガスが供給される第1の処理領域と第2の反応ガスが供給される第2の処理領域との雰囲気を分離するために前記回転方向においてこれら処理領域の間に位置する分離領域と、
前記分離領域の両側に拡散する分離ガスと共に前記反応ガスを排気するための排気口と、を備え、
前記分離領域は、分離ガスを供給するための分離ガス供給手段と、この分離ガス供給手段の前記回転方向両側に位置し、当該分離領域から処理領域側に分離ガスが流れるための狭隘な空間を回転テーブルとの間に形成するための天井面と、を備え、
前記活性化ガスインジェクターは、
隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って伸びるように設けられ、ガス活性化用流路内の処理ガスを加熱して活性化させるための加熱ヒータと、
前記隔壁に加熱ヒータの長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記加熱ヒータの長さ方向に沿って設けられたガス吐出口と、を備え、
前記活性化ガスインジェクターの外面部は、ガス活性化用流路とガス導入用流路とを覆うカバー体として構成され、このカバー体の下端部は、当該カバー体内への外部からのガスの侵入を抑えるために、回転テーブルと平行にかつ隙間を介して外方に伸び出した気流規制部材として構成されていることを特徴とする成膜装置。 - 真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜装置において、
前記真空容器内の回転テーブル上に基板を載置するために設けられた基板載置領域と、
前記基板に第1の反応ガスを供給するための第1の反応ガス供給手段と、
前記第1の反応ガス供給手段よりも前記回転テーブルの回転方向下流側に離間して設けられ、第2の反応ガスを前記基板に供給するための第2の反応ガス供給手段と、
前記回転テーブルの基板載置領域に対向するようにかつ前記回転テーブルの回転方向において前記第2の反応ガス供給手段と前記第1の反応ガス供給手段との間に設けられ、前記基板上の反応生成物の改質を行うために前記基板に活性化された処理ガスを供給する活性化ガスインジェクターと、
前記第1の反応ガスが供給される第1の処理領域と第2の反応ガスが供給される第2の処理領域との雰囲気を分離するために前記回転方向においてこれら処理領域の間に位置する分離領域と、
前記分離領域の両側に拡散する分離ガスと共に前記反応ガスを排気するための排気口と、を備え、
前記分離領域は、分離ガスを供給するための分離ガス供給手段と、この分離ガス供給手段の前記回転方向両側に位置し、当該分離領域から処理領域側に分離ガスが流れるための狭隘な空間を回転テーブルとの間に形成するための天井面と、を備え、
前記活性化ガスインジェクターは、
隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って伸びるように設けられ、ガス活性化用流路内の処理ガスを加熱して活性化させるための加熱ヒータと、
前記隔壁に加熱ヒータの長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記加熱ヒータの長さ方向に沿って設けられたガス吐出口と、を備え、
前記活性化ガスインジェクターは、前記回転テーブル上の基板の表面との間の距離が調整できるように前記真空容器の側壁に上下自在に気密に取り付けられていることを特徴とする成膜装置。 - 真空容器内にて互いに反応する少なくとも2種類の反応ガスを順番に基板の表面に供給しかつこの供給サイクルを実行することにより反応生成物の層を多数積層して薄膜を形成する成膜装置において、
前記真空容器内の回転テーブル上に基板を載置するために設けられた基板載置領域と、
前記基板に第1の反応ガスを供給するための第1の反応ガス供給手段と、
前記第1の反応ガス供給手段よりも前記回転テーブルの回転方向下流側に離間して設けられ、第2の反応ガスを前記基板に供給するための第2の反応ガス供給手段と、
前記回転テーブルの基板載置領域に対向するようにかつ前記回転テーブルの回転方向において前記第2の反応ガス供給手段と前記第1の反応ガス供給手段との間に設けられ、前記基板上の反応生成物の改質を行うために前記基板に活性化された処理ガスを供給する活性化ガスインジェクターと、
前記第1の反応ガスが供給される第1の処理領域と第2の反応ガスが供給される第2の処理領域との雰囲気を分離するために前記回転方向においてこれら処理領域の間に位置する分離領域と、
前記分離領域の両側に拡散する分離ガスと共に前記反応ガスを排気するための排気口と、を備え、
前記分離領域は、分離ガスを供給するための分離ガス供給手段と、この分離ガス供給手段の前記回転方向両側に位置し、当該分離領域から処理領域側に分離ガスが流れるための狭隘な空間を回転テーブルとの間に形成するための天井面と、を備え、
前記活性化ガスインジェクターは、
隔壁によりガス活性化用流路とガス導入用流路とに区画された流路形成部材と、
前記ガス導入用流路に処理ガスを導入するためのガス導入ポートと、
前記ガス活性化用流路内にて前記隔壁に沿って伸びるように設けられ、ガス活性化用流路内の処理ガスを加熱して活性化させるための加熱ヒータと、
前記隔壁に加熱ヒータの長さ方向に沿って設けられ、前記ガス導入用流路内の処理ガスを前記ガス活性化用流路に供給するための連通孔と、
前記ガス活性化用流路にて活性化されたガスを吐出するために前記ガス活性化用流路に前記加熱ヒータの長さ方向に沿って設けられたガス吐出口と、を備え、
前記活性化ガスインジェクターは、前記回転テーブル上の基板の表面に対して前記回転テーブルの移動路と交差する方向に傾斜できるように前記真空容器の側壁に傾斜自在に気密に取り付けられていることを特徴とする成膜装置。 - 前記一対の電極の各々はセラミックスにより覆われていることを特徴とする請求項1ないし6のいずれか一つに記載の成膜装置。
- 前記ガス導入用流路内にて前記隔壁に沿って設けられ、長さ方向にガス孔が穿設されると共に前記ガス導入ポートが基端側に形成されたガス導入ノズルを備えたことを特徴とする請求項1ないし6、13のいずれか一つに記載の成膜装置。
- 前記活性化ガスインジェクターのガス吐出口は、前記基板載置領域に載置された基板の表面から1mm以上、10mm以下の高さ位置に設けられていることを特徴とする請求項1ないし14のいずれか一つに記載の成膜装置。
Priority Applications (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2009172948A JP5423205B2 (ja) | 2008-08-29 | 2009-07-24 | 成膜装置 |
US12/547,648 US9053909B2 (en) | 2008-08-29 | 2009-08-26 | Activated gas injector, film deposition apparatus, and film deposition method |
TW98128929A TWI433252B (zh) | 2008-08-29 | 2009-08-28 | 活化氣體噴射器、成膜裝置及成膜方法 |
KR1020090080671A KR101535682B1 (ko) | 2008-08-29 | 2009-08-28 | 활성화 가스 인젝터, 성막 장치 및 성막 방법 |
CN200910169417.XA CN101660138B (zh) | 2008-08-29 | 2009-08-31 | 活化气体注入装置、成膜装置和成膜方法 |
CN201310016980.XA CN103088319B (zh) | 2008-08-29 | 2009-08-31 | 成膜装置和成膜方法 |
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2008222740 | 2008-08-29 | ||
JP2008222740 | 2008-08-29 | ||
JP2009061605 | 2009-03-13 | ||
JP2009061605 | 2009-03-13 | ||
JP2009172948A JP5423205B2 (ja) | 2008-08-29 | 2009-07-24 | 成膜装置 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2013046951A Division JP5549754B2 (ja) | 2008-08-29 | 2013-03-08 | 成膜装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2010239103A JP2010239103A (ja) | 2010-10-21 |
JP5423205B2 true JP5423205B2 (ja) | 2014-02-19 |
Family
ID=41725849
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2009172948A Active JP5423205B2 (ja) | 2008-08-29 | 2009-07-24 | 成膜装置 |
Country Status (5)
Country | Link |
---|---|
US (1) | US9053909B2 (ja) |
JP (1) | JP5423205B2 (ja) |
KR (1) | KR101535682B1 (ja) |
CN (2) | CN101660138B (ja) |
TW (1) | TWI433252B (ja) |
Families Citing this family (114)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8291857B2 (en) * | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US20100037820A1 (en) * | 2008-08-13 | 2010-02-18 | Synos Technology, Inc. | Vapor Deposition Reactor |
US8470718B2 (en) | 2008-08-13 | 2013-06-25 | Synos Technology, Inc. | Vapor deposition reactor for forming thin film |
US20100037824A1 (en) * | 2008-08-13 | 2010-02-18 | Synos Technology, Inc. | Plasma Reactor Having Injector |
US9416448B2 (en) * | 2008-08-29 | 2016-08-16 | Tokyo Electron Limited | Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method |
JP5107185B2 (ja) | 2008-09-04 | 2012-12-26 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体 |
US8851012B2 (en) | 2008-09-17 | 2014-10-07 | Veeco Ald Inc. | Vapor deposition reactor using plasma and method for forming thin film using the same |
US8770142B2 (en) | 2008-09-17 | 2014-07-08 | Veeco Ald Inc. | Electrode for generating plasma and plasma generator |
JP4638550B2 (ja) | 2008-09-29 | 2011-02-23 | 東京エレクトロン株式会社 | マスクパターンの形成方法、微細パターンの形成方法及び成膜装置 |
US9297072B2 (en) | 2008-12-01 | 2016-03-29 | Tokyo Electron Limited | Film deposition apparatus |
US8871628B2 (en) * | 2009-01-21 | 2014-10-28 | Veeco Ald Inc. | Electrode structure, device comprising the same and method for forming electrode structure |
US8257799B2 (en) | 2009-02-23 | 2012-09-04 | Synos Technology, Inc. | Method for forming thin film using radicals generated by plasma |
JP5131240B2 (ja) * | 2009-04-09 | 2013-01-30 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
US8758512B2 (en) * | 2009-06-08 | 2014-06-24 | Veeco Ald Inc. | Vapor deposition reactor and method for forming thin film |
US20110076421A1 (en) * | 2009-09-30 | 2011-03-31 | Synos Technology, Inc. | Vapor deposition reactor for forming thin film on curved surface |
KR20110054840A (ko) * | 2009-11-18 | 2011-05-25 | 주식회사 아토 | 샤워헤드 어셈블리 및 이를 구비한 박막증착장치 |
JP5460375B2 (ja) * | 2010-02-22 | 2014-04-02 | 株式会社東芝 | 磁気抵抗効果素子の製造方法 |
JP5423529B2 (ja) * | 2010-03-29 | 2014-02-19 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
CN102237246B (zh) * | 2010-04-26 | 2013-06-05 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 一种排气板及等离子体处理设备 |
WO2011155502A1 (en) * | 2010-06-11 | 2011-12-15 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method for manufacturing the same |
JP5787284B2 (ja) * | 2010-06-30 | 2015-09-30 | 国立大学法人名古屋大学 | 反応種供給装置および表面等処理装置 |
US20120021252A1 (en) * | 2010-07-22 | 2012-01-26 | Synos Technology, Inc. | Treating Surface of Substrate Using Inert Gas Plasma in Atomic Layer Deposition |
JP5625624B2 (ja) | 2010-08-27 | 2014-11-19 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP2012054508A (ja) * | 2010-09-03 | 2012-03-15 | Tokyo Electron Ltd | 成膜装置 |
US8771791B2 (en) | 2010-10-18 | 2014-07-08 | Veeco Ald Inc. | Deposition of layer using depositing apparatus with reciprocating susceptor |
JP5635378B2 (ja) | 2010-11-30 | 2014-12-03 | 日東電工株式会社 | 半導体ウエハ搬送方法および半導体ウエハ搬送装置 |
KR101246170B1 (ko) * | 2011-01-13 | 2013-03-25 | 국제엘렉트릭코리아 주식회사 | 반도체 제조에 사용되는 분사부재 및 그것을 갖는 플라즈마 처리 장치 |
JP5839804B2 (ja) * | 2011-01-25 | 2016-01-06 | 国立大学法人東北大学 | 半導体装置の製造方法、および半導体装置 |
US8840958B2 (en) | 2011-02-14 | 2014-09-23 | Veeco Ald Inc. | Combined injection module for sequentially injecting source precursor and reactant precursor |
US8877300B2 (en) | 2011-02-16 | 2014-11-04 | Veeco Ald Inc. | Atomic layer deposition using radicals of gas mixture |
US9163310B2 (en) | 2011-02-18 | 2015-10-20 | Veeco Ald Inc. | Enhanced deposition of layer on substrate using radicals |
JP5955062B2 (ja) | 2011-04-25 | 2016-07-20 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP5963193B2 (ja) | 2011-07-29 | 2016-08-03 | 日東電工株式会社 | 積層体の製造方法 |
JP5712874B2 (ja) * | 2011-09-05 | 2015-05-07 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
US9017481B1 (en) * | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US20130171350A1 (en) * | 2011-12-29 | 2013-07-04 | Intermolecular Inc. | High Throughput Processing Using Metal Organic Chemical Vapor Deposition |
JP5803714B2 (ja) * | 2012-02-09 | 2015-11-04 | 東京エレクトロン株式会社 | 成膜装置 |
US8728955B2 (en) | 2012-02-14 | 2014-05-20 | Novellus Systems, Inc. | Method of plasma activated deposition of a conformal film on a substrate surface |
JP5882777B2 (ja) * | 2012-02-14 | 2016-03-09 | 東京エレクトロン株式会社 | 成膜装置 |
WO2013137115A1 (ja) * | 2012-03-15 | 2013-09-19 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP6011417B2 (ja) * | 2012-06-15 | 2016-10-19 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置及び成膜方法 |
KR102070400B1 (ko) | 2012-06-29 | 2020-01-28 | 주성엔지니어링(주) | 기판 처리 장치 및 기판 처리 방법 |
JP5842750B2 (ja) * | 2012-06-29 | 2016-01-13 | 東京エレクトロン株式会社 | 成膜方法、成膜装置及び記憶媒体 |
JP5857896B2 (ja) * | 2012-07-06 | 2016-02-10 | 東京エレクトロン株式会社 | 成膜装置の運転方法及び成膜装置 |
JP6040609B2 (ja) * | 2012-07-20 | 2016-12-07 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
JP5947138B2 (ja) * | 2012-07-25 | 2016-07-06 | 東京エレクトロン株式会社 | 成膜装置 |
JP5883154B2 (ja) * | 2012-10-11 | 2016-03-09 | 東京エレクトロン株式会社 | 成膜装置 |
CN103824801A (zh) * | 2012-11-16 | 2014-05-28 | 光达光电设备科技(嘉兴)有限公司 | Led外延片反应腔 |
JP6010451B2 (ja) * | 2012-12-21 | 2016-10-19 | 東京エレクトロン株式会社 | 成膜方法 |
JP5839606B2 (ja) * | 2013-02-26 | 2016-01-06 | 東京エレクトロン株式会社 | 窒化膜を形成する方法 |
JP6115244B2 (ja) * | 2013-03-28 | 2017-04-19 | 東京エレクトロン株式会社 | 成膜装置 |
JP2015090916A (ja) * | 2013-11-06 | 2015-05-11 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
JP5692337B2 (ja) * | 2013-11-25 | 2015-04-01 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP6204213B2 (ja) * | 2014-01-28 | 2017-09-27 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
JP6262115B2 (ja) | 2014-02-10 | 2018-01-17 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
JP6307316B2 (ja) * | 2014-03-19 | 2018-04-04 | 株式会社日立国際電気 | 基板処理装置、及び半導体装置の製造方法 |
JP6183965B2 (ja) * | 2014-03-27 | 2017-08-23 | Sppテクノロジーズ株式会社 | シリコン酸化膜及びその製造方法、並びにシリコン酸化膜の製造装置 |
JP6221932B2 (ja) * | 2014-05-16 | 2017-11-01 | 東京エレクトロン株式会社 | 成膜装置 |
JP6219229B2 (ja) * | 2014-05-19 | 2017-10-25 | 東京エレクトロン株式会社 | ヒータ給電機構 |
JP6086254B2 (ja) * | 2014-09-19 | 2017-03-01 | 日新イオン機器株式会社 | 基板処理装置 |
US9214333B1 (en) * | 2014-09-24 | 2015-12-15 | Lam Research Corporation | Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD |
KR102337807B1 (ko) * | 2014-11-14 | 2021-12-09 | 삼성디스플레이 주식회사 | 박막 증착 장치 |
JP6320903B2 (ja) * | 2014-11-19 | 2018-05-09 | 東京エレクトロン株式会社 | ノズル及びこれを用いた基板処理装置 |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9631276B2 (en) * | 2014-11-26 | 2017-04-25 | Lam Research Corporation | Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition |
US9920844B2 (en) | 2014-11-26 | 2018-03-20 | Lam Research Corporation | Valve manifold deadleg elimination via reentrant flow path |
JP5968996B2 (ja) * | 2014-12-18 | 2016-08-10 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法およびプログラム |
JP6345104B2 (ja) * | 2014-12-24 | 2018-06-20 | 東京エレクトロン株式会社 | 成膜方法 |
JP6297509B2 (ja) * | 2015-01-26 | 2018-03-20 | 東京エレクトロン株式会社 | 基板処理装置 |
JP6569520B2 (ja) | 2015-12-24 | 2019-09-04 | 東京エレクトロン株式会社 | 成膜装置 |
JP6569521B2 (ja) | 2015-12-24 | 2019-09-04 | 東京エレクトロン株式会社 | 成膜装置 |
JP6723135B2 (ja) * | 2015-12-25 | 2020-07-15 | 東京エレクトロン株式会社 | 保護膜形成方法 |
JP6523185B2 (ja) * | 2016-01-29 | 2019-05-29 | 東京エレクトロン株式会社 | 成膜方法 |
JP6548586B2 (ja) | 2016-02-03 | 2019-07-24 | 東京エレクトロン株式会社 | 成膜方法 |
JP6584355B2 (ja) * | 2016-03-29 | 2019-10-02 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US10640870B2 (en) * | 2016-04-25 | 2020-05-05 | Applied Materials, Inc. | Gas feedthrough assembly |
US10260149B2 (en) | 2016-04-28 | 2019-04-16 | Applied Materials, Inc. | Side inject nozzle design for processing chamber |
JP6602261B2 (ja) | 2016-05-23 | 2019-11-06 | 東京エレクトロン株式会社 | 成膜方法 |
SG11201810824UA (en) * | 2016-06-03 | 2019-01-30 | Applied Materials Inc | Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber |
US10889896B2 (en) | 2016-06-28 | 2021-01-12 | Toshiba Mitsubishi-Electric Industrial Systems Corporation | Active gas-generating device and film formation apparatus |
JP6740799B2 (ja) | 2016-08-17 | 2020-08-19 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP6747220B2 (ja) * | 2016-09-28 | 2020-08-26 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
JP6851173B2 (ja) * | 2016-10-21 | 2021-03-31 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
JP6680190B2 (ja) * | 2016-11-14 | 2020-04-15 | 東京エレクトロン株式会社 | 成膜装置 |
JP6733516B2 (ja) | 2016-11-21 | 2020-08-05 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
JP6698509B2 (ja) * | 2016-12-14 | 2020-05-27 | 株式会社神戸製鋼所 | ターゲット用シャッタ機構およびそれを備えた成膜装置 |
JP6869024B2 (ja) * | 2016-12-20 | 2021-05-12 | 東京エレクトロン株式会社 | パーティクル除去方法及び基板処理方法 |
KR101905822B1 (ko) | 2017-03-21 | 2018-10-08 | 주식회사 유진테크 | 기판 처리장치 |
JP7071175B2 (ja) * | 2017-04-18 | 2022-05-18 | 東京エレクトロン株式会社 | 被処理体を処理する方法 |
JP6817883B2 (ja) * | 2017-04-25 | 2021-01-20 | 東京エレクトロン株式会社 | 成膜方法 |
CN107523808B (zh) * | 2017-08-23 | 2019-05-10 | 江苏菲沃泰纳米科技有限公司 | 一种有机硅纳米防护涂层的制备方法 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
CN112005343A (zh) | 2018-03-02 | 2020-11-27 | 朗姆研究公司 | 使用水解的选择性沉积 |
US11661654B2 (en) | 2018-04-18 | 2023-05-30 | Lam Research Corporation | Substrate processing systems including gas delivery system with reduced dead legs |
JP6981356B2 (ja) * | 2018-04-24 | 2021-12-15 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
SG11202011069RA (en) * | 2018-06-14 | 2020-12-30 | Mks Instr Inc | Radical output monitor for a remote plasma source and method of use |
JP7019276B2 (ja) * | 2018-06-25 | 2022-02-15 | 東芝三菱電機産業システム株式会社 | 活性ガス生成装置及び成膜処理装置 |
JP7249744B2 (ja) | 2018-08-02 | 2023-03-31 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
KR102697922B1 (ko) * | 2019-01-09 | 2024-08-22 | 삼성전자주식회사 | 원자층 증착 장치 및 이를 이용한 박막 형성 방법 |
KR102394132B1 (ko) * | 2019-02-13 | 2022-05-04 | 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 | 활성 가스 생성 장치 |
KR102685903B1 (ko) * | 2019-02-27 | 2024-07-16 | 가부시키가이샤 코쿠사이 엘렉트릭 | 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체 |
WO2020247977A1 (en) | 2019-06-04 | 2020-12-10 | Lam Research Corporation | Polymerization protective liner for reactive ion etch in patterning |
KR102278081B1 (ko) * | 2019-06-27 | 2021-07-19 | 세메스 주식회사 | 기판 처리 장치 및 방법 |
US12157945B2 (en) | 2019-08-06 | 2024-12-03 | Lam Research Corporation | Thermal atomic layer deposition of silicon-containing films |
WO2021059486A1 (ja) * | 2019-09-27 | 2021-04-01 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法およびプログラム |
JP2023500828A (ja) * | 2019-10-29 | 2023-01-11 | ラム リサーチ コーポレーション | 継ぎ目のない高品質のギャップフィルを可能にする方法 |
KR102358843B1 (ko) * | 2019-12-19 | 2022-02-08 | 한국과학기술연구원 | 연속식 카본나노튜브의 제조장치 |
KR102312364B1 (ko) * | 2019-12-24 | 2021-10-13 | 주식회사 테스 | 기판처리장치 |
KR102317442B1 (ko) * | 2020-01-20 | 2021-10-26 | 주성엔지니어링(주) | 기판처리방법 |
RU199340U1 (ru) * | 2020-02-03 | 2020-08-28 | Российская Федерация, от имени которой выступает Государственная корпорация по атомной энергии "Росатом" | Устройство поджига импульсных разрядников |
US20210395883A1 (en) * | 2020-06-22 | 2021-12-23 | Tokyo Electron Limited | System and Method for Thermally Cracking Ammonia |
CN114351116B (zh) * | 2020-10-13 | 2024-12-31 | 中国科学院微电子研究所 | 原子层沉积装置及原子层沉积方法 |
JP7080575B1 (ja) * | 2020-12-24 | 2022-06-06 | 東芝三菱電機産業システム株式会社 | 活性ガス生成装置 |
US12018372B2 (en) * | 2021-05-11 | 2024-06-25 | Applied Materials, Inc. | Gas injector for epitaxy and CVD chamber |
Family Cites Families (125)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4681773A (en) * | 1981-03-27 | 1987-07-21 | American Telephone And Telegraph Company At&T Bell Laboratories | Apparatus for simultaneous molecular beam deposition on a plurality of substrates |
US4657616A (en) * | 1985-05-17 | 1987-04-14 | Benzing Technologies, Inc. | In-situ CVD chamber cleaner |
US4879970A (en) * | 1987-04-21 | 1989-11-14 | M&T Chemicals Inc. | Coating hood for applying coating compound on containers |
JPH03144664A (ja) | 1989-10-31 | 1991-06-20 | Mita Ind Co Ltd | 自動原稿送り装置 |
US5095300A (en) * | 1990-03-28 | 1992-03-10 | Nec Electronics Inc. | Device for sensing side positioning of wafers |
US5225366A (en) * | 1990-06-22 | 1993-07-06 | The United States Of America As Represented By The Secretary Of The Navy | Apparatus for and a method of growing thin films of elemental semiconductors |
US5310339A (en) * | 1990-09-26 | 1994-05-10 | Tokyo Electron Limited | Heat treatment apparatus having a wafer boat |
JPH0812846B2 (ja) * | 1991-02-15 | 1996-02-07 | 株式会社半導体プロセス研究所 | 半導体製造装置 |
JPH04287912A (ja) | 1991-02-19 | 1992-10-13 | Mitsubishi Electric Corp | 半導体製造装置 |
JP2677913B2 (ja) * | 1991-05-13 | 1997-11-17 | 三菱電機株式会社 | 半導体製造装置のシール機構および半導体装置の製造方法 |
JPH0613361A (ja) * | 1992-06-26 | 1994-01-21 | Tokyo Electron Ltd | 処理装置 |
US5338362A (en) * | 1992-08-29 | 1994-08-16 | Tokyo Electron Limited | Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments |
JP3144664B2 (ja) | 1992-08-29 | 2001-03-12 | 東京エレクトロン株式会社 | 処理装置及び処理方法 |
US5540821A (en) * | 1993-07-16 | 1996-07-30 | Applied Materials, Inc. | Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing |
US5900103A (en) * | 1994-04-20 | 1999-05-04 | Tokyo Electron Limited | Plasma treatment method and apparatus |
US6120609A (en) * | 1996-10-25 | 2000-09-19 | Applied Materials, Inc. | Self-aligning lift mechanism |
US6152070A (en) * | 1996-11-18 | 2000-11-28 | Applied Materials, Inc. | Tandem process chamber |
US5855681A (en) * | 1996-11-18 | 1999-01-05 | Applied Materials, Inc. | Ultra high throughput wafer vacuum processing system |
US5909994A (en) * | 1996-11-18 | 1999-06-08 | Applied Materials, Inc. | Vertical dual loadlock chamber |
US5902088A (en) * | 1996-11-18 | 1999-05-11 | Applied Materials, Inc. | Single loadlock chamber with wafer cooling function |
US5911834A (en) * | 1996-11-18 | 1999-06-15 | Applied Materials, Inc. | Gas delivery system |
US5807792A (en) * | 1996-12-18 | 1998-09-15 | Siemens Aktiengesellschaft | Uniform distribution of reactants in a device layer |
US20030049372A1 (en) * | 1997-08-11 | 2003-03-13 | Cook Robert C. | High rate deposition at low pressures in a small batch reactor |
US6143082A (en) * | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
US6203619B1 (en) * | 1998-10-26 | 2001-03-20 | Symetrix Corporation | Multiple station apparatus for liquid source fabrication of thin films |
JP4790914B2 (ja) * | 1999-05-13 | 2011-10-12 | ヴィーコ・プロセス・イクウィップメント・インコーポレーテッド | 基板上に材料をエピタキシャル成長させるための方法と装置 |
US6812157B1 (en) * | 1999-06-24 | 2004-11-02 | Prasad Narhar Gadgil | Apparatus for atomic layer chemical vapor deposition |
JP4817210B2 (ja) | 2000-01-06 | 2011-11-16 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
US6576062B2 (en) * | 2000-01-06 | 2003-06-10 | Tokyo Electron Limited | Film forming apparatus and film forming method |
US6235656B1 (en) * | 2000-07-03 | 2001-05-22 | Andrew Peter Clarke | Dual degas/cool loadlock cluster tool |
US6562141B2 (en) * | 2000-07-03 | 2003-05-13 | Andrew Peter Clarke | Dual degas/cool loadlock cluster tool |
KR100458982B1 (ko) * | 2000-08-09 | 2004-12-03 | 주성엔지니어링(주) | 회전형 가스분사기를 가지는 반도체소자 제조장치 및 이를이용한 박막증착방법 |
JP2002170823A (ja) * | 2000-09-19 | 2002-06-14 | Hitachi Kokusai Electric Inc | 半導体製造装置および半導体装置の製造方法並びにそれに使用されるカバー部材 |
KR100345304B1 (ko) * | 2000-10-12 | 2002-07-25 | 한국전자통신연구원 | 수직형 초고진공 화학증착장치 |
US6413321B1 (en) * | 2000-12-07 | 2002-07-02 | Applied Materials, Inc. | Method and apparatus for reducing particle contamination on wafer backside during CVD process |
US6591850B2 (en) * | 2001-06-29 | 2003-07-15 | Applied Materials, Inc. | Method and apparatus for fluid flow control |
US6838393B2 (en) * | 2001-12-14 | 2005-01-04 | Applied Materials, Inc. | Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide |
US20030164143A1 (en) * | 2002-01-10 | 2003-09-04 | Hitachi Kokusai Electric Inc. | Batch-type remote plasma processing apparatus |
KR100452318B1 (ko) * | 2002-01-17 | 2004-10-12 | 삼성전자주식회사 | 압력조절시스템 및 이를 이용하는 압력조절방법 |
US6962644B2 (en) * | 2002-03-18 | 2005-11-08 | Applied Materials, Inc. | Tandem etch chamber plasma processing system |
JP3957549B2 (ja) * | 2002-04-05 | 2007-08-15 | 株式会社日立国際電気 | 基板処埋装置 |
KR100829327B1 (ko) * | 2002-04-05 | 2008-05-13 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치 및 반응 용기 |
US6869641B2 (en) * | 2002-07-03 | 2005-03-22 | Unaxis Balzers Ltd. | Method and apparatus for ALD on a rotary susceptor |
US6843882B2 (en) * | 2002-07-15 | 2005-01-18 | Applied Materials, Inc. | Gas flow control in a wafer processing system having multiple chambers for performing same process |
US7153542B2 (en) * | 2002-08-06 | 2006-12-26 | Tegal Corporation | Assembly line processing method |
US20050084610A1 (en) * | 2002-08-13 | 2005-04-21 | Selitser Simon I. | Atmospheric pressure molecular layer CVD |
KR100497748B1 (ko) * | 2002-09-17 | 2005-06-29 | 주식회사 무한 | 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법 |
WO2004044970A1 (ja) * | 2002-11-11 | 2004-05-27 | Hitachi Kokusai Electric Inc. | 基板処理装置 |
WO2004079813A1 (ja) * | 2003-03-04 | 2004-09-16 | Hitachi Kokusai Electric Inc. | 基板処理装置およびデバイスの製造方法 |
US6972055B2 (en) * | 2003-03-28 | 2005-12-06 | Finens Corporation | Continuous flow deposition system |
JP4329403B2 (ja) | 2003-05-19 | 2009-09-09 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US7276122B2 (en) * | 2004-04-21 | 2007-10-02 | Mattson Technology, Inc. | Multi-workpiece processing chamber |
US20050241579A1 (en) * | 2004-04-30 | 2005-11-03 | Russell Kidd | Face shield to improve uniformity of blanket CVD processes |
JP4879509B2 (ja) * | 2004-05-21 | 2012-02-22 | 株式会社アルバック | 真空成膜装置 |
US20050287806A1 (en) * | 2004-06-24 | 2005-12-29 | Hiroyuki Matsuura | Vertical CVD apparatus and CVD method using the same |
DE102004056170A1 (de) * | 2004-08-06 | 2006-03-16 | Aixtron Ag | Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz |
JP4480516B2 (ja) * | 2004-08-23 | 2010-06-16 | 株式会社アルバック | バリア膜の形成方法 |
JP4344886B2 (ja) * | 2004-09-06 | 2009-10-14 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8251012B2 (en) * | 2005-03-01 | 2012-08-28 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and semiconductor device producing method |
US7422636B2 (en) * | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
US20060249175A1 (en) * | 2005-05-09 | 2006-11-09 | Applied Materials, Inc. | High efficiency UV curing system |
US20060251827A1 (en) * | 2005-05-09 | 2006-11-09 | Applied Materials, Inc. | Tandem uv chamber for curing dielectric materials |
US7777198B2 (en) * | 2005-05-09 | 2010-08-17 | Applied Materials, Inc. | Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation |
US20070116873A1 (en) * | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Apparatus for thermal and plasma enhanced vapor deposition and method of operating |
US7740705B2 (en) * | 2006-03-08 | 2010-06-22 | Tokyo Electron Limited | Exhaust apparatus configured to reduce particle contamination in a deposition system |
US7794546B2 (en) * | 2006-03-08 | 2010-09-14 | Tokyo Electron Limited | Sealing device and method for a processing system |
US20070218701A1 (en) * | 2006-03-15 | 2007-09-20 | Asm Japan K.K. | Semiconductor-processing apparatus with rotating susceptor |
US20070218702A1 (en) * | 2006-03-15 | 2007-09-20 | Asm Japan K.K. | Semiconductor-processing apparatus with rotating susceptor |
US7566891B2 (en) * | 2006-03-17 | 2009-07-28 | Applied Materials, Inc. | Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors |
US7589336B2 (en) * | 2006-03-17 | 2009-09-15 | Applied Materials, Inc. | Apparatus and method for exposing a substrate to UV radiation while monitoring deterioration of the UV source and reflectors |
US8176871B2 (en) * | 2006-03-28 | 2012-05-15 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus |
KR100961594B1 (ko) * | 2006-05-01 | 2010-06-04 | 가부시키가이샤 히다치 고쿠사이 덴키 | 기판 처리 장치 |
US8187679B2 (en) * | 2006-07-29 | 2012-05-29 | Lotus Applied Technology, Llc | Radical-enhanced atomic layer deposition system and method |
KR20080027009A (ko) * | 2006-09-22 | 2008-03-26 | 에이에스엠지니텍코리아 주식회사 | 원자층 증착 장치 및 그를 이용한 다층막 증착 방법 |
US8043432B2 (en) * | 2007-02-12 | 2011-10-25 | Tokyo Electron Limited | Atomic layer deposition systems and methods |
US20080241384A1 (en) * | 2007-04-02 | 2008-10-02 | Asm Genitech Korea Ltd. | Lateral flow deposition apparatus and method of depositing film by using the apparatus |
US8197636B2 (en) * | 2007-07-12 | 2012-06-12 | Applied Materials, Inc. | Systems for plasma enhanced chemical vapor deposition and bevel edge etching |
JP5568212B2 (ja) * | 2007-09-19 | 2014-08-06 | 株式会社日立国際電気 | 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法 |
JP2009088298A (ja) * | 2007-09-29 | 2009-04-23 | Tokyo Electron Ltd | プラズマ処理装置及びプラズマ処理方法 |
US20090197424A1 (en) * | 2008-01-31 | 2009-08-06 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and method for manufacturing semiconductor device |
US8465592B2 (en) * | 2008-08-25 | 2013-06-18 | Tokyo Electron Limited | Film deposition apparatus |
JP4661990B2 (ja) * | 2008-06-27 | 2011-03-30 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、基板処理装置及び記憶媒体 |
JP5310283B2 (ja) * | 2008-06-27 | 2013-10-09 | 東京エレクトロン株式会社 | 成膜方法、成膜装置、基板処理装置及び記憶媒体 |
US20090324826A1 (en) * | 2008-06-27 | 2009-12-31 | Hitoshi Kato | Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium |
US8465591B2 (en) * | 2008-06-27 | 2013-06-18 | Tokyo Electron Limited | Film deposition apparatus |
JP5262452B2 (ja) * | 2008-08-29 | 2013-08-14 | 東京エレクトロン株式会社 | 成膜装置及び基板処理装置 |
US8808456B2 (en) * | 2008-08-29 | 2014-08-19 | Tokyo Electron Limited | Film deposition apparatus and substrate process apparatus |
US9416448B2 (en) * | 2008-08-29 | 2016-08-16 | Tokyo Electron Limited | Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method |
JP5195676B2 (ja) * | 2008-08-29 | 2013-05-08 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及び記憶媒体 |
JP5195175B2 (ja) * | 2008-08-29 | 2013-05-08 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP5253933B2 (ja) * | 2008-09-04 | 2013-07-31 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及び記憶媒体 |
JP5276387B2 (ja) * | 2008-09-04 | 2013-08-28 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体 |
US8961691B2 (en) * | 2008-09-04 | 2015-02-24 | Tokyo Electron Limited | Film deposition apparatus, film deposition method, computer readable storage medium for storing a program causing the apparatus to perform the method |
JP5253932B2 (ja) * | 2008-09-04 | 2013-07-31 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及び記憶媒体 |
JP2010084230A (ja) * | 2008-09-04 | 2010-04-15 | Tokyo Electron Ltd | 成膜装置、基板処理装置及び回転テーブル |
JP5173685B2 (ja) * | 2008-09-04 | 2013-04-03 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、並びにこの成膜方法を成膜装置に実施させるプログラムおよびこれを記憶するコンピュータ可読記憶媒体 |
JP5280964B2 (ja) * | 2008-09-04 | 2013-09-04 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及び記憶媒体 |
JP5276388B2 (ja) * | 2008-09-04 | 2013-08-28 | 東京エレクトロン株式会社 | 成膜装置及び基板処理装置 |
JP2010087467A (ja) * | 2008-09-04 | 2010-04-15 | Tokyo Electron Ltd | 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体 |
JP5107185B2 (ja) * | 2008-09-04 | 2012-12-26 | 東京エレクトロン株式会社 | 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体 |
US7964858B2 (en) * | 2008-10-21 | 2011-06-21 | Applied Materials, Inc. | Ultraviolet reflector with coolant gas holes and method |
JP5062144B2 (ja) * | 2008-11-10 | 2012-10-31 | 東京エレクトロン株式会社 | ガスインジェクター |
JP2010153769A (ja) * | 2008-11-19 | 2010-07-08 | Tokyo Electron Ltd | 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体 |
JP5031013B2 (ja) * | 2008-11-19 | 2012-09-19 | 東京エレクトロン株式会社 | 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体 |
JP2010129666A (ja) * | 2008-11-26 | 2010-06-10 | Hitachi Kokusai Electric Inc | 基板処理装置及び半導体装置の製造方法 |
JP2010126797A (ja) * | 2008-11-28 | 2010-06-10 | Tokyo Electron Ltd | 成膜装置、半導体製造装置、これらに用いられるサセプタ、プログラム、およびコンピュータ可読記憶媒体 |
US9297072B2 (en) * | 2008-12-01 | 2016-03-29 | Tokyo Electron Limited | Film deposition apparatus |
JP5056735B2 (ja) * | 2008-12-02 | 2012-10-24 | 東京エレクトロン株式会社 | 成膜装置 |
JP5083193B2 (ja) * | 2008-12-12 | 2012-11-28 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP5093162B2 (ja) * | 2009-03-12 | 2012-12-05 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP5107285B2 (ja) * | 2009-03-04 | 2012-12-26 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体 |
JP5068780B2 (ja) * | 2009-03-04 | 2012-11-07 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体 |
US20100227059A1 (en) * | 2009-03-04 | 2010-09-09 | Tokyo Electron Limited | Film deposition apparatus, film deposition method, and computer readable storage medium |
JP5141607B2 (ja) * | 2009-03-13 | 2013-02-13 | 東京エレクトロン株式会社 | 成膜装置 |
JP5181100B2 (ja) * | 2009-04-09 | 2013-04-10 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
JP5131240B2 (ja) * | 2009-04-09 | 2013-01-30 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
JP5520552B2 (ja) * | 2009-09-11 | 2014-06-11 | 株式会社日立国際電気 | 半導体装置の製造方法及び基板処理装置 |
JP5257328B2 (ja) * | 2009-11-04 | 2013-08-07 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
JP5310512B2 (ja) * | 2009-12-02 | 2013-10-09 | 東京エレクトロン株式会社 | 基板処理装置 |
JP5553588B2 (ja) * | 2009-12-10 | 2014-07-16 | 東京エレクトロン株式会社 | 成膜装置 |
JP5392069B2 (ja) * | 2009-12-25 | 2014-01-22 | 東京エレクトロン株式会社 | 成膜装置 |
JP5497423B2 (ja) * | 2009-12-25 | 2014-05-21 | 東京エレクトロン株式会社 | 成膜装置 |
US8034723B2 (en) * | 2009-12-25 | 2011-10-11 | Tokyo Electron Limited | Film deposition apparatus and film deposition method |
JP5524139B2 (ja) * | 2010-09-28 | 2014-06-18 | 東京エレクトロン株式会社 | 基板位置検出装置、これを備える成膜装置、および基板位置検出方法 |
JP5572515B2 (ja) * | 2010-10-15 | 2014-08-13 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
-
2009
- 2009-07-24 JP JP2009172948A patent/JP5423205B2/ja active Active
- 2009-08-26 US US12/547,648 patent/US9053909B2/en active Active
- 2009-08-28 KR KR1020090080671A patent/KR101535682B1/ko active IP Right Grant
- 2009-08-28 TW TW98128929A patent/TWI433252B/zh active
- 2009-08-31 CN CN200910169417.XA patent/CN101660138B/zh active Active
- 2009-08-31 CN CN201310016980.XA patent/CN103088319B/zh active Active
Also Published As
Publication number | Publication date |
---|---|
CN103088319B (zh) | 2015-05-13 |
JP2010239103A (ja) | 2010-10-21 |
KR20100027062A (ko) | 2010-03-10 |
CN101660138A (zh) | 2010-03-03 |
KR101535682B1 (ko) | 2015-07-09 |
US9053909B2 (en) | 2015-06-09 |
US20100055347A1 (en) | 2010-03-04 |
CN103088319A (zh) | 2013-05-08 |
TWI433252B (zh) | 2014-04-01 |
TW201029089A (en) | 2010-08-01 |
CN101660138B (zh) | 2014-01-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5423205B2 (ja) | 成膜装置 | |
JP5287592B2 (ja) | 成膜装置 | |
KR101407112B1 (ko) | 반도체 처리용 성막 장치 | |
JP5131240B2 (ja) | 成膜装置、成膜方法及び記憶媒体 | |
JP5392069B2 (ja) | 成膜装置 | |
JP5423529B2 (ja) | 成膜装置、成膜方法及び記憶媒体 | |
JP5310283B2 (ja) | 成膜方法、成膜装置、基板処理装置及び記憶媒体 | |
JP5181100B2 (ja) | 基板処理装置、基板処理方法及び記憶媒体 | |
JP5327147B2 (ja) | プラズマ処理装置 | |
JP5375853B2 (ja) | 成膜装置、成膜方法及び記憶媒体 | |
JP5549754B2 (ja) | 成膜装置 | |
JP2012049394A (ja) | 成膜装置、成膜方法及び記憶媒体 | |
JP5447632B2 (ja) | 基板処理装置 | |
JP5692337B2 (ja) | 成膜装置、成膜方法及び記憶媒体 | |
US10573514B2 (en) | Method of forming silicon-containing film | |
JP2011066367A (ja) | 基板処理方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20110608 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20120228 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20130108 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130308 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20130423 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130613 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20131029 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20131111 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 Ref document number: 5423205 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |