DE69710961T2 - Komponenten peripher zum Sockel im Wege des Gasflusses innerhalb einer Kammer zur chemischen Gasphasenabscheidung - Google Patents
Komponenten peripher zum Sockel im Wege des Gasflusses innerhalb einer Kammer zur chemischen GasphasenabscheidungInfo
- Publication number
- DE69710961T2 DE69710961T2 DE69710961T DE69710961T DE69710961T2 DE 69710961 T2 DE69710961 T2 DE 69710961T2 DE 69710961 T DE69710961 T DE 69710961T DE 69710961 T DE69710961 T DE 69710961T DE 69710961 T2 DE69710961 T2 DE 69710961T2
- Authority
- DE
- Germany
- Prior art keywords
- base
- substrate
- chamber
- plasma reactor
- plasma
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 230000002093 peripheral effect Effects 0.000 title claims description 39
- 238000005229 chemical vapour deposition Methods 0.000 title description 29
- 239000007789 gas Substances 0.000 claims description 58
- 239000000758 substrate Substances 0.000 claims description 52
- 238000000034 method Methods 0.000 claims description 51
- 230000008569 process Effects 0.000 claims description 41
- 238000005086 pumping Methods 0.000 claims description 36
- 238000011282 treatment Methods 0.000 claims description 29
- 239000012212 insulator Substances 0.000 claims description 20
- 229910052751 metal Inorganic materials 0.000 claims description 20
- 239000002184 metal Substances 0.000 claims description 20
- 238000006243 chemical reaction Methods 0.000 claims description 8
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 4
- 230000002829 reductive effect Effects 0.000 claims description 3
- 229910052786 argon Inorganic materials 0.000 claims description 2
- 238000007599 discharging Methods 0.000 claims 1
- 238000010438 heat treatment Methods 0.000 claims 1
- 235000012431 wafers Nutrition 0.000 description 84
- 239000010408 film Substances 0.000 description 53
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 31
- 238000012545 processing Methods 0.000 description 29
- 238000000151 deposition Methods 0.000 description 25
- 230000008021 deposition Effects 0.000 description 25
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 24
- 229910052782 aluminium Inorganic materials 0.000 description 11
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 11
- 238000004140 cleaning Methods 0.000 description 11
- 239000010936 titanium Substances 0.000 description 11
- 238000009826 distribution Methods 0.000 description 10
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 10
- 239000000919 ceramic Substances 0.000 description 9
- 239000000463 material Substances 0.000 description 9
- 238000012546 transfer Methods 0.000 description 9
- 238000009832 plasma treatment Methods 0.000 description 8
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 7
- 239000004020 conductor Substances 0.000 description 7
- 230000006870 function Effects 0.000 description 7
- 239000002245 particle Substances 0.000 description 7
- 239000002243 precursor Substances 0.000 description 7
- 229910052719 titanium Inorganic materials 0.000 description 7
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 230000004888 barrier function Effects 0.000 description 6
- 230000005855 radiation Effects 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- 230000005684 electric field Effects 0.000 description 5
- 238000012423 maintenance Methods 0.000 description 5
- 238000001465 metallisation Methods 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- 239000006227 byproduct Substances 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 230000006872 improvement Effects 0.000 description 4
- 238000002955 isolation Methods 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 3
- 230000007547 defect Effects 0.000 description 3
- 230000005284 excitation Effects 0.000 description 3
- 230000036961 partial effect Effects 0.000 description 3
- 229910001220 stainless steel Inorganic materials 0.000 description 3
- 239000010935 stainless steel Substances 0.000 description 3
- 238000007740 vapor deposition Methods 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000010276 construction Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 238000009413 insulation Methods 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 239000012071 phase Substances 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 230000003068 static effect Effects 0.000 description 2
- 238000012360 testing method Methods 0.000 description 2
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 229910003074 TiCl4 Inorganic materials 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 230000001010 compromised effect Effects 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 239000012777 electrically insulating material Substances 0.000 description 1
- 238000000605 extraction Methods 0.000 description 1
- 230000005484 gravity Effects 0.000 description 1
- 230000009931 harmful effect Effects 0.000 description 1
- 230000017525 heat dissipation Effects 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- NFHFRUOZVGFOOS-UHFFFAOYSA-N palladium;triphenylphosphane Chemical compound [Pd].C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1 NFHFRUOZVGFOOS-UHFFFAOYSA-N 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- 238000002207 thermal evaporation Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45502—Flow conditions in reaction chamber
- C23C16/45508—Radial flow
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4411—Cooling of the reaction chamber walls
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4585—Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/54—Apparatus specially adapted for continuous coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32477—Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
- H01J37/32834—Exhausting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/2001—Maintaining constant desired temperature
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Physics & Mathematics (AREA)
- Analytical Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
Description
- Diese Erfindung bezieht sich auf eine Halbleiterherstellungsanlage. Insbesondere bezieht sich die Erfindung auf Bauteile, die in einem Plasmareaktor für eine chemische Gasphasenabscheidung (CVD) in Verbindung mit einem Gasstrom durch die Reaktorkammer und aus ihr heraus verwendet werden.
- Integrierte Halbleiterschaltungen werden mit Mehrfachschichten, von denen einige ein Muster bilden, aus halbleitenden, isolierenden und leitenden Materialien sowie mit zusätzlichen Schichten hergestellt, die Funktionen haben, wie ein Verbinden, eine Migrationssperre und Ohmsche Kontakte. Es werden Dünnfilme von diesen unterschiedlichen Materialien abgeschieden oder auf eine Anzahl von Arten ausgebildet, von denen die größte Bedeutung bei der modernen Behandlung die physikalische Gasphasenabscheidung (PVD), die auch als Zerstäuben bekannt ist, und die chemische Gasphasenabscheidung (CVD) sind.
- Bei dem CVD wird ein Substrat, beispielsweise ein Siliziumwafer, auf dem bereits geformte Schichten aus Silizium oder anderen Materialien ausgebildet sind, einem Vorstufengas ausgesetzt, das mit der Oberfläche des Substrats reagiert und ein Reaktionsprodukt auf dem Substrat abscheidet, um so einen Film darauf wachsen zu lassen. Ein einfaches Beispiel ist die Verwendung von Silan (SiH&sub4;) zum Abscheiden von Silizium, wobei der Wasserstoff ein gasförmiges Nebenprodukt bildet, das aus der Kammer abgezogen wird. Die vorliegende Anmeldung ist jedoch stärker auf die CVD eines leitenden Materials, wie TiN, gerichtet.
- Diese Oberflächenreaktion kann auf wenigstens zwei unterschiedliche Arten aktiviert werden. Bei einem thermischen Prozess wird das Substrat auf eine ausreichend hohe Temperatur erhitzt, um die Aktivierungsenergie für Moleküle des Vorstufengases angrenzend an das Substrat bereitzustellen, um dort zu reagieren und eine Schicht auf dem Substrat abzuscheiden. In einem plasmaverstärkten CVD-Prozess (PECVD) wird das Vorstufengas einem ausreichend starken Feld ausgesetzt, damit es ein Plasma bildet. Als Folge wird das Vorstufengas zu energetischen Zuständen angeregt, wie Ionen oder Radikalen, die leicht auf der Substratoberfläche reagieren, um das gewünschte geschichtete Material zu bilden.
- Die US-A-5558717 offenbart ein Beispiel einer CVD-Abscheidungskammer. Diese Bauart einer CVD-Kammer ist von Applied Materials, Inc., Santa Clara, Kalifornien, als CVD DxZ-Kammer erhältlich. Wie in diesem Patent beschrieben ist und wie es in der geschnittenen Seitenansicht von Fig. 1 dargestellt ist, hat eine CVD-Reaktorkammer 30 einen Sockel 32, der auf einer Trägerfläche 34 einen Wafer 36 trägt, auf dem eine Materialschicht durch CVD abgeschieden werden soll. In dem Sockel 32 sitzen gleitend verschiebbar Hubstifte 38, die jedoch durch Konusköpfe an ihren oberen Enden am Herausfallen gehindert werden. Die unteren Enden der Hubstifte 38 stehen in Eingriff mit einem vertikal beweglichen Hubring 39 und können so über die Oberfläche 34 des Sockels angehoben werden. Der Sockel 32 ist ebenfalls vertikal beweglich, und im Zusammenwirken mit den Hubstiften 38 wird der Wafer 36 von dem Robotblatt abgehoben, und anschließend steigt der Sockel hoch, um den Wafer 36 von den Hubstiften 38 auf seine Trägerfläche 34 anzuheben.
- Der Sockel 32 hebt dann den Wafer 36 in eine Gegenüberposition nahe an einer Gasverteilungsstirnplatte 40, auf die häufig auch als Duschkopf Bezug genommen wird und die eine große Anzahl von Durchgängen 42 hat, um das Prozessgas auf den gegenüberliegenden Wafer 36 auszudüsen. D. h., dass die Durchgänge 42 das Prozessgas in einen Behandlungsraum '56 zu dem Wafer 36 führen. Das Prozessgas wird in den Reaktor 30 durch einen zentralen Gaseinlass 44 in einer Abdeckplatte 46 für die Gaszuführung zu einem ersten scheibenförmigen Verteiler 48 eingeführt und von da durch Kanäle 50 in einer Stauplatte 52 zu einem zweiten scheibenförmigen Verteiler 54 in der Rückseite des Duschkopfs 40 geführt.
- Wie durch die Pfeile gezeigt ist, tritt das Behandlungsgas aus den Löchern 42 in dem Duschkopf 40 heraus in den Behandlungsraum 56 zwischen dem Duschkopf 40 und dem Sockel 32 als Strahl ein und reagiert an der Oberfläche des in geringem Abstand befindlichen Wafers 36. Prozessgas, das nicht reagiert hat, und Reaktionsnebenprodukte strömen radial nach außen zu einem ringförmigen Pumpkanal 60, der den oberen Umfang des Sockels 32 umgibt. Der Pumpkanal 60 ist insgesamt abgeschlossen, hat jedoch am Aufnahmeende eine ringförmige Drosselöffnung 62 zwischen dem Pumpkanal 60 und dem Behandlungsraum 56 über dem Wafer 36. Die Drosselöffnung 62 ist zwischen einem später beschriebenen, in einen Deckelrand 66 eingesetzten Isolator 64 und einem isolierenden ringförmigen Kammereinsatz 68 ausgebildet, der auf einer Leiste 70 an der Innenseite des Hauptkammerkörpers 72 aufliegt. Die Drosselöffnung 62 ist zwischen der Hauptkammer und einem entfernbaren Deckel ausgebildet, der an der Kammer so befestigt ist, dass eine vollständig ringförmige Drosselöffnung 62 erreicht werden kann. Die Drosselöffnung 62 hat eine wesentlich kleinere Breite als die Tiefe des Behandlungsraums 56 zwischen dem Duschkopf 40 und dem Wafer 36 und ist wesentlich kleiner als die minimalen seitlichen Abmessungen des am Umfang befindlichen Pumpkanals 60, beispielsweise kleiner um wenigstens einen Faktor fünf. Die Breite der Drosselöffnung 62 ist klein genug gemacht und ihre Länge ist lang genug, damit ein ausreichender aerodynamischer Widerstand bezüglich des Arbeitsdrucks und des Gasstroms geschaffen wird, so dass der Druckabfall über der Drosselöffnung 62 wesentlich größer ist als alle Druckabfälle über dem Radius des Wafers 36 oder um den Umfang des ringförmigen Pumpkanals 60 herum. In der Praxis ist es nicht unüblich, dass die Drosselöffnung 62 einen ausreichenden aerodynamischen Widerstand einführt, damit der Druckabfall von der Mitte des Wafers 36 bis innerhalb des Pumpkanals 60 nicht mehr als 10% des umfänglichen Druckabfalls im Pumpkanal 60 ist.
- Der Pumpkanal 60 ist über eine verengte Abführöffnung 74 mit einer Pumpkammer 76 verbunden, und ein Ventil 78 leitet das Abgas durch eine Abführleitung 80 zu einer Vakuumpumpe 82. Die verengte Abführöffnung 74 hat eine Funktion, die ähnlich der der Drosselöffnung 62 beim Einführen eines aerodynamischen Widerstands ist, so dass der Druck in dem Pumpkanal 60 im Wesentlichen konstant ist.
- Die verengten Drossel- und Abführöffnungen 62, 74 erzeugen einen nahezu gleichförmigen Druck um den Umfangspumpkanal 60. Das sich ergebende Gasverteilungs- Strömungsmuster über dem Wafer 36 ist durch die Pfeillinien 84 in Fig. 2 gezeigt. Das Prozessgas und seine Reaktionsnebenprodukte strömen aus der Mitte des Duschkopfs 40 über den Wafer 36 und den Umfang des Sockels 32 längs radialer Wege 84 und dann durch die Drosselöffnung 62 zum Pumpkanal 60. Anschließend strömt das Gas in Umfangsrichtung längs der Wege 86 in dem Pumpkanal 60 zur Abführöffnung 74 und dann durch die Abführkammer 76 und die Abführleitung 80 zur Vakuumpumpe 82. Aufgrund der Verengungen 62, 74 ist der radiale Strom 84 über dem Wafer 36 in der Azimutrichtung im Wesentlichen gleichförmig.
- Wie in Fig. 1 und 3 gezeigt ist (Fig. 3 ist eine Einzelheit der oberen rechten Ecke von Fig. 1), trägt die Leiste 70 in dem Kammerkörper 72 die Kammerabschirmungsauskleidung 68, die den Boden des Pumpkanals 60 bildet. Der Kammerdeckelrand 66 bildet die Oberseite und einen Teil der Außenseitenwand des Pumpkanals 60 zusammen mit einem Teil des Kammerkörpers 72. Der innenseitige obere Rand des Pumpkanals 60 wird von dem Isolatorring 64 gebildet, der aus Keramik oder einem anderen elektrisch isolierenden Material hergestellt ist, welches den metallischen Duschkopf 40 gegenüber dem Kammerkörper 72 isoliert.
- Der CVD-Reaktor 30 von Fig. 1 kann auf zwei Arten betrieben werden, nämlich thermisch und plasmaverstärkt. Im thermischen Modus führt eine elektrische Leistungsquelle 90 einer Widerstandsheizeinrichtung 92 an der Oberseite des Sockels 32 Leistung zu, um dadurch den Sockel 32 und somit den Wafer 36 auf eine erhöhte Temperatur zu bringen, die ausreicht, um die CVD-Abscheidereaktion thermisch zu aktivieren. In dem plasmaverstärkten Modus wird eine elektrische HF-Quelle 94 durch einen Schalter 96 mit dem metallischen Duschkopf 40 verbunden, der somit als eine Elektrode wirkt. Der Duschkopf 40 ist gegenüber dem Deckelrand 66 und dem Hauptkammerkörper 72 durch den Isolatorring 64 elektrisch isoliert und wird gewöhnlich von einer elektrisch nicht leitenden Keramik gebildet. Der Sockel 32 ist mit einem der HF-Quelle 94 zugeordneten Vorspannelement 98 so verbunden, dass zwischen dem Duschkopf 40 und dem Sockel 32 HF-Leistung aufgeteilt wird. Durch die HF-Quelle 94 wird eine ausreichende Spannung und eine ausreichende Leistung angelegt, um das Prozessgas in dem Behandlungsbereich 56 zwischen dem Duschkopf und dem Sockel 32 zu einer Entladung und zur Bildung eines Plasmas zu bringen.
- Erst neuerdings hat man versucht, diesen allgemeinen Typ des CVD-Reaktors zum Abscheiden eines Films eines leitenden Materials zu verwenden, beispielsweise Titannitrid (TiN), wobei der thermische TDMAT-Prozess zum Einsatz kommt, der in der US-A-5723382 beschrieben ist. Ein verwandter Plasmaprozess ist in der US-A-5246881 beschrieben. Die Abscheidung eines leitenden Materials in dieser Kammer hat einige Probleme aufgezeigt, die durch diese Erfindung angesprochen werden.
- Titannitrid ist ein mäßig guter elektrischer Leiter, es wird jedoch bei der Halbleiterbehandlung hauptsächlich dafür verwendet, als Sperrschicht zu wirken und Titan als Haftschicht zu unterstützen. Dieser Prozess wird häufig bei dem Kontaktaufbau verwendet, wie er im Querschnitt in Fig. 4 gezeigt ist, bei welchem eine Oxidschicht 100, gewöhnlich SiO&sub2;, bis zu einer Dicke von etwa 1 um über einem Substrat 102 abgeschieden wird, das eine Oberfläche aus kristallinem Silizium oder Polysilicium hat. Die Oxidschicht 100 wirkt als ein Zwischenniveau-Dielektrikum. Um jedoch einen elektrischen Kontakt zwischen den Niveaus herzustellen, wird ein Kontaktloch 104 durch die Oxidschicht 100 geätzt und mit einem Metall, wie Aluminium, gefüllt. Bei weiter entwickelten integrierten Schaltungen ist jedoch das Kontaktloch 104 schmal und häufig kleiner als 0,35 um bei einem Längenverhältnis von drei oder mehr. Das Füllen eines solchen Lochs ist schwierig. Es wurde jedoch so etwas wie ein Standardprozess entwickelt, bei welchem das Loch 104 zuerst konform mit einer Titanschicht 106 beschichtet wird und dann die Titanschicht 106 konform mit einer Titannitridschicht 108 überzogen wird. Danach wird eine Aluminiumschicht 110 abgeschieden, gewöhnlich durch physikalische Gasphasenabscheidung, um das Kontaktloch 104 zu füllen und um elektrische Zwischenverbindungsleitungen an dem oberen Niveau herzustellen. Die Ti- Schicht 104 bildet eine Haftschicht sowohl für das darunter liegende Silizium als auch für das Oxid an den Seitenwänden. Es kann auch mit dem darunter liegenden Silizium zur Bildung eines Ohmschen Kontakts silizidiert werden. Die TiN-Schicht 106 bindet die Ti-Schicht 104 gut, und die Aluminiumschicht 110 benetzt das TiN gut, so dass das Aluminium das Kontaktloch 104 besser füllen kann, ohne einen Einschlusshohlraum zu bilden. Die TiN-Schicht 106 wirkt auch als Sperre, die verhindert, dass Aluminium 110 in das Silizium 102 wandert und seine Leitfähigkeit beeinträchtigt. In einem Durchgangsaufbau, bei welchem das Substrat 102 einen Aluminium-Oberflächenbestandteil hat, kann die Ti-Schicht 104 nicht erforderlich sein. Auch wenn die elektrischen Leitfähigkeiten von Titan und Titannitrid nicht näherungsweise so hoch wie die von Aluminium sind, sind sie in den dünnen Schichten ausreichend leitfähig, um einen guten elektrischen Kontakt zu bilden.
- Titan und Titannitrid können entweder durch CVD oder PVD abgeschieden werden, wobei jedoch CVD den Vorteil der leichteren Ausbildung konformer Schichten in einem Loch mit einem großen Mengenverhältnis hat. Der thermische TDMAT-Prozess ist ein solcher CVD-Prozess zur Bildung eines konformen Überzugs aus TiN in einem schmalen Loch.
- Bei dem TDMAT-Prozess wird ein Vorstufengas aus Tetrakis-Dimethylamido-Titan, Ti(N(CH&sub4;)&sub2;)&sub4; in die Kammer durch den Duschkopf 40 bei einem Druck von etwa 1 bis 9 Torr injiziert, während der Sockel 32 das Substrat 36 auf einer erhöhten Temperatur von etwa 360ºC oder mehr hält. Dadurch wird eine leitende und konforme TiN-Schicht auf dem Substrat 36 in einem CVD-Prozess abgeschieden. Der TDMAT-Prozess ist ein thermischer Prozess, der gewöhnlich nicht auf eine Plasmaerregung des Vorstufengases baut.
- Es hat sich jedoch gezeigt, dass die durch den TDMAT-Prozess anfänglich gebildete TiN-Schicht eine übermäßige Menge an Kohlenstoff in Form eines eingeschlossenen Polymers hat, welches seine Leitfähigkeit herabsetzt. Deshalb folgt gewöhnlich auf die TDMAT- Abscheidung ein zweiter Schritt einer Plasmabehandlung der abgeschiedenen TiN-Schicht. Das TDMAT-Gas in der Kammer wird durch einen Gasmischung aus H&sub2; und N&sub2; mit einem Verhältnis von etwa 50 : 50 bei einem Druck von 0,5 bis 10 Torr ausgetauscht, und die HF- Leistungsquelle 94 wird so umgeschaltet, dass elektrische Felder zwischen dem Duschkopf 40 und dem Sockel 32 erzeugt werden, die ausreichen, um das H&sub2; : N&sub2;-Gas zur Bildung eines Plasmas zu entladen. Die Wasserstoff- und Stickstoffspezies in dem Plasma reduzieren das kohlenstoffhaltige Polymer zu flüchtigen Nebenprodukten, die aus dem System abgeführt werden. Die Plasmabehandlung entfernt dadurch den Kohlenstoff und verbessert die Qualität des TiN-Films.
- Der Plasmabehandlungsprozess hat, wenn er in der gleichen Kammer wie die thermische CVD-Abscheidung ausgeführt wird, einige Probleme bezüglich Gleichförmigkeit und Reproduzierbarkeit aufgezeigt. Wir glauben, dass die Probleme von den Fremdmetallabscheidungen auf den Reaktoroberflächen ausgehen, die das Plasma beeinflussen und einen Teilchenüberschuss in der Kammer erzeugen. Wir glauben ferner, dass die Abscheidungen in zwei unterschiedlichen Bereichen auftreten, nämlich in einem Bereich an der Oberseite des Sockels 32 außerhalb des Substrats 36 und in einem Bereich in dem Pumpkanal 60 und um ihn herum.
- Ein erstes Problem, wie wir glauben, bezieht sich auf die Fremdmetallabscheidung an dem Sockel 32, da sich freiliegende Teile des Sockels 32 auf einer Temperatur befinden, die der des Wafers 36 entspricht oder häufig viel höher als diese Temperatur ist. Wie im Schnitt in Fig. 3 gezeigt ist, unterliegt der Teil des Sockels 32, der sich über den Außenrand des Wafers 36 hinaus erstreckt, einem Aufbau 120 von abgeschiedenem Material aufgrund des folgenden Mechanismus.
- Während der thermischen Phasen des TDMAT-Prozesses, während der das leitende TiN abgeschieden wird, heizt die in Fig. 1 gezeigte, in dem Sockel 32 installierte Heizeinrichtung 92 den Sockel 32 auf, und die Wärme wird somit auf den Wafer 36 übertragen. Es gibt mehrere Gründe, warum der freiliegende Abschnitt des Sockels 32 dazu neigt, eine beträchtlich höhere Temperatur als der Wafer 36 zu haben. Der Duschkopf 40 arbeitet bei einer viel niedrigeren Temperatur, gewöhnlich um 100ºC, um Wärme leicht von gegenüberliegenden Elementen abzuleiten. Andererseits hat der Wafer 36 auf dem Sockel 32 eine unvollständige Wärmeableitung und überträgt ihm von dem Sockel 32 durch Leitung zugeführte Wärme schlechter als dies der direkt abstrahlende und hoch wärmeleitende Sockel 32 tut. Da die Kammer auch für die Niedertemperatur-Plasmabehandlungsphase verwendet wird und zusätzliche Zeit zum Überführen von Wafern in die Kammer und aus ihr heraus verbraucht wird, ist der Arbeitszyklus für den Hochtemperaturbetrieb relativ niedrig, und es ist erforderlich, den Wafer 36 auf die benötigten hohen Behandlungstemperaturen aufzuheizen. Um die Temperatur des Wafers 36 schnell auf seine Behandlungstemperatur anzuheben, wird die Temperatur des Sockels 32 auf eine Temperatur angehoben, die höher ist als die des Wafers 36. Aus all diesen Gründen kann die Behandlungstemperatur des Wafers 36 auf 360ºC eingestellt werden, während der freiliegende Abschnitt des Sockels die Tendenz hat, eine wesentlich höhere Temperatur von 425ºC zu haben.
- Da die Abscheidungsrate auf einer Oberfläche proportional zur Temperatur der Oberfläche ist (je höher die Temperatur ist, desto schneller erfolgt die Abscheidung), führt die höhere Temperatur des freiliegenden äußeren Randes des Sockels 32, wie in Fig. 3 gezeigt, zu einem schnellen Aufbau 120 eines abgeschiedenen Films. Da die Dicke des abgeschiedenen Films mit den Behandlungszyklen vieler Wafer zunimmt, können sich nachteilige Wirkungen ergeben. Der Aufbau der Filmdicke an dem Rand kann einen künstlichen Umfangsrand erzeugen, der verhindert, dass der Wafer 36 in einen vollen Kontakt mit der Oberfläche des Sockels 32 kommt, wie er für eine effiziente Behandlung erforderlich ist. Wenn sich einmal der Aufbau 120 über eine bestimmte Filmdicke des Films hinaus entwickelt hat, haften darauffolgend abgeschiedene Filmschichten in ähnlicher Weise nicht vollständig an den darunter liegenden Schichten. Teile des Films können dann Teilchen oder Abblätterungen bilden, die sich von dem Sockel trennen und auf den zu behandelnden Wafer 36 treiben. Die Teilchen können an dem behandelten Wafer Defekte erzeugen.
- Ein zweites, auf die Fremdmetallabscheidung bezogenes Problem entsteht dadurch, dass der leitende TiN-Film ebenfalls in einem geringeren Ausmaß aufgrund der niedrigeren Oberflächentemperaturen auf anderen Flächen in einem geringeren Ausmaß abgeschieden wird, die dem Prozessgas längs seines Wegs vom dem Duschkopf 40 zu dem Pumpkanal 60 und durch ihn hindurch auf seinem Weg zum Kammervakuumsystem 82 ausgesetzt sind. Fig. 5 zeigt ein Beispiel des Aufbaus eines Metallfilms 124 über dem und um den Isolatorring 46 herum, der einen elektrischen Kurzschluss zwischen dem elektrisch vorgespannten Duschkopf 40 und dem geerdeten Deckelrand 66 verursachen kann. Fig. 5 zeigt nur einen übertriebenen Filmaufbau 124 an der oberen Fläche der Kammer. In Wirklichkeit baut sich der Film an allen Oberflächen auf, wobei dieser andere Aufbau aus Gründen der klaren Darstellung nicht gezeigt ist.
- Ein weiteres Beispiel einer in Fig. 6 gezeigten Fremdfilmabscheidung ist der Aufbau eines leitenden Films 128 über dem isolierenden Aluminiumoxid-Kammereinsatz 68 an der Stelle, die sich über den Pumpkanal 60 erstreckt und den elektrisch geerdeten Hauptkammerkörper 72 kontaktiert. Diese Fremdabscheidung 128 verlängert somit das dem Kammerkörper 72 und dem Deckelrand 66 zugeordnete Erdpotenzial zu dem inneren oberen Rand des isolierenden Ringeinsatzes 68 unmittelbar angrenzend an den oberen Umfangsrand des Sockels 32. Die Stelle und die Qualität des Plasmas in dem Behandlungsraum 56 hängen von dem Abstand zwischen den leistungsbeaufschlagten Plasmaquellenelektroden und den umgebenden Oberflächen und von dem Unterschied zwischen den jeweiligen elektrischen Potenzialen ab. Wenn während eines langen Prozesslaufs der Kammereinsatz 68 sich von seiner Anordnung als Isolator zwischen dem Kammerkörper 68 und dem Plasma effektiv dahin ändert, dass er ein geerdeter Leiter wird, werden die Stelle und die Qualität des Plasmas beeinträchtigt, insbesondere um die Ränder des Substrats 36 herum. Die Störung des Plasmas aufgrund der Nähe einer unmittelbar angrenzenden elektrischen Masse verursacht eine Nichtgleichförmigkeit im Plasma, was die Dicke der Filmabscheidung und ihrer Oberflächeneigenschaften beeinflusst.
- Während der Plasmabehandlung beeinflussen Änderungen in der Gleichförmigkeit des Plasma die Oberflächengleichförmigkeit des erzeugten Films. Deshalb beeinflussen Änderungen der Plasmastärke die Gleichförmigkeit der Filmeigenschaften. Die Leitfähigkeit, die der Kehrwert der Isolierqualität der isolierenden Elemente ist, die die Plasmaposition umgeben, ändert sich, wenn der leitenden Film auf ihren Oberflächen ausgebildet wird und wenn der leitende Film einen Leiterweg zu benachbarten leitenden Elementen mit unterschiedlichen Potenzialen bildet. Diese Änderung in der Leitqualität der scheinbar isolierenden Elemente verursacht Änderungen im Plasma, welches die Prozesswiederholbarkeit reduziert.
- Ein drittes Problem, das mit der Fremdmetallabscheidung in Bezug steht, ergibt sich dadurch, dass einige elektrisch ungeerdete Elemente, die dem Plasma ausgesetzt sind, eine Ladung aus dem Plasma speichern. In dem Fall, in dem diese geladenen Teilchen sich nahe an einem geerdeten oder elektrisch mit Leistung beaufschlagten Teil befinden, ergibt sich immer die Möglichkeit einer Lichtbogenbildung zwischen dem ungeerdeten Teil und einer Masse oder der Elektrode. Wenn der Wafer auf dem Sockel liegt, kann er als ungeerdetes Element agieren, das aufgeladen werden und einen Lichtbogen bilden kann. Ein Lichtbogen erzeugt Teilchen und Fehler in dem Substrat. Deshalb sollte eine Lichtbogenbildung zum Wafer vermieden werden, und die Gleichförmigkeit der Umhüllung für die Plasmabehandlung der Oberfläche des Substrats sollte so konstant wie möglich gehalten werden.
- Um diese potenziell schädlichen Effekte zu vermeiden, ist es übliche Praxis, einen Reinigungs- oder Wartungszyklus zeitlich vorzusehen, zu dem das Entfernen und der Austausch oder die Reinigung des Sockels gehören, bevor ein Filmaufbau unerwünschte Effekte erzeugen kann. Dieses Hilfsmittel hat jedoch Nachteile. Die Sockel sind nicht nur teuer, vielmehr erfordert ihr Austausch oder ihre Reinigung ein Abschalten einer teuren Anlage und zusätzliche Bedienungszeit.
- Der Aufbau einer unerwünschten Filmdicke entweder am Umfang des Suszeptors oder quer über isolierende Elemente in der Kammer macht ihre periodische Reinigung erforderlich, um einen Kurzschluss oder nicht akzeptable Änderungen in der Plasmabehandlung zu unterbinden. Der Aufbau einer Dicke eines unerwünschten Films erzeugt eine Kurzschlussgefahr, da Änderungen in der Intensität und der Stelle der elektrischen Felder herbeigeführt werden, die das Gas zu einem Plasmazustand anregen. Wenn die Gefahr des Leitens oder der Lichtbogenbildung groß wird, wird auch ein Reinigungs- oder Wartungszyklus eingeleitet, um die ursprüngliche Verteilung des elektrischen Feldes wiederherzustellen. Andere verbrauchbare oder wartbare Bauteile erfordern ebenfalls einen Austausch oder eine Reinigung in bestimmten Zeitabständen. Zurzeit legt das Risiko des Leitens und der Lichtbogenbildung das Reinigungs-/Wartungsintervall fest. Die mittlere Zahl von Wafern zwischen den Reinigungen könnte wesentlich erhöht werden, wenn das Problem der Filmdickenanhaftung und der Leitfähigkeit über den isolierenden Elementen zu geerdeten Elementen hin, wie vorstehend beschrieben, reduziert oder beseitigt werden könnte.
- Die schematisch in Fig. 7 gezeigte CVD-Kammer ist zu der von Fig. 1 ähnlich mit der Ausnahme, dass sie mit Strahlung und nicht über Widerstand erhitzt wird. Dies hat man bei der Abscheidung von leitendem Material dort verwendet, wo eine Plasmabehandlung der einen oder anderen Art in der Kammer durchgeführt wurde. In dieser Kammer wurde ein Argonbehandlungs-Zerstäubungsgas zu einem Plasma 130 zwischen einer Sockelelektrode 132 und einer Gegenelektrode 134 aktiviert. Dabei sorgt eine HF-Leistungsquelle 136 für die HF-Leistung zur Erregung des Plasmas. Es zeigte sich jedoch, dass, wenn das Plasma in dem Behandlungsraum über dem Wafer gut eingegrenzt war, es erforderlich wurde, die HF- Leistung über ein Anpassungsnetzwerk 138 einzuspeisen, das wahlweise die Leistung zwischen der Sockelelektrode 132 und der Gegenelektrode 134 aufteilt. Man nimmt an, dass diese Aufteilung der HF-Leistung das Plasma besser einschließt, da das Plasma mit einer geerdeten Elektrode dazu neigt, sich nach außen aus dem Bereich des Wafers auszubreiten und von den oben beschriebenen fremdabgeschiedenen Metallschichten stärker beeinflusst zu werden. Das Anpassungsnetzwerk 138 erlaubte eine Aufteilung der HF-Leistung zur Sockelelektrode 132 derart, dass sie den Anteil von 30%, 50% oder 70% der Gesamtleistung hat.
- Man möchte, dass CVD-Kammern der in Fig. 1 gezeigten Art, die für die Abscheidung von Dielektrika ausgelegt sind, so angepasst werden, dass sie die Abscheidung metallischer Materialien ermöglichen.
- Diese Kammern sollten deshalb dahingehend verbessert werden, dass sie die Probleme der Plasmainstabilität und Lichtbogenbildung verringern. Weiterhin soll die Häufigkeit für die Routinewartung und -reinigung reduziert werden.
- Diese Erfindung stellt einen Substratbehandlungsreaktor mit einer Reaktorkammer, in der ein Sockel zum Abstützen eines zu behandelnden Substrats auf einer Oberfläche vorgesehen ist, mit einer Gasquelle, die über dem Sockel angeordnet ist, mit einem Absaugpumpkanal, der um den Sockel auf Seiten der Kammer herum angeordnet ist, und mit einem Umfangselement bereit, das auf dem Sockel abgestützt und von ihm thermisch isoliert ist.
- Die Leistung des Reaktors wird dadurch verbessert, dass die Neigung des Abscheidungsgases zur Bildung eines übermäßigen Aufbaus auf dem Abschnitt des Suszeptors, der sich über den Rand des zu behandelnden Substrats hinaus erstreckt, verringert wird. Die Reduzierung der Temperatur eines Umfangselements, das den äußeren Rand des zu behandelnden Substrats umgibt, reduziert den Aufbau. Dies vergrößert die mittlere Anzahl von Wafern zwischen den Reinigungen durch Verbessern der Leistung einer Halbleitersubstrat- Behandlungskammer, beispielsweise einer Kammer zur Abscheidung von Titannitrid.
- Bei einer bevorzugten Ausführungsform der Erfindung hat das Umfangselement einen Umfangsring auf dem Substratträgersockel, der thermisch von dem Sockel und dem zu behandelnden Substrat isoliert ist. Der Umfangsring hat zentrierende Vorsprünge, die sich über den Ring erstrecken und zum Zentrieren des Substrats beitragen, wenn es auf die Oberfläche des Trägersockels abgesenkt wird. Die zentrierenden Vorsprünge bilden eine Reihe von vorspringenden Bestandteilen, die sich nach innen von dem innenseitigen Umfangsrand des dem Substrat zugewandten Rings aus erstrecken. Diese Vorsprünge sind potenziell der einzige Teil des Umfangsrings, der mit dem Substrat in Kontakt steht, wodurch ein Minimum an Oberflächenkontakt (und Potenzial für einen Wärmeübergang durch Leitung) zwischen dem Substrat und dem peripheren zentrierenden Ring bereitgestellt wird.
- Der periphere zentrierende Ring ist von dem Sockel dadurch thermisch isoliert, dass er auf Stiften an nur drei Stellen um den Umfang herum getragen wird, wodurch der Wärmeübergang durch Leitung von dem Sockel zu dem peripheren zentrierenden Ring verringert wird. Die thermische Isolierung von dem Sockel wird weiterhin dadurch erreicht, dass eine Reihe von Isolatorringen oder Strahlungsabschirmungen (beispielsweise zwei) vorgesehen wird, die an der Unterseite des peripheren Rings befestigt sind. Die Strahlungsabschirmungen wirken als Sperren und verhindern die direkte Übertragung von Wärmestrahlung von dem Sockel zu dem peripheren zentrierenden Ring. Die niedrigere Temperatur des peripheren Rings infolge dieser thermischen Isolierung führt zu einer geringeren Rate einer Gasphasenfilmabscheidung auf seiner Oberfläche und erhöht die mittlere Anzahl der Wafer zwischen Reinigungszyklen für die Behandlungskammer. Der gesonderte periphere Ring kann während eines Wartungszyklus der Behandlungskammer leicht entfernt und ausgetauscht werden.
- Der von dem Sockel thermisch isolierte periphere Ring unterliegt einem Aufbau einer statischen Ladung, die zu einer Lichtbogenbildung zu und von dem Wafer und/oder anderen benachbarten Oberflächen führen kann. Zur Erfindung gehört ein Erdungsband zwischen dem peripheren Ring und dem Sockel, um eine Lichtbogenbildung zwischen dem Umfangsring und dem Substrat oder anderen benachbarten Oberflächen auszuschließen. Das Erdungsband ist flexibel und in einem vertieften Schlitz am Umfang des Suszeptors so angebracht, dass das Erdungsband keinen Vorsprung bildet, der sich über den normalen Nennumfang des Suszeptors hinaus erstreckt.
- Die Leistungsfähigkeit wird auch durch Verringern und nahezu Ausschließen der Wahrscheinlichkeit verbessert, dass ein durchgehender leitender Film quer über die isolierenden Elemente in der Kammer gebildet wird. In und zwischen benachbarten Elementen, die unterschiedliche elektrische Potenziale haben, über denen ein leitender Film eine Änderung der Isoliereigenschaften erzeugen könnte, wird ein durchgehender Drosselspalt hergestellt.
- Ein isolierendes Element (Ring) in dem Deckel der Behandlungskammer hat eine Reihe von durchgehenden Drosselspalt-Oberflächenelementen (Nuten), die die Ausbildung eines durchgehenden leitenden Films auf der Oberfläche des isolierenden Elements verhindern. Der auf der Oberfläche ausgebildete Film ist nicht durchgehend und bildet deshalb keine Leiterbahn von der Gasverteilungsstirnplatte/Elektrode zur Masse. Eine elektrische oder Ladungsleitung und/oder eine Leckage zu Erde beseitigt oder reduziert das elektrische Feld, das erforderlich ist, um ein gleichförmiges Plasma zu bilden und um eine gleichförmige Behandlung von Substraten bei aufeinander folgenden Behandlungszyklen bereitzustellen.
- Um die Möglichkeit einer Erdung der den Plasmabereich umgebenden Metallabschirmung zu verringern, wird ein (zweiter) durchgehender Drosselspalt um die Behandlungskammer herum zwischen einem zweiten Abschirmelement und dem Kammerkörper erzeugt. Obwohl er empfindlich für die Ausbildung von leitenden Filmen in ihm ist, verhindert die Breite und die Tiefe des Spalts, dass der Oberflächenfilm eine leitende Brücke oder Verbindung quer über den Spalt oder in dem Spalt bildet.
- Die Leistungsfähigkeit wird weiterhin dadurch verbessert, dass ein elektrisch ungeerdetes leitendes Element vorgesehen wird, das die Plasmastelle umgibt, um den Rand der Plasmaeinhüllung zu stabilisieren. In einem Fall bildet eine Metallabschirmung, die elektrisch nicht eingebunden ist, eine Auskleidung eines Teils einer Wand der Substratbehandlungskammer. Die Abschirmung wird während der Gasphasenabscheidung beschichtet, die Prozessstabilität wird jedoch beibehalten, da die Abschirmung elektrisch von den umgebenden leitenden Elementen isoliert ist. Die Abschirmung bildet eine Sperre für den Durchgang des Plasmas. Die statische Ladung an der leitenden (metallischen) Abschirmung ist gleichförmig über sie verteilt und als Folge ist der Rand der Plasmaeinschließung stabilisiert.
- Eine weitere Verbesserung ist die Verwendung von HF-Leistung, die ausschließlich für die obere Elektrode (die Gasverteilungsplatte) vorgesehen wird, während die untere Elektrode (Suszeptor) geerdet ist. Diese 100% zu 0%-Leistungsaufteilung erweist sich als Verbesserung für die Gleichförmigkeitseigenschaften des Films in einer Kammer zur Ausführung einer TiN-Filmabscheidung.
- Zur Erfindung gehört auch ein Verfahren zur Isolierung eines peripheren Rings in einem Suszeptor, der sich über den Rand des Substrats hinaus erstreckt, wobei das Verfahren die Schritte aufweist, eine Reihe von abstützenden, punktförmigen Teilen von der Oberseite des Suszeptors und einen Strahlungsabschirmring bereitzustellen, der einen Teil des peripheren Rings gegenüber einem direkten Ausgesetztsein des Suszeptors abschirmt. Zu einem weiteren Verfahren gehören die Schritte, ein Erdungsband bereitzustellen, das elektrisch mit dem peripheren Ring verbunden ist, und einen Abschnitt des Erdungsbandes lösbar an dem Suszeptor zu befestigen. Ein weiteres Verfahren der Erfindung umfasst die Schritte, einen Isolatorring bereitzustellen, der wenigstens auf einer Seite der Atmosphäre der Behandlungskammer zwischen einer mit HF-Leistung beaufschlagten Elektrode und einem elektrisch leitenden Element ausgesetzt ist, das ein elektrisches Potenzial hat, das sich von der mit HF-Leistung beaufschlagten Elektrode unterscheidet, und einen durchgehenden Drosselspalt in der Oberfläche des Isolatorelements zu schaffen, die der Atmosphäre der Behandlungskammer zugewandt ist. Ein weiteres Merkmal der Erfindung ist ein Verfahren mit den Schritten, eine Abschirmung, die von einem isolierenden Element in der Behandlungskammer getragen wird, und einen freien Raum zwischen dem inneren Abschirmelement und einer geerdeten Oberfläche bereitzustellen, so dass ein Film, der sich auf der Oberfläche bildet, den Spalt zur Erschaffung einer Leitfähigkeit nicht überbrückt.
- Zur Erfindung gehört auch ein Verfahren zur Bereitstellung von Leistung für eine TiN- Gasphasenabscheidungskammer mit den Schritten, eine Elektrodengasverteilungsplatte mit einer Leistungsquelle und einen der Elektrodengasverteilungsplatte gegenüberliegenden Suszeptor mit der Elektrode auf Massepotenzial zu verbinden.
- Die Erfindung stellt Verbesserungen bereit, die die Möglichkeit einer Lichtbogenbildung zwischen ungeerdeten aufgeladenen Elementen in der Behandlungskammer angrenzend an die Stelle, wo das Plasma gebildet wird, verringern, die Temperatur des peripheren Rings reduzieren, um eine übermäßige Abscheidung an dem Teil des Suszeptors außerhalb des Substrats zu vermeiden, sorgt für ein konstantes Potenzial über dem Substrat, um eine Lichtbogenbildung zwischen seinem peripheren/zentrierenden Ring und dem Suszeptor auszuschließen, schließt die Wahrscheinlichkeit aus oder verringert sie wesentlich, dass ein durch die Gasphasenabscheidung auf den Kammerwänden gebildeter Film zu einer Kurzschlussverbindung zwischen der HF-Elektrode und einem Kammerkörper oder Deckel führt. Zur Erfindung gehört auch das Positionieren eines Metallrings (Verteilerring mit gleichförmigem elektrischem Potenzial) um den Bereich des Plasmas herum, um das Plasma aufzunehmen und dazu beizutragen, es mit einem relativ konstanten Ionenpotenzial quer über den Wafer stabil zu halten.
- Es folgt eine Beschreibung einiger spezieller Ausgestaltungen der Erfindung unter Bezugnahme auf die beiliegenden Zeichnungen, in denen
- Fig. 1 eine Schnittansicht einer CVD-Behandlungskammer nach dem Stand der Technik ist,
- Fig. 2 eine Schnittansicht von Fig. 1 längs 2-2 ist und die Gasstromverteilung über dem behandelten Substrat und den Gasstrom in den Pumpkanal zeigt,
- Fig. 3 schematisch eine Einzelheit der oberen rechten Ecke der in Fig. 1 gezeigten Kammer ist,
- Fig. 4 eine Schnittansicht eines integrierten Schaltungsaufbaus ist, für dessen Herstellung die Vorrichtung der Erfindung verwendet werden kann,
- Fig. 5 wie Fig. 3 einen leitenden Film zeigt, der an den oberen Flächen der Kammer gebildet ist,
- Fig. 6 wie Fig. 3 einen auf dem Pumpkanal abgeschiedenen Film zeigt, der in den dem Plasma in den Kammern ausgesetzten Bereich vorsteht,
- Fig. 7 die Leistungsaufteil-Erregung für TiN-Kammern nach dem Stand der Technik zeigt,
- Fig. 8 eine Schnittansicht einer Behandlungskammer nach der Erfindung ist,
- Fig. 9 eine schematische Schnittansicht der Behandlungskammer von Fig. 8 ist und die Zwischenbeziehung zwischen den elektrischen Potenzialen der Aufbauten nach der Erfindung ist und weitere Bestandteile hervorhebt,
- Fig. 10 eine vergrößerte Ansicht der oberen rechten Ecke von Fig. 8 und 9 ist,
- Fig. 11 eine perspektivische aufgeschnitten Ansicht der Schnittansicht von Fig. 8 ist und die Zwischenbeziehung verschiedener Bauelemente der Erfindung zeigt,
- Fig. 12 wie Fig. 10 den Aufbau eines leitenden Films um einen Pumpkanalauskleidung nach der Erfindung herum zeigt,
- Fig. 13 wie Fig. 10 den Aufbau eines leitenden Films auf dem neuen Isolatorring der Erfindung zeigt, wie er sich aus dem Gas ergeben würde, das sich von der Gasverteilungsstirnplatte zu dem Vakuumabsaugsystem durch den Pumpkanal bewegt,
- Fig. 14 eine Draufsicht auf ein kreisförmiges Substrat ist, das in einem zentrierenden Rings eines Suszeptors nach der Erfindung positioniert ist,
- Fig. 15 eine perspektivische Teilschnittansicht eines zentrierenden Vorsprungs als Teil des zentrierenden Rings nach der Erfindung ist,
- Fig. 16 vergrößert eine Draufsicht auf einen Abschnitt des zentrierenden Rings mit einem in Position befindlichen Substrat längs der Vergrößerung 16-16 von Fig. 14 zeigt,
- Fig. 17 ähnlich zu Fig. 15 ist, jedoch einen Wafer zeigt, der durch den Vorsprung auf den zentrierenden Ring zentriert worden ist,
- Fig. 18 eine perspektivische Teilschnittansicht längs 18-18 von Fig. 14 ist und den zentrierenden Ring, seinen Stiftträger und seine thermisch isolierenden Ringe zeigt,
- Fig. 19 eine Teilschnittansicht des zentrierenden Rings (ohne das vorhandene Substrat) längs 19-19 von Fig. 14 ist und die Befestigungseinrichtung für die thermisch isolierenden Ringe zeigt,
- Fig. 20 eine teilweise geschnittene, auseinandergezogene perspektivische Ansicht von Fig. 14 längs 20-20 ist und das Erdungsband des zentrierenden Rings zeigt, wobei der zentrierende Ring von dem Sockel getrennt dargestellt ist, und
- Fig. 21 ein schematisches Schaltbild einer HF-Leistungseinspeisung zur Erzeugung eines Plasmas in der Behandlungskammer nach der Erfindung zeigt.
- Fig. 8 zeigt einen Querschnitt durch eine Behandlungskammer gemäß einem ersten Aspekt der Erfindung. Ein Sockel 140 trägt einen Wafer 142 auf seiner oberen Fläche 144. In den Prozessgaseinlass 44 eintretendes Gas wird in dem unteren Verteiler 54 verteilt und gelangt in den Bereich der Behandlungskammer durch die Düsen 42 in dem Duschkopf 40.
- Das Behandlungsgas strömt dann, wie in Fig. 2 gezeigt, radial nach außen über den Rand des Wafers 142, über einen in Fig. 8 gezeigten peripheren zentrierenden Ring 146, der in einer Ringleiste 148 angeordnet ist, die im oberen Umfang des Sockels 140 aufgenommen ist. Von dort strömt das Prozessgas durch eine Drosselöffnung 150, die zwischen dem Boden eines modifizierten ringförmigen Isolators 142 und der Oberseite eines modifizierten Kammerwandeinsatzes 154 gebildet wird, und in einen modifizierten Pumpkanal 160. Der gezeigte Kammerwandeinsatz 154 hat einen durch ihn und durch den Hauptkammerkörper 72 hindurchgehenden abdichtbaren Durchlass 156 für ein nicht gezeigtes Robotblatt zur Überführung von Wafern in den Reaktor und aus ihm heraus.
- Wenn das Gas einmal in den Pumpkanal 160 eintritt, wird es um den Umfang der Prozesskammer herum ähnlich wie bei dem in Fig. 1 und 2 gezeigten Pumpkanal 60 nach dem Stand der Technik zum Abführen durch das Vakuumpumpsystem 82 herumgeführt, das mit der Behandlungskammer verbunden ist.
- Die gleiche Gesamtkammer ist in Fig. 9 dargestellt, wobei andere Aspekte der Erfindung hervorgehoben werden. Die vergrößerte Schnittansicht von Fig. 10 umfasst die erfindungsgemäßen Aspekte sowohl von Fig. 8 als auch von Fig. 9.
- Der insgesamt dargestellte Kammereinsatz 154 hat einen L-förmigen isolierenden Keramikring 164, der auf der Innenseitenleiste 70 des Hauptkammerkörpers 72 sitzt, sowie eine ringförmige oder bandförmige Abschirmung 166, die auf einer Innenseitenleiste 168 des L-förmigen Rings 164 sitzt und einen Abstand vom Sockel 140 und dem zentrierenden Ring 146 durch einen kleinen Spalt hat. Keramische Kammerauskleidungen sind an sich bekannt und beispielsweise in dem US-Patent 5,366,585 von Robertson et al. beschrieben. Die Bandabschirmung 166 ist vorzugsweise aus einem Metall, wie Aluminium, hergestellt und erstreckt sich vertikal nach oben im Wesentlichen über die Oberseite des L-förmigen Keramikrings 164 und in einem geringeren Ausmaß über die tragende Fläche 144 des Sockels 140.
- Der ringförmige Pumpkanal 160 hat Seiten, die insgesamt von der Bandabschirmung 166, dem L-förmigen Ring 164, Auskleidungen 170, 172, die vor dem Hauptkammerkörper 72 und dem Deckelrand 66 angeordnet sind und von dem Isolator 152 gebildet werden, wobei die Drosselöffnung 150 zwischen dem Isolator 152 und der bandförmigen Abschirmung 166 ausgebildet ist. Die Deckelauskleidung 170 ist jedoch auf der Seite des Pumpkanals 160 angeordnet, die dem Deckelrand 66 zugewandt ist, und entspricht seiner Form. Die Kammerauskleidung 172 ist auf der Seite des Pumpkanals 160 angeordnet, die dem Hauptkammerkörper 72 zugewandt ist. Die beiden Auskleidungen 170, 172 bestehend vorzugsweise aus einem Metall, wie Aluminium, und sind kugelgestrahlt, um das Anhaften eines darauf abgeschiedenen Films zu steigern. Die Deckelauskleidung 170 ist lösbar an dem Deckelrand 66 durch eine Anzahl von Stiften 174 befestigt und elektrisch am Deckelrand 66 geerdet. Die Kammerauskleidung 172 wird jedoch an einer Leiste 176 gehalten, die an der äußeren Oberseite des L-förmigen Keramikrings 164 ausgebildet ist, und ist genau ausgebildet, so dass sie einen solchen Durchmesser hat, dass ein radialer Spalt 178 zwischen der Kammerauskleidung 172 und dem Hauptkammerkörper 72 sowie ein axialer Spalt 180 zwischen der Deckel- und Kammerauskleidung 170, 172 gebildet wird. D. h., die Kammerauskleidung 172 ist elektrisch ungeerdet.
- Die Bandabschirmung 166 und die Deckel und Kammerauskleidung 170, 172 sind in ihrer Größe als ein Satz bemessen. Die Bandabschirmung 166 ist ringförmig mit einem Hauptdurchmesser d&sub1; um die Mitte des Sockels 140 herum. Die Kammerauskleidung 172 ist ebenfalls ringförmig und hat die Form eines Bandes, das sich axial längs der Mittellinie des Sockels 140 erstreckt und einen Hauptdurchmesser d&sub2; hat, der größer als d&sub1; ist. Die Deckelauskleidung 170 ist ebenfalls ringförmig und hat eine L-Form, deren langer Schenkel sich radial von d&sub1; nach d&sub2; und deren kurzer Schenkel sich axial bei d&sub2; erstreckt.
- Fig. 11 zeigt teilweise geschnitten und perspektivisch den Sockel 140, den zentrierenden Ring 146 und die Auskleidungen 170, 172 sowie die Abschirmungen 152, 166, die den Pumpkanal 160 umgeben. Diese Figur zeigt deutlich den Strom des Behandlungsgases aus den Düsen 42 des Duschkopfs 40 heraus zum Wafer 142 hin sowie den anschließenden, radial auswärts gerichteten Strom 84 über den Wafer 142 und dann über den zentrierenden Ring 146. Danach werden die Gasströme nach oben über die Oberseite der bandförmigen Abschirmung 166 in den Pumpkanal 160 abgelenkt und strömen in dem Pumpkanal 160 längs eines Umfangs 86 zu der Vakuumpumpe.
- Die Erörterung des Pumpkanals wird vervollständigt, bevor erneut der zentrierende Ring erläutert wird.
- Wie besonders deutlich in Fig. 10 gezeigt ist, sind der Pumpkanal 160 und seine Bauelemente so ausgelegt, dass die Wirkung eines abgeschiedenen leitenden Films auf die Erregung eines Plasmas in dem Behandlungsraum 56 und in seiner Nähe auf ein Minimum reduziert wird.
- Da die bandförmige Abschirmung 166 über das Niveau des Wafers 172 des größten Teils des über ihn fließenden Gases ansteigt, wird im Strömungsmuster am Boden des Pumpkanals 160 angrenzend an eine Oberseite 186 des L-förmigen Rings 164, wo er auf die bandförmige Abschirmung 166 trifft, ein Totraum 184 erzeugt. Obwohl sich Metall auf dem oberen Teil der bandförmigen Abschirmung 166 abscheiden kann, gewährleistet der Totraum 184 im Ergebnis, dass sich keine merkliche Metalldicke um die Rückseite der bandförmigen Abschirmung 166 herum abscheidet, und insbesondere sich eine unzureichende Metallmenge für die Überbrückung eines Spalts 188 abscheidet, der zwischen der bandförmigen Abschirmung 166 und der Oberseite 186 des L-förmigen Isolierrings 164 gebildet wird. Als Folge bleibt die bandförmige Abschirmung 166, auch wenn sie leitend ist, bezüglich des Sockels 140 und des Hauptkammerkörpers 72 elektrisch ungeerdet. Die Bandabschirmung 166 hat abgerundete Enden 167, um die Möglichkeit der Lichtbogenbildung zu reduzieren.
- Wie in Fig. 12 gezeigt ist, kann das Behandlungsgas längs eines Wegs 190 in dem Pumpkanal 160 durch den axialen Spalt 180 an der Oberseite der Kammerauskleidung 172 strömen und dann einen leitenden Film 192 in dem axialen Spalt 180 und in dem radialen Spalt 178 auf der Rückseite der Kammerauskleidung 172 abscheiden. Da beide Spalte 178, 180 Toträume sind, ist es unwahrscheinlich, das sich eine ausreichende Stärke abscheidet, die jeden Spalt 178, 180 überbrücken könnte, und, selbst wenn dies der Fall wäre, würde jeder Kurzschluss über dem Spalt nur die Kammerauskleidung 172 erden. In dem Pumpkanal 60 wäre ein weiterer Fremdfilm erforderlich, um die Erdung von dem Hauptkammerkörper 72 nahe genug zum Behandlungsraum 56 zu bringen, damit die Plasmafelder merklich beeinflusst würden. Unten am Bodenende des radialen Spalts 178, wo eine Abscheidung, wenn sie auftritt, eine Brücke zwischen der Kammerauskleidung 172 und dem Hauptkammerkörper 72 erzeugen könnte, bewegt sich nur sehr wenig Gas, wenn überhaupt. Da die Kammerauskleidung 172 auf einer außenseitigen Leiste 176 des isolierenden L-förmigen Rings 164 angebracht ist, müsste ein leitender Film den Spalt zwischen dem L-förmigen Ring 164 und dem Hauptkammerkörper 72 auffüllen, damit sich die Erde des Hauptkammerkörpers 72 zur bandförmigen Abschirmung 90 erstrecken könnte.
- Wie in Fig. 13 gezeigt ist, hat ein leitender Fremdfilm 120, der auf dem isolierenden Keramikisolator 152 auf den Oberflächen in dem Pumpkanal 160 und in seiner Nähe abgeschieden ist, das Potenzial zur Verlängerung der Erdungsebene des Deckelrandes 66 zu der Fläche angrenzend an den vorgespannten Duschkopf 140, um die elektrischen Felder des Plasmas merklich zu stören und vielleicht sogar den vorgespannten Duschkopf 140 über den Isolator 152 mit dem Kammerdeckelrand 66 kurzzuschließen. Wie jedoch deutlich in Fig. 10 gezeigt ist, ist der L-förmige Isolator 152 an der äußeren Seite des unteren Teils seiner nach unten hängenden inneren Schürze 203 mit zwei tiefen Ringnuten, 205, 207 versehen, die Breiten haben, die ausreichen, um zu gewährleisten, dass der abgeschiedene Film 120 die Nuten 205, 207 nicht überbrückt. Die Nuten 205, 207 sind auch tief genug, dass an ihrem Boden ein Totraum auftritt, so dass, auch wenn etwas Abscheidung unvermeidbar ist, diese keinen durchgehenden Film an den Innenflächen der Nuten 205, 207 bildet. Zusätzlich sind die Öffnungen der Nuten 205, 207 in den Pumpkanal 190 insgesamt abgerundet, um eine Lichtbogenbildung aufgrund irgendeines Aufbaus eines leitenden Films zu unterbinden. Als Beispiel für die Abmessungen können die Nuten 205, 207 eine Breite von 40 bis 80 mils (1 bis 2 mm) und eine Tiefe von 100 bis 175 mils (2,5 bis 4,6 mm) für den Fall haben, dass der Isolator 152 eine Breite in der Schürze 203 von 200 bis 400 mils (5 bis 10 mm) hat. Auch wenn der Fremdfilm 120, wie in Fig. 13 gezeigt, sich auf dem Isolator 152 abscheidet, bildet er bei diesem Aufbau keinen durchgehenden leitenden Film. Dadurch wird weder der Duschkopf 140 kurzgeschlossen, noch ergibt sich angrenzend an den Duschkopf 140 eine äußere Erdungsebene.
- Die Deckelauskleidung 170, wie sie in Fig. 10 gezeigt ist, ist metallisch und ist sowohl thermisch als auch elektrisch mit dem Deckelrand 66 verbunden, wodurch effektiv eine Verlängerung davon gebildet wird und wegen seiner entfernt liegenden Stellung das Plasma in dem Behandlungsbereich 56 nicht leicht beeinflusst. Jede Metallabscheidung an der Deckelauskleidung 170 beeinflusst das Plasma so lange nicht, wie das Metall sich nicht über den Isolatorring 152 erstreckt. In jedem Fall ist die Deckelauskleidung 170 mittels der Befestigungseinrichtung 174 leicht entfernbar, wenn sie übermäßig beschichtet wird.
- Es wird nun der Zentrierring erläutert.
- Der Zentrierring 146 erfüllt zwei Funktionen. Er sorgt für eine genaue Zentrierung des Wafers 142 auf dem Sockel 140, wenn der Wafer 142 in die Kammer und auf den Sockel 140 durch ein Robotblatt überführt worden ist, das sich durch den Zugangsdurchlass 156 von Fig. 8 bewegt. Diese Funktion mischt sich mit einer Haltefunktion, bei welcher der Umfangsring 146 als Haltering wirkt, um den Wafer 142 in seiner Öffnung zu halten. Zusätzlich wirkt der Zentrierring 146 als thermische Abdeckung für den Teil des Sockels 140, der außerhalb des Wafers 142 freiliegt. Insbesondere sind seine thermischen Eigenschaften hinsichtlich des vorgesehenen Prozesses so ausgelegt, dass der Zentrierring 146 thermisch bezüglich des erhitzten Sockels 140 schwebt und verglichen mit dem Wafer 142 relativ kühl sowie beträchtlich kühler als der darunter liegende Sockel 140 bleibt, so dass wenig Material auf ihm während der CVD-Behandlung abgeschieden wird.
- Zuerst werden die Zentrierfunktion und der Aufbau erklärt, der verwendet wird, um diese Funktion zu erzielen.
- Der Zentrierring 146, wie er in der Draufsicht in Fig. 14 und in einer geschnittenen perspektivischen Ansicht in Fig. 15 gezeigt ist, hat eine ebene, ringförmige obere Fläche 190 und innerhalb und unterhalb dieser Fläche 190 eine Ringleiste 192, die so bemessen ist, dass sie mit einem dünnen Spalt zwischen ihr und dem Wafer 142 dem Wafer 142 nahe zugewandt ist, um eine thermische Isolation, nicht jedoch eine Sperre für den Gasstrom, zu schaffen. Der in Fig. 14 gezeigte Wafer 142 ist im Wesentlichen kreisförmig, wie dies auch der Zentrierring 146 ist. Wenn jedoch der Wafer mit einer großen Ausrichtabflachung an einem Rand versehen ist, sollte die Innenseite des Zentrierrings 146 so geformt sein, dass sie an die Abflachung angepasst ist. Wie in Fig. 15 gezeigt ist, steigt eine Stufenwand 194 von der Leiste 192 zu der ebenen oberen Fläche 190 des Zentrierrings 146 an. Die Höhe der Stufenwand 194 entspricht der Dicke des Wafers 142 oder ist etwas größer als diese, so dass die obere Seite des Wafers 142, die auf der Oberfläche der Leiste 192 getragen oder leicht über der Oberfläche der Leiste 192 kragarmartig angeordnet ist, mit der oberen Fläche 190 des Zentrierrings gleich ist.
- Wie ferner in der vergrößerten Draufsicht von Fig. 16 gezeigt ist, ist eine Reihe von sechs Zentriervorsprüngen 200 mit 60º-Intervallen um den Zentrierring 146 herum bezüglich eines Zentrums 201 des Sockels 140, der zu dem Zentrierring 146 ebenfalls konzentrisch ist, gleich verteilt. Die Zentriervorsprünge 200 gehen von der Leiste 192 nach oben ab, stehen jedoch von der Stufenwand 194 nur zu einem Teil vor. Die Vorsprünge haben eine zylindrische Basis 202 und darüber einen Kegelstumpf 204, wobei sich die Trennlinie 203 etwas unter der ebenen oberen Fläche 190 des Zentrierrings befindet, so dass der Kegelstumpf 204 über die ebene obere Fläche 190 vorsteht. Auch wenn der Zentriervorsprung in diesen einfachen geometrischen Formen ausgebildet ist, sind sowohl die konvexen als auch konkaven Kanten des Vorsprungs 200 geglättet, um jede Lichtbogenbildung oder ein Abschuppen des Wafers zu reduzieren. Ähnliche Zentrierstifte wurden, jedoch in einer Anordnung im Sockel, von Lei et al. in dem US-Patent 5,516,367 offenbart.
- Der Zentrierring 146 wird auf dem Sockel 140 durch mechanische Einrichtungen getragen, die später beschrieben werden. Wenn das Robotblatt einen Wafer 142 in die Kammer überführt, werden sowohl der Sockel 140 als auch der Hubring 39 von Fig. 1 und 8 aus diesem Weg abgesenkt. Der Hubring 39 steigt dann hoch, um die Hubstifte 38 aus dem Sockel 140 aus eine ausreichende Höhe anzuheben, damit ihre konischen Köpfe den Wafer 142 etwas von dem Robotblatt heben. Das Robotblatt wird dann zurückgezogen, und der Sockel 140 und der festgelegte Zentrierring 146 werden so angehoben, dass die den Wafer 142 tragenden Hubstifte 38 sich in wirksamer Weise zu der tragenden Oberfläche 144 des Sockels 140 zurückziehen.
- Wenn jedoch der Wafer 142 nicht genau bezüglich des Sockelzentrums 201 zentriert ist, wenn er sich dem Sockel 140 nähert, trifft er zuerst auf einen oder zwei der Zentriervorsprünge 200 auf ihren konischen Oberseiten 204. Die sich verjüngenden Flächen der konischen Oberseiten 204 üben eine ausreichende seitliche Kraft auf den Wafer 142 aus, so dass er sich zu der Mitte 201 des Sockels 140 hin verschiebt, wodurch der Wafer 142 zentriert wird. Bei dem weiteren Absenken bezüglich des Sockels 140 wird der Wafer 142, wie in der teilweise geschnittenen perspektivischen Ansicht von Fig. 17 gezeigt ist, in einer zentrierten Position innerhalb der zylindrischen Basen 202 aller Zentriervorsprünge 200 platziert.
- Der Wafer 142 ist gegenüber dem Zentrierring 146 so stark wie möglich thermisch isoliert. Da die zylindrischen Basen 202 der Vorsprünge 200 nur teilweise in den Bereich der Leiste 192 vorstehen, wird, wie in Fig. 17 gezeigt ist, ein Spalt 206 zwischen dem abgeschrägten Rand des Wafers 142 und der Stufenwand 194 des Zentrierrings gebildet. Ferner ist der Ort der extremen radial inneren Positionen der zylindrischen Basen 202 der Vorsprünge 200 so bemessen, dass er etwas größer ist als der Durchmesser des Wafers 142, so dass bei dieser Auslegung ein dünner Spalt 208 zwischen dem Waferrand und den zylindrischen Basen 202 vorhanden ist. Wegen der Zentrierwirkung an einem fehlausgerichteten Wafer kann jedoch der Wafer 142 mit einem oder zwei der Zentriervorsprünge 200 in Kontakt kommen. Jeder sich ergebende Kontakt ist jedoch nur eine dünne vertikale Linie, auf der der zylindrische Wafer 142 mit der Basis 202 des zylindrischen Vorsprungs in Kontakt steht, wodurch der Wärmeübergang durch Leitung auf ein Minimum reduziert wird.
- Während der CVD-Behandlung wird der Wafer 142 durch den Einfluss der Schwerkraft auf dem Sockel 140 getragen, jedoch wird die Höhe der oberen Fläche der Leiste 194 des Zentrierrings 146 straff gesteuert, so dass sie sich etwas unter der effektiven tragenden Fläche 144 des Sockels 140 befindet und der Waferrand über die obere Fläche der Leiste 192 mit einem dünnen Spalt dazwischen kragt. Der Spalt zwischen dem Waferrand und der Leiste 192 ist bei den Betriebsabscheidungsdrucken groß genug, um eine gute thermische Isolation zu geben, jedoch klein genug und lang genug, um einen ausreichenden aerodynamischen Widerstand zur Unterbindung eines Stroms des Abscheidegases zu der Rückseite des Wafers zu bieten. Ferner ist der Spalt dünn genug, um Plasma abzuhalten, in den Spalt einzutreten und einen Lichtbogen zu bilden.
- Aufgrund des folgenden Aufbaus ist der Zentrierring 146 nicht nur gegenüber dem Wafer 142, sondern auch gegenüber dem Sockel 140 thermisch isoliert.
- Die thermische Isolation des Zentrierrings 146 gegenüber dem Sockel 140 wird auf zwei Arten erreicht. Der Zentrierring ist vorzugsweise aus Aluminium oder aus mit Nickel beschichtetem rostfreiem Strahl zusammengesetzt. Wie am besten in der perspektivischen Ansicht von Fig. 18 gezeigt ist, wird der Zentrierring 146 über der Umfangsleiste 148 des Sockels 140 durch drei in gleichem Abstand zueinander angeordneten Tragstiften 210 getragen, die in der Leiste 148 des Sockels 140 festgelegt sind und davon aus nach oben mit einer genauen Höhe vorstehen. Die Tragstifte 210 bilden in effektive Weise Punktkontakte zwischen dem Sockel 140 und dem Zentrierring 146 aufgrund ihres sehr kleinen Querschnitts, verglichen mit der Fläche des Zentrierrings 146. Die Tragstifte 210 bestehen vorzugsweise aus Keramik und einem Metall mit einer niedrigen Wärmeleitfähigkeit, beispielsweise rostfreiem Stahl. Sowohl die geringe Größe der Tragstifte 210 als auch ihre niedrige Wärmeleitfähigkeit minimieren die Wärmeleitung zwischen dem Sockel 140 und dem Zentrierring 146. Die Tragstifte 210 passen lose in entsprechende radiale Schlitze 212, die sich von dem Boden einer äußeren ringförmigen Basis 214 des Zentrierrings 146 aus erstrecken, und tragen den Zentrierring 146 in einer genau eingestellten Höhe über der Sockelleiste 148. Die radial langgestreckte Form der Schlitze 212 ermöglicht eine unterschiedliche Wärmeausdehnung zwischen dem Zentrierring 146 und dem Sockel 140.
- Der Wärmeübergang durch Strahlung und Konvektion zwischen dem Boden des Zentrierrings 146 und dem Sockel durch einen Stapel von thermisch isolierenden Ringen 216, 218 wird auf ein Minimum reduziert, die zwischen einer Bodenfläche eines nach innen vorstehenden Randes 220 des Zentrierrings 146 und der Leiste 148 des Sockels 140 im Abstand angeordnet sind. Die thermisch isolierenden Ringe 216, 218 bestehen vorzugsweise aus Keramik oder einem anderen Material mit niedriger Wärmeleitfähigkeit, beispielsweise rostfreiem Stahl, um den Wärmeübergang durch Leitung durch sie hindurch zu reduzieren.
- Wie in der geschnittenen perspektivischen Ansicht von Fig. 19 gezeigt ist, sind die thermisch isolierenden Ringe 216, 218 an dem Boden des vorstehenden Randes 220 des Zentrierrings 146 durch eine Reihe von Befestigungseinrichtungen 224 festgelegt, beispielsweise durch Schrauben oder Nieten, die an dem Zentrierring 146 angeordnet sind, wie es in der Draufsicht von Fig. 14 gezeigt ist. Die Befestigungseinrichtungen 224 sind so angeordnet, dass zwischen dem Paar von Ringen 216, 218 und sowohl der Basis 214 des Zentrierrings 146 als auch der Leiste 148 des Sockels 140 Spalte gebildet werden. Die konischen Köpfe 225 der Schrauben-Befestigungseinrichtungen 224 sind in Sacklöchern 226 am Boden des unteren Rings 218 aufgenommen, so dass eine glatte Oberfläche vorhanden ist. Die zwei Ringe 216, 218 sind voneinander und von dem vorstehenden Rand 220 des Zentrierrings 146 entweder durch thermisch isolierende Distanzstücke 227 oder durch distanzierende Erhebungen 228, wie es in Fig. 20 gezeigt ist, getrennt, wodurch ein Spalt 229 zwischen den Ringen 216, 218 sowie ein Spalt 229A zwischen den Ringen und dem Vorsprung 220 des Zentrierrings 146 gebildet werden. Diese verschiedenen Spalte veranlassen die Ringe 216, 218 zu einem thermischen Schweben, damit der Zentrierring 146 von dem Sockel 140 thermisch in wirksamerer Weise getrennt wird. Es sind zwei solche Ringe gezeigt, die den Wärmeübergang durch Strahlung um 65% verringern, während drei Ringe eine Reduzierung um 75% ergeben.
- Diese unterschiedlichen thermischen Isolationseinrichtungen wurden in einem Prototypreaktor während einer normalen CVD-Behandlung der vorher beschriebenen Art geprüft. Bei diesen Versuchen wurde die Temperatur des Sockels 140 zu 430ºC, die Temperatur des Wafers 142 zu 360ºC, die Temperatur des Zentrierrings 146 jedoch nur zu 290ºC gemessen. Bei 360ºC wird eine zufriedenstellende thermische Abscheidung von TiN auf dem Wafer 142 erreicht, bei 290ºC scheidet sich jedoch auf dem Zentrierring 146 von dem gleichen Material nur wenig oder gar nichts ab. Diese Temperaturunterschiede werden von einem Duschkopf 146 gesteuert, der auf etwa 100ºC bleibt, sowie durch weitere thermische Leckagen zur Seite hin.
- Die vielen verwendeten Einrichtungen zum thermischen Isolieren des Zentrierrings 146 tendieren auch dazu, ihn elektrisch zu isolieren. Als Folge tendiert er dazu, dass er bei Vorhandensein eines Plasmas in dem Behandlungsraum 56 elektrisch aufgeladen wird. Eine solche elektrische Aufladung muss vermieden werden, da sie eine Lichtbogenbildung zwischen dem Zentrierring 146 und dem Wafer 142 erzeugen kann, was an dem Wafer einen direkten Schaden bewirkt. Eine Lichtbogenbildung zu irgendeiner anderen Stelle hin erzeugt Teilchen, die sich auf dem Wafer absetzen und Fehler erzeugen können. Der Zentrierring 146 und der Sockel 142 sollen deshalb auf dem gleichen elektrischen Potenzial gehalten werden.
- Ein Aufbau zur Befestigung des Potenzials des Zentrierrings 146 auf das des Sockels 140 ist ein dünnes, massives, flexibles Masseband 230, das in der perspektivischen Schnittansicht von Fig. 20 gezeigt ist. Das Masseband 230 besteht aus einer dünnen Lasche 232 aus einem elektrisch leitenden und mechanisch weichen Metall, wie Aluminium, das permanent mit der Basis 214 des Zentrierrings 146 durch eine Schweißung 234 verbunden ist. Die Dicke der Metalllasche 232 ist gering genug, dass sie nur wenig Wärme leitet und den Zentrierring 146 mechanisch nicht trägt.
- Der Sockel 140 ist an seinem Umfang mit einem flachen, sich axial erstreckenden Schlitz 236 versehen, wobei ein tieferer Schlitzabschnitt 238 an seinem Boden ausgebildet ist. Die Lasche 232 ist an ihrem unteren Teil in einen Z-förmigen Abschnitt 238 gebogen, so dass der obere Teil der Lasche 232 in den flachen Schlitz 236 und der Z-förmige Abschnitt 238 in den tieferen Schlitzabschnitt 238 passt. Für den Durchgang einer Schraube 244 ist ein Loch 242 in dem ganz unten befindlichen Teil der Lasche 232 ausgebildet, wobei die Schraube 244 in ein entsprechendes Loch in dem Sockel 140 innerhalb des tieferen Schlitzabschnitts 238 geschraubt und dadurch die elektrische Erdung vervollständigt wird. Der flache Schlitz 236 umschließt sowohl die Lasche 232 als auch den Kopf der Schraube 244, so dass ein Umfangsnennumriss 246 des Sockels 140 aufrechterhalten wird. Der flache Schlitz 236 und das Masseband 230 sind so gestaltet, dass jede unterschiedliche Bewegung aufgrund von Temperaturunterschieden zwischen dem Sockel 140 und dem Zentrierring 146 ohne Störung zwischen den Teilen aufgenommen wird, während zwischen dem Zentrierring 146 und dem Sockel 140 eine elektrische Kontinuität aufrechterhalten wird.
- Fig. 21 zeigt eine Ausgestaltung nach der vorliegenden Erfindung mit der HF- Leistungseinspeisung, die mit der von Fig. 7 zu vergleichen ist. Hier gibt es während der Plasmabehandlung, die in Verbindung mit der thermischen TDMAT-Abscheidung von TiN zum Einsatz kommt, keine Leistungsaufteilung. Stattdessen wird die Sockelelektrode 132 auf Massepotenzial gehalten, und nur die obere Elektrode 134 wird durch einen HF-Generator 250 mit einer festen Anpassungsschaltung 252 mit Leistung beaufschlagt. Die in dem Pumpkanal und in dem geerdeten Zentrierring der Erfindung verwendeten Auskleidungen stabilisieren des Plasma 254 ausreichend, so dass eine Leistungsaufspaltung zwischen den Elektroden 132, 134, wie sie vorstehend erforderlich ist, nicht länger benötigt wird. Vorzugsweise wird keine Vorspannung an den Sockel 132, der die Elektrode trägt, angelegt, da jede HF- Vorspannung dazu neigt, den Wafer elektrisch aufzuladen und ihn zum Entladen zu benachbarten Punkten zu induzieren, was einen direkten Schaden oder Teilchen verursacht.
- Die Pumpkammerauskleidungen und der Zentrierring der Erfindung können leicht durch neue oder sanierte Bauteile ausgetauscht werden, wenn sich auf ihnen unvermeidbar Filme, insbesondere leitende Filme, ausbilden. Eine Überprüfung in einer realistischen Betriebsumgebung hat jedoch gezeigt, dass auch nach 3000 Wafern die neue Konstruktion das Abscheiden bis zu einem Punkt auf ein Minimum reduziert hat, dass sie nicht ausgetauscht zu werden brauchen. Somit reduzieren einige relativ einfache Verbesserungen an der peripheren Ausrüstung für den Sockel, die alle innerhalb der Grenzen der vorhandenen Kammer von Fig. 1 liegen, die Stillstandszeit des CVD-Systems beträchtlich, während überlegene Plasmazustände bereitgestellt werden.
- Obwohl die Erfindung unter Bezug auf eine thermische CVD von TiN, gefolgt von einer Plasmabehandlung, beschrieben wurde, lässt sich die Erfindung natürlich bei jedem Prozess verwenden, bei dem die gleiche Kammer für eine thermische Metallabscheidung und einen anderen Plasmaprozess zum Einsatz kommt. Beispielsweise kann die Titanschicht 104 durch einen Plasmaprozess abgeschieden werden, der TiCl&sub4; als Vorläufer und den thermischen TDMAT-Prozess für die TiN-Schicht verwendet. Der Prozess kann auch in vorteilhafter Weise bei der CVD von leitenden Metalloxiden Verwendung finden, beispielsweise von Perowskiten mit Lanthanoxid. Die Kombination der Abscheidung von leitenden Metallen und eines Plasmaschritts würde noch die potenziellen Probleme eines thermischen Prozesses mit der Abscheidung von Fremdmetallschichten haben, die den Plasmaprozess beeinflussen könnten. Die Erfindung ist natürlich auf viele andere Arten von Metall-CVD-Prozesse anwendbar und sollte bei der dielektrischen CVD und anderen Plasmaanwendungen zweckmäßig sein.
Claims (22)
1. Plasmareaktor zum Behandeln eines Substrats
- mit einer Reaktionskammer (72), in der ein Sockel (140) zum Abstützen eines
zu behandelnden Substrats (142) auf einer Oberfläche vorgesehen ist,
- mit einer Gasquelle (42), die über dem Sockel angeordnet ist,
- mit einem Absaugpumpkanal (184), der um den Sockel auf Seiten der
Kammer herum angeordnet ist, und
- mit einem Umfangselement (146), das auf dem Sockel abgestützt und von ihm
thermisch isoliert ist.
2. Plasmareaktor nach Anspruch 1, dadurch gekennzeichnet, dass das
Umfangselement auf dem Sockel durch eine Anzahl von Punktkontakten (210) abgestützt ist.
3. Plasmareaktor nach Anspruch 2, dadurch gekennzeichnet, dass die Anzahl drei ist.
4. Plasmareaktor nach Anspruch 2, dadurch gekennzeichnet, dass die Pumpkontakte
Stifte (210) aufweisen, die in dem Sockel festgelegt sind und das Umfangselement
schwebend abstützen.
5. Plasmareaktor nach Anspruch 4, dadurch gekennzeichnet, dass das
Umfangselement an seinem Boden ausgebildete radiale Nuten (212) für die Aufnahme der Stifte
aufweist.
6. Plasmareaktor nach Anspruch 1, dadurch gekennzeichnet, dass das
Umfangselement in einer Umfangsnut (148) in dem Sockel abgestützt ist.
7. Plasmareaktor nach Anspruch 1, welcher weiterhin wenigstens ein Isolierelement
(216, 218) aufweist, das zwischen dem Umfangselement und dem Sockel angeordnet
ist.
8. Plasmareaktor nach Anspruch 7 mit wenigstens zwei Isolierelementen, die Spalte
(229, 229A) aufweisen, die zwischen ihnen und zwischen dem obersten von ihnen
und dem Umfangselement ausgebildet sind.
9. Plasmareaktor nach Anspruch 6, dadurch gekennzeichnet, dass das
Umfangselement Zentriervorsprünge (200) zum Zentrieren des Substrats auf dem Sockel hat.
10. Plasmareaktor nach Anspruch 1, welcher weiterhin eine elektrische Verbindung (232)
zwischen dem Umfangselement und dem Sockel aufweist.
11. Plasmareaktor nach Anspruch 10, dadurch gekennzeichnet, dass die elektrische
Verbindung das Umfangselements auf dem Sockel nicht statisch gesichert abstützt.
12. Plasmareaktor nach Anspruch 1, welcher wenigstens eine entfernbare Auskleidung
(170, 184) aufweist, die an Wänden des Pumpkanals angeordnet ist.
13. Plasmareaktor nach Anspruch 1, dadurch gekennzeichnet, dass zwischen einem
Hauptkammergehäuse des Reaktors und einem Deckel (40) des Reaktors ein im
Wesentlichen durchgehender Kanal (150) ausgebildet ist.
14. Plasmareaktor nach Anspruch 13, dadurch gekennzeichnet, dass der Kanal eine
Drosselstelle für das Behandlungsgas bildet, das aus der Hauptbehandlungskammer
in den Pumpkanal strömt.
15. Plasmareaktor nach Anspruch 13, dadurch gekennzeichnet, dass der Deckel eine
dem Sockel gegenüberliegende, mit einer Vorspannung beaufschlagbare Elektrode
(40) hat und weiterhin ein Isolatorelement (152) aufweist, das die mit einer
Vorspannung beaufschlagbare Elektrode umgibt, um sie gegenüber dem
Hauptkammergehäuse elektrisch zu isolieren, wobei das Isolatorelement einen Teil eines
Wandabschnitts des Pumpkanals bildet und Nuten (205, 207) aufweist, die darin ausgebildet
und dem Pumpkanal zugewandt sind.
16. Plasmareaktor nach Anspruch 12, dadurch gekennzeichnet, dass wenigstens eine
(172) der entfernbaren Auskleidungen elektrisch isoliert ist.
17. Plasmareaktor nach Anspruch 12, dadurch gekennzeichnet, dass wenigstens eine
(170, 172) der entfernbaren Auskleidungen ein Metall aufweist.
18. Zweistufiges Verfahren, das an einem in einer CVD-Reaktionskammer angeordneten
Substrat ausgeführt wird, mit den Schritten:
- Abstützen eines Substrats (142) auf einem Sockel (140) innerhalb eines
Umfangsrings (146), der auf einem oberen Umfang des Sockels angeordnet ist
und zwischen dem Umfangsring und dem Sockel Wege mit verringerter
Wärmeleitung aufweist,
- Ausführen einer ersten Behandlung an dem Substrat durch
-- Ausströmenlassen eines ersten Gases gegen das Substrat aus einer
perforierten Platte (40), die dem Substrat gegenüber angeordnet ist,
-- Abführen des ersten Gases aus einem Reaktionsraum (56) über dem
Sockel radial nach außen über das Substrat und über den Umfangsring
zu einem ringförmigen Pumpkanal (184), und
- Ausführen einer zweiten Behandlung an dem Substrat durch
-- Ausströmenlassen eines zweiten Gases gegen das Substrat aus der
perforierten Platte und
-- Abführen des zweiten Gases aus dem Reaktionsraum radial über das
Substrat und über den Umfangsring zu dem ringförmigen Pumpkanal,
- wobei eine der beiden Behandlungen ein thermischer Prozess ist, zu dem das
Erhitzen des Sockels auf eine erste Behandlungstemperatur gehört, um eines
der Gase zu veranlassen, mit einer Oberfläche des Substrats zum Abscheiden
eines leitenden Films auf ihr thermisch reagieren zu lassen, und
- wobei die andere der beiden Behandlungen ein Plasmaprozess ist, zu dem
das Ausströmenlassen des anderen der Gase in ein Plasma zur Einwirkung
auf das Substrat gehört.
19. Verfahren nach Anspruch 18, dadurch gekennzeichnet, dass bei der anderen
Behandlung ein zweiter leitender Film auf dem Substrat abgeschieden wird.
20. Verfahren nach Anspruch 18, dadurch gekennzeichnet, dass bei der ersten
Behandlung ein leitender Film auf dem Substrat abgeschieden und bei der zweiten
Behandlung der Film mit dem Plasma behandelt wird.
21. Verfahren nach Anspruch 20, dadurch gekennzeichnet, dass zu der zweiten
Behandlung das Anlegen von Hochfrequenz (HF)-Leistung (94) an eine Gegenelektrode
gehört, während die Sockelelektrode HF-geerdet ist.
22. Verfahren nach Anspruch 20, dadurch gekennzeichnet, dass der Anlegeschritt
durchgeführt wird, während die Reaktionskammer mit einem Gas gefüllt ist, das im
Wesentlichen aus Argon besteht.
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/680,724 US5846332A (en) | 1996-07-12 | 1996-07-12 | Thermally floating pedestal collar in a chemical vapor deposition chamber |
Publications (2)
Publication Number | Publication Date |
---|---|
DE69710961D1 DE69710961D1 (de) | 2002-04-18 |
DE69710961T2 true DE69710961T2 (de) | 2002-10-31 |
Family
ID=24732256
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE69710961T Expired - Fee Related DE69710961T2 (de) | 1996-07-12 | 1997-07-11 | Komponenten peripher zum Sockel im Wege des Gasflusses innerhalb einer Kammer zur chemischen Gasphasenabscheidung |
Country Status (6)
Country | Link |
---|---|
US (3) | US5846332A (de) |
EP (2) | EP1172458A3 (de) |
JP (1) | JPH1070088A (de) |
KR (1) | KR100522903B1 (de) |
DE (1) | DE69710961T2 (de) |
TW (1) | TW359853B (de) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102005056323A1 (de) * | 2005-11-25 | 2007-05-31 | Aixtron Ag | Prozesskammermodul zum gleichzeitigen Abscheiden von Schichten auf mehreren Substraten |
Families Citing this family (695)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6113702A (en) | 1995-09-01 | 2000-09-05 | Asm America, Inc. | Wafer support system |
US6092486A (en) * | 1996-05-27 | 2000-07-25 | Sumimoto Metal Indsutries, Ltd. | Plasma processing apparatus and plasma processing method |
US5993916A (en) * | 1996-07-12 | 1999-11-30 | Applied Materials, Inc. | Method for substrate processing with improved throughput and yield |
US6055927A (en) * | 1997-01-14 | 2000-05-02 | Applied Komatsu Technology, Inc. | Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology |
US6189482B1 (en) * | 1997-02-12 | 2001-02-20 | Applied Materials, Inc. | High temperature, high flow rate chemical vapor deposition apparatus and related methods |
JP3077623B2 (ja) * | 1997-04-02 | 2000-08-14 | 日本電気株式会社 | プラズマ化学気相成長装置 |
JP3801730B2 (ja) * | 1997-05-09 | 2006-07-26 | 株式会社半導体エネルギー研究所 | プラズマcvd装置及びそれを用いた薄膜形成方法 |
TW417249B (en) * | 1997-05-14 | 2001-01-01 | Applied Materials Inc | Reliability barrier integration for cu application |
WO1998053484A1 (en) * | 1997-05-20 | 1998-11-26 | Tokyo Electron Limited | Processing apparatus |
US6176929B1 (en) * | 1997-07-22 | 2001-01-23 | Ebara Corporation | Thin-film deposition apparatus |
US6079356A (en) * | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US6106625A (en) * | 1997-12-02 | 2000-08-22 | Applied Materials, Inc. | Reactor useful for chemical vapor deposition of titanium nitride |
US6063441A (en) * | 1997-12-02 | 2000-05-16 | Applied Materials, Inc. | Processing chamber and method for confining plasma |
US6050506A (en) * | 1998-02-13 | 2000-04-18 | Applied Materials, Inc. | Pattern of apertures in a showerhead for chemical vapor deposition |
US6301270B1 (en) | 1998-03-02 | 2001-10-09 | Compaq Computer Corporation | Right to left matching of device address numbers with provided integrated services digital network destination numbers |
US6117244A (en) * | 1998-03-24 | 2000-09-12 | Applied Materials, Inc. | Deposition resistant lining for CVD chamber |
US5997649A (en) * | 1998-04-09 | 1999-12-07 | Tokyo Electron Limited | Stacked showerhead assembly for delivering gases and RF power to a reaction chamber |
US6192827B1 (en) * | 1998-07-03 | 2001-02-27 | Applied Materials, Inc. | Double slit-valve doors for plasma processing |
US6096135A (en) * | 1998-07-21 | 2000-08-01 | Applied Materials, Inc. | Method and apparatus for reducing contamination of a substrate in a substrate processing system |
US6287977B1 (en) | 1998-07-31 | 2001-09-11 | Applied Materials, Inc. | Method and apparatus for forming improved metal interconnects |
US6228208B1 (en) * | 1998-08-12 | 2001-05-08 | Applied Materials, Inc. | Plasma density and etch rate enhancing semiconductor processing chamber |
US6050216A (en) * | 1998-08-21 | 2000-04-18 | M.E.C. Technology, Inc. | Showerhead electrode for plasma processing |
US6406590B1 (en) * | 1998-09-08 | 2002-06-18 | Sharp Kaubushiki Kaisha | Method and apparatus for surface treatment using plasma |
US6170429B1 (en) | 1998-09-30 | 2001-01-09 | Lam Research Corporation | Chamber liner for semiconductor process chambers |
US6402847B1 (en) | 1998-11-27 | 2002-06-11 | Kabushiki Kaisha Toshiba | Dry processing apparatus and dry processing method |
US6364954B2 (en) * | 1998-12-14 | 2002-04-02 | Applied Materials, Inc. | High temperature chemical vapor deposition chamber |
US6177305B1 (en) * | 1998-12-17 | 2001-01-23 | Lsi Logic Corporation | Fabrication of metal-insulator-metal capacitive structures |
NL1011017C2 (nl) * | 1999-01-13 | 2000-07-31 | Asm Int | Inrichting voor het positioneren van een wafer. |
US6499425B1 (en) * | 1999-01-22 | 2002-12-31 | Micron Technology, Inc. | Quasi-remote plasma processing method and apparatus |
SG87084A1 (en) * | 1999-02-09 | 2002-03-19 | Applied Materials Inc | Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature |
US6159299A (en) * | 1999-02-09 | 2000-12-12 | Applied Materials, Inc. | Wafer pedestal with a purge ring |
US6451181B1 (en) * | 1999-03-02 | 2002-09-17 | Motorola, Inc. | Method of forming a semiconductor device barrier layer |
JP3109508B2 (ja) * | 1999-03-24 | 2000-11-20 | 日本電気株式会社 | 薄膜形成装置 |
US6173673B1 (en) * | 1999-03-31 | 2001-01-16 | Tokyo Electron Limited | Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber |
US6466881B1 (en) * | 1999-04-22 | 2002-10-15 | Applied Materials Inc. | Method for monitoring the quality of a protective coating in a reactor chamber |
US6375748B1 (en) * | 1999-09-01 | 2002-04-23 | Applied Materials, Inc. | Method and apparatus for preventing edge deposition |
US8696875B2 (en) * | 1999-10-08 | 2014-04-15 | Applied Materials, Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
US10047430B2 (en) | 1999-10-08 | 2018-08-14 | Applied Materials, Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
US6599366B1 (en) * | 1999-11-16 | 2003-07-29 | Tokyo Electron Limited | Substrate processing unit and processing method |
US6589352B1 (en) * | 1999-12-10 | 2003-07-08 | Applied Materials, Inc. | Self aligning non contact shadow ring process kit |
JP4419237B2 (ja) * | 1999-12-22 | 2010-02-24 | 東京エレクトロン株式会社 | 成膜装置及び被処理体の処理方法 |
US6772827B2 (en) | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6477980B1 (en) * | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6170432B1 (en) | 2000-01-24 | 2001-01-09 | M.E.C. Technology, Inc. | Showerhead electrode assembly for plasma processing |
US6237528B1 (en) | 2000-01-24 | 2001-05-29 | M.E.C. Technology, Inc. | Showerhead electrode assembly for plasma processing |
US6221164B1 (en) | 2000-01-25 | 2001-04-24 | Advanced Micro Devices, Inc. | Method of in-situ cleaning for LPCVD teos pump |
US6656831B1 (en) | 2000-01-26 | 2003-12-02 | Applied Materials, Inc. | Plasma-enhanced chemical vapor deposition of a metal nitride layer |
US6485605B1 (en) * | 2000-04-20 | 2002-11-26 | Taiwan Semiconductor Manufacturing Co., Ltd | High temperature process chamber having improved heat endurance |
US6502530B1 (en) | 2000-04-26 | 2003-01-07 | Unaxis Balzers Aktiengesellschaft | Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
US6779481B2 (en) * | 2000-04-27 | 2004-08-24 | Tokyo Electron Limited | Electrical coupling between chamber parts in electronic device processing equipment |
US6461435B1 (en) * | 2000-06-22 | 2002-10-08 | Applied Materials, Inc. | Showerhead with reduced contact area |
US6582522B2 (en) * | 2000-07-21 | 2003-06-24 | Applied Materials, Inc. | Emissivity-change-free pumping plate kit in a single wafer chamber |
US6802906B2 (en) * | 2000-07-21 | 2004-10-12 | Applied Materials, Inc. | Emissivity-change-free pumping plate kit in a single wafer chamber |
US6562715B1 (en) | 2000-08-09 | 2003-05-13 | Applied Materials, Inc. | Barrier layer structure for copper metallization and method of forming the structure |
TW511158B (en) * | 2000-08-11 | 2002-11-21 | Alps Electric Co Ltd | Plasma processing apparatus and system, performance validation system thereof |
US6498091B1 (en) | 2000-11-01 | 2002-12-24 | Applied Materials, Inc. | Method of using a barrier sputter reactor to remove an underlying barrier layer |
US20020144783A1 (en) * | 2001-04-05 | 2002-10-10 | Applied Materials, Inc. | Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning |
KR100765539B1 (ko) * | 2001-05-18 | 2007-10-10 | 엘지.필립스 엘시디 주식회사 | 화학기상 증착장비 |
KR20020095324A (ko) * | 2001-06-14 | 2002-12-26 | 삼성전자 주식회사 | 고주파 파워를 이용하는 반도체장치 제조설비 |
JP4076744B2 (ja) * | 2001-07-31 | 2008-04-16 | ユニ・チャーム株式会社 | パンツ型の使い捨て着用物品 |
KR100721504B1 (ko) * | 2001-08-02 | 2007-05-23 | 에이에스엠지니텍코리아 주식회사 | 플라즈마 강화 원자층 증착 장치 및 이를 이용한 박막형성방법 |
CN1314075C (zh) * | 2001-08-28 | 2007-05-02 | 现代半导体美国公司 | 等离子腔室的腔室屏蔽 |
US6936906B2 (en) | 2001-09-26 | 2005-08-30 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030072884A1 (en) * | 2001-10-15 | 2003-04-17 | Applied Materials, Inc. | Method of titanium and titanium nitride layer deposition |
KR100443908B1 (ko) * | 2001-10-25 | 2004-08-09 | 삼성전자주식회사 | 플라즈마 화학기상증착장치 및 이를 이용한나이트라이드막 형성방법 |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US20030087488A1 (en) * | 2001-11-07 | 2003-05-08 | Tokyo Electron Limited | Inductively coupled plasma source for improved process uniformity |
JP4157040B2 (ja) * | 2001-12-03 | 2008-09-24 | 株式会社アルバック | 混合器、薄膜製造装置及び薄膜製造方法 |
US6972267B2 (en) | 2002-03-04 | 2005-12-06 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US7587408B2 (en) | 2002-03-21 | 2009-09-08 | United States Postal Service | Method and system for storing and retrieving data using hash-accessed multiple data stores |
US6780787B2 (en) * | 2002-03-21 | 2004-08-24 | Lam Research Corporation | Low contamination components for semiconductor processing apparatus and methods for making components |
JP2003307458A (ja) * | 2002-04-15 | 2003-10-31 | Akifumi Ito | 基材の温度測定方法および温度測定装置 |
US7013834B2 (en) * | 2002-04-19 | 2006-03-21 | Nordson Corporation | Plasma treatment system |
US6960263B2 (en) * | 2002-04-25 | 2005-11-01 | Applied Materials, Inc. | Shadow frame with cross beam for semiconductor equipment |
US7186385B2 (en) | 2002-07-17 | 2007-03-06 | Applied Materials, Inc. | Apparatus for providing gas to a processing chamber |
US7504006B2 (en) * | 2002-08-01 | 2009-03-17 | Applied Materials, Inc. | Self-ionized and capacitively-coupled plasma for sputtering and resputtering |
JP2005536042A (ja) * | 2002-08-08 | 2005-11-24 | トリコン テクノロジーズ リミティド | シャワーヘッドの改良 |
EP1420080A3 (de) | 2002-11-14 | 2005-11-09 | Applied Materials, Inc. | Vorrichtung und Verfahren zu hybriden chemischen Abscheidungsverfahren |
US7270713B2 (en) * | 2003-01-07 | 2007-09-18 | Applied Materials, Inc. | Tunable gas distribution plate assembly |
US7381293B2 (en) * | 2003-01-09 | 2008-06-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Convex insert ring for etch chamber |
US20040256215A1 (en) * | 2003-04-14 | 2004-12-23 | David Stebbins | Sputtering chamber liner |
KR100490049B1 (ko) * | 2003-04-14 | 2005-05-17 | 삼성전자주식회사 | 일체형 디퓨저 프레임을 가지는 cvd 장치 |
US6942753B2 (en) * | 2003-04-16 | 2005-09-13 | Applied Materials, Inc. | Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition |
US6911093B2 (en) * | 2003-06-02 | 2005-06-28 | Lsi Logic Corporation | Lid liner for chemical vapor deposition chamber |
US7001491B2 (en) * | 2003-06-26 | 2006-02-21 | Tokyo Electron Limited | Vacuum-processing chamber-shield and multi-chamber pumping method |
US7608300B2 (en) * | 2003-08-27 | 2009-10-27 | Applied Materials, Inc. | Methods and devices to reduce defects in dielectric stack structures |
US20050079729A1 (en) * | 2003-10-08 | 2005-04-14 | Woo-Sung Jang | High density plasma oxide film deposition apparatus having a guide ring and a semiconductor device manufacturing method using the same |
US7024105B2 (en) | 2003-10-10 | 2006-04-04 | Applied Materials Inc. | Substrate heater assembly |
DE20319104U1 (de) * | 2003-12-09 | 2004-04-08 | Infineon Technologies Ag | Anordnung zur Wärmebehandlung von Siliziumscheiben in einer Prozesskammer |
US7645341B2 (en) * | 2003-12-23 | 2010-01-12 | Lam Research Corporation | Showerhead electrode assembly for plasma processing apparatuses |
US20050150452A1 (en) * | 2004-01-14 | 2005-07-14 | Soovo Sen | Process kit design for deposition chamber |
JP4698251B2 (ja) * | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | 可動又は柔軟なシャワーヘッド取り付け |
US7780793B2 (en) | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US20050230350A1 (en) | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US8074599B2 (en) | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US8328939B2 (en) | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US20050252449A1 (en) | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US7501161B2 (en) * | 2004-06-01 | 2009-03-10 | Applied Materials, Inc. | Methods and apparatus for reducing arcing during plasma processing |
US20060000802A1 (en) * | 2004-06-30 | 2006-01-05 | Ajay Kumar | Method and apparatus for photomask plasma etching |
US20060021703A1 (en) * | 2004-07-29 | 2006-02-02 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
US7429410B2 (en) | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
US7534301B2 (en) * | 2004-09-21 | 2009-05-19 | Applied Materials, Inc. | RF grounding of cathode in process chamber |
US20060130971A1 (en) * | 2004-12-21 | 2006-06-22 | Applied Materials, Inc. | Apparatus for generating plasma by RF power |
US7430986B2 (en) * | 2005-03-18 | 2008-10-07 | Lam Research Corporation | Plasma confinement ring assemblies having reduced polymer deposition characteristics |
KR100672828B1 (ko) | 2005-06-29 | 2007-01-22 | 삼성전자주식회사 | 챔버 인서트 및 이를 포함하는 기판 가공 장치 |
US8617672B2 (en) | 2005-07-13 | 2013-12-31 | Applied Materials, Inc. | Localized surface annealing of components for substrate processing chambers |
US20070044714A1 (en) * | 2005-08-31 | 2007-03-01 | Applied Materials, Inc. | Method and apparatus for maintaining a cross sectional shape of a diffuser during processing |
US7641762B2 (en) * | 2005-09-02 | 2010-01-05 | Applied Materials, Inc. | Gas sealing skirt for suspended showerhead in process chamber |
US8038837B2 (en) * | 2005-09-02 | 2011-10-18 | Tokyo Electron Limited | Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member |
US8317929B2 (en) * | 2005-09-16 | 2012-11-27 | Asml Netherlands B.V. | Lithographic apparatus comprising an electrical discharge generator and method for cleaning an element of a lithographic apparatus |
US7682946B2 (en) | 2005-11-04 | 2010-03-23 | Applied Materials, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US8440049B2 (en) * | 2006-05-03 | 2013-05-14 | Applied Materials, Inc. | Apparatus for etching high aspect ratio features |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
US7776178B2 (en) * | 2006-10-25 | 2010-08-17 | Applied Materials, Inc. | Suspension for showerhead in process chamber |
US7775508B2 (en) | 2006-10-31 | 2010-08-17 | Applied Materials, Inc. | Ampoule for liquid draw and vapor draw with a continuous level sensor |
KR100867191B1 (ko) * | 2006-11-02 | 2008-11-06 | 주식회사 유진테크 | 기판처리장치 및 기판처리방법 |
US8381677B2 (en) * | 2006-12-20 | 2013-02-26 | Applied Materials, Inc. | Prevention of film deposition on PECVD process chamber wall |
US20080206987A1 (en) | 2007-01-29 | 2008-08-28 | Gelatos Avgerinos V | Process for tungsten nitride deposition by a temperature controlled lid assembly |
US7981262B2 (en) | 2007-01-29 | 2011-07-19 | Applied Materials, Inc. | Process kit for substrate processing chamber |
US7942969B2 (en) | 2007-05-30 | 2011-05-17 | Applied Materials, Inc. | Substrate cleaning chamber and components |
US20080317973A1 (en) | 2007-06-22 | 2008-12-25 | White John M | Diffuser support |
US9292850B2 (en) | 2007-09-10 | 2016-03-22 | Visa U.S.A. Inc. | Host capture |
US8999106B2 (en) | 2007-12-19 | 2015-04-07 | Applied Materials, Inc. | Apparatus and method for controlling edge performance in an inductively coupled plasma chamber |
US20090314208A1 (en) * | 2008-06-24 | 2009-12-24 | Applied Materials, Inc. | Pedestal heater for low temperature pecvd application |
US8291857B2 (en) | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US20100108263A1 (en) * | 2008-10-30 | 2010-05-06 | Applied Materials, Inc. | Extended chamber liner for improved mean time between cleanings of process chambers |
US8146896B2 (en) | 2008-10-31 | 2012-04-03 | Applied Materials, Inc. | Chemical precursor ampoule for vapor deposition processes |
US20100119734A1 (en) * | 2008-11-07 | 2010-05-13 | Applied Materials, Inc. | Laminar flow in a precursor source canister |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
KR101593460B1 (ko) * | 2009-02-04 | 2016-02-12 | 어플라이드 머티어리얼스, 인코포레이티드 | 플라즈마 프로세스를 위한 접지 귀환 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5359698B2 (ja) * | 2009-08-31 | 2013-12-04 | 豊田合成株式会社 | 化合物半導体の製造装置、化合物半導体の製造方法及び化合物半導体 |
JP5698950B2 (ja) | 2009-10-23 | 2015-04-08 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
TWI485799B (zh) | 2009-12-10 | 2015-05-21 | Orbotech Lt Solar Llc | 自動排序之直線型處理裝置 |
US8778813B2 (en) * | 2010-05-12 | 2014-07-15 | Applied Materials, Inc. | Confined process volume PECVD chamber |
JP5584517B2 (ja) * | 2010-05-12 | 2014-09-03 | 東京エレクトロン株式会社 | プラズマ処理装置及び半導体装置の製造方法 |
CN102918180B (zh) * | 2010-05-21 | 2014-12-17 | 应用材料公司 | 大面积电极上的紧密安装的陶瓷绝缘体 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9171702B2 (en) * | 2010-06-30 | 2015-10-27 | Lam Research Corporation | Consumable isolation ring for movable substrate support assembly of a plasma processing chamber |
WO2012039833A2 (en) | 2010-09-24 | 2012-03-29 | Applied Materials, Inc. | Low temperature silicon carbide deposition process |
JP5948040B2 (ja) | 2010-11-04 | 2016-07-06 | 株式会社半導体エネルギー研究所 | 結晶性半導体膜の作製方法及び半導体装置の作製方法 |
US8815635B2 (en) | 2010-11-05 | 2014-08-26 | Semiconductor Energy Laboratory Co., Ltd. | Manufacturing method of photoelectric conversion device |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8459276B2 (en) | 2011-05-24 | 2013-06-11 | Orbotech LT Solar, LLC. | Broken wafer recovery system |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US10233541B2 (en) | 2012-06-29 | 2019-03-19 | Applied Materials, Inc. | Deposition of films containing alkaline earth metals |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
JP5343162B1 (ja) * | 2012-10-26 | 2013-11-13 | エピクルー株式会社 | エピタキシャル成長装置 |
TWI480417B (zh) * | 2012-11-02 | 2015-04-11 | Ind Tech Res Inst | 具氣幕之氣體噴灑裝置及其薄膜沉積裝置 |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
TWI689004B (zh) | 2012-11-26 | 2020-03-21 | 美商應用材料股份有限公司 | 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理 |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
CN103132016B (zh) * | 2013-02-22 | 2015-05-13 | 京东方科技集团股份有限公司 | 一种膜边调整器 |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9916994B2 (en) * | 2013-03-06 | 2018-03-13 | Applied Materials, Inc. | Substrate support with multi-piece sealing surface |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
KR102267391B1 (ko) | 2013-11-06 | 2021-06-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판 프로세싱 챔버를 위한 격리기 |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
CN104637766B (zh) * | 2013-11-14 | 2017-02-08 | 中微半导体设备(上海)有限公司 | 反应腔结构及半导体等离子处理系统 |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US10683571B2 (en) * | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
WO2016007874A1 (en) | 2014-07-11 | 2016-01-14 | Applied Materials, Inc. | Supercritical carbon dioxide process for low-k thin films |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US10858737B2 (en) * | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
KR102438139B1 (ko) | 2014-12-22 | 2022-08-29 | 어플라이드 머티어리얼스, 인코포레이티드 | 높은 처리량의 프로세싱 챔버를 위한 프로세스 키트 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US9650713B2 (en) | 2015-03-12 | 2017-05-16 | Kennamtetal Inc. | PVD-coated cutting tools and method for making the same |
JP2018513567A (ja) | 2015-04-24 | 2018-05-24 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | フローアイソレータリングを含むプロセスキット |
WO2016178754A1 (en) * | 2015-05-04 | 2016-11-10 | Applied Materials, Inc. | Process kit for a high throughput processing chamber |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
GB201513339D0 (en) * | 2015-07-29 | 2015-09-09 | Pilkington Group Ltd | Coating apparatus |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
KR102062873B1 (ko) | 2015-10-04 | 2020-01-06 | 어플라이드 머티어리얼스, 인코포레이티드 | 작은 열 질량의 가압 챔버 |
KR102054605B1 (ko) | 2015-10-04 | 2019-12-10 | 어플라이드 머티어리얼스, 인코포레이티드 | 고 종횡비 피처들을 위한 건조 프로세스 |
KR102046271B1 (ko) * | 2015-10-04 | 2019-11-18 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판 지지체 및 배플 장치 |
WO2017062136A1 (en) | 2015-10-04 | 2017-04-13 | Applied Materials, Inc. | Reduced volume processing chamber |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
CN109072427B (zh) * | 2016-03-25 | 2020-10-13 | 应用材料公司 | 用于高温处理的腔室衬垫 |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR102762543B1 (ko) | 2016-12-14 | 2025-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10559451B2 (en) | 2017-02-15 | 2020-02-11 | Applied Materials, Inc. | Apparatus with concentric pumping for multiple pressure regimes |
US10600624B2 (en) | 2017-03-10 | 2020-03-24 | Applied Materials, Inc. | System and method for substrate processing chambers |
US10636628B2 (en) | 2017-09-11 | 2020-04-28 | Applied Materials, Inc. | Method for cleaning a process chamber |
US10312076B2 (en) | 2017-03-10 | 2019-06-04 | Applied Materials, Inc. | Application of bottom purge to increase clean efficiency |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
USD842259S1 (en) * | 2017-04-28 | 2019-03-05 | Applied Materials, Inc. | Plasma chamber liner |
USD838681S1 (en) * | 2017-04-28 | 2019-01-22 | Applied Materials, Inc. | Plasma chamber liner |
USD837754S1 (en) * | 2017-04-28 | 2019-01-08 | Applied Materials, Inc. | Plasma chamber liner |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
JP7176860B6 (ja) | 2017-05-17 | 2022-12-16 | アプライド マテリアルズ インコーポレイテッド | 前駆体の流れを改善する半導体処理チャンバ |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11978646B2 (en) * | 2017-05-18 | 2024-05-07 | Applied Materials, Inc. | Thermal chamber with improved thermal uniformity |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
KR102431354B1 (ko) | 2017-07-11 | 2022-08-11 | 삼성디스플레이 주식회사 | 화학기상 증착장치 및 이를 이용한 표시 장치의 제조 방법 |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
TWI815813B (zh) | 2017-08-04 | 2023-09-21 | 荷蘭商Asm智慧財產控股公司 | 用於分配反應腔內氣體的噴頭總成 |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
WO2019055162A1 (en) * | 2017-09-18 | 2019-03-21 | Mattson Technology, Inc. | COOLED FOCUSING RING FOR A PLASMA PROCESSING APPARATUS |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
KR102470206B1 (ko) * | 2017-10-13 | 2022-11-23 | 삼성디스플레이 주식회사 | 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자 |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
JP7466460B2 (ja) * | 2018-01-29 | 2024-04-12 | アプライド マテリアルズ インコーポレイテッド | Pvdプロセスにおける粒子低減のためのプロセスキットの形状寸法 |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
KR102600229B1 (ko) | 2018-04-09 | 2023-11-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법 |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
JP2021523556A (ja) * | 2018-05-04 | 2021-09-02 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 中心からエッジへの圧力の変化を制御するための圧力スキューシステム |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US12272527B2 (en) | 2018-05-09 | 2025-04-08 | Asm Ip Holding B.V. | Apparatus for use with hydrogen radicals and method of using same |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
JP7018823B2 (ja) * | 2018-05-29 | 2022-02-14 | 東京エレクトロン株式会社 | モデル生成装置、モデル生成プログラムおよびモデル生成方法 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR20210027265A (ko) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체 |
CN110660698B (zh) * | 2018-06-28 | 2022-04-22 | 北京北方华创微电子装备有限公司 | 压环组件、工艺腔室和半导体处理设备 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
WO2020027919A1 (en) * | 2018-07-30 | 2020-02-06 | Nordson Corporation | Systems for workpiece processing with plasma |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102748291B1 (ko) | 2018-11-02 | 2024-12-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
CN112955997B (zh) * | 2018-11-21 | 2024-04-05 | 应用材料公司 | 用于使用相位控制来调整等离子体分布的设备及方法 |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
JP7477515B2 (ja) | 2019-01-08 | 2024-05-01 | アプライド マテリアルズ インコーポレイテッド | 基板処理チャンバ用のポンピング装置及び方法 |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11584994B2 (en) * | 2019-01-15 | 2023-02-21 | Applied Materials, Inc. | Pedestal for substrate processing chambers |
TWI866480B (zh) | 2019-01-17 | 2024-12-11 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR102727227B1 (ko) | 2019-01-22 | 2024-11-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
JP7603377B2 (ja) | 2019-02-20 | 2024-12-20 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR102782593B1 (ko) | 2019-03-08 | 2025-03-14 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR102809999B1 (ko) | 2019-04-01 | 2025-05-19 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP7598201B2 (ja) | 2019-05-16 | 2024-12-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP7612342B2 (ja) | 2019-05-16 | 2025-01-14 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200141931A (ko) | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | 석영 에피택셜 챔버를 세정하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7612620B2 (ja) * | 2019-07-04 | 2025-01-14 | アプライド マテリアルズ インコーポレイテッド | 基板処理チャンバのためのアイソレータ装置及び方法 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
JP7572422B2 (ja) * | 2019-07-29 | 2024-10-23 | アプライド マテリアルズ インコーポレイテッド | 半導体処理チャンバ及びそれを洗浄するための方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
US12169361B2 (en) | 2019-07-30 | 2024-12-17 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
KR20210018761A (ko) | 2019-08-09 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 장치를 포함한 히터 어셈블리 및 이를 사용하는 방법 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR102806450B1 (ko) | 2019-09-04 | 2025-05-12 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR102733104B1 (ko) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TWI850452B (zh) * | 2019-09-22 | 2024-08-01 | 美商應用材料股份有限公司 | 使用具有可調式泵的處理腔室蓋的ald循環時間縮減 |
US12054826B2 (en) | 2019-09-22 | 2024-08-06 | Applied Materials, Inc. | ALD cycle time reduction using process chamber lid with tunable pumping |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11236424B2 (en) * | 2019-11-01 | 2022-02-01 | Applied Materials, Inc. | Process kit for improving edge film thickness uniformity on a substrate |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693B (zh) | 2019-11-29 | 2025-06-10 | Asmip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP7636892B2 (ja) | 2020-01-06 | 2025-02-27 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202513845A (zh) | 2020-02-03 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 半導體裝置結構及其形成方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
KR20210103956A (ko) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법 |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210113043A (ko) | 2020-03-04 | 2021-09-15 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 정렬 고정구 |
CN111364022B (zh) * | 2020-03-10 | 2023-02-10 | 北京北方华创微电子装备有限公司 | 反应腔室 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
KR102755229B1 (ko) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
KR102719377B1 (ko) | 2020-04-03 | 2024-10-17 | 에이에스엠 아이피 홀딩 비.브이. | 배리어층 형성 방법 및 반도체 장치의 제조 방법 |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210130646A (ko) | 2020-04-21 | 2021-11-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 방법 |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132612A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 화합물들을 안정화하기 위한 방법들 및 장치 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
TW202208671A (zh) | 2020-04-24 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括硼化釩及磷化釩層的結構之方法 |
KR102783898B1 (ko) | 2020-04-29 | 2025-03-18 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210137395A (ko) | 2020-05-07 | 2021-11-17 | 에이에스엠 아이피 홀딩 비.브이. | 불소계 라디칼을 이용하여 반응 챔버의 인시츄 식각을 수행하기 위한 장치 및 방법 |
KR102788543B1 (ko) | 2020-05-13 | 2025-03-27 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145079A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 플랜지 및 장치 |
KR102795476B1 (ko) | 2020-05-21 | 2025-04-11 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TWI876048B (zh) | 2020-05-29 | 2025-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
KR20210156219A (ko) | 2020-06-16 | 2021-12-24 | 에이에스엠 아이피 홀딩 비.브이. | 붕소를 함유한 실리콘 게르마늄 층을 증착하는 방법 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TWI873359B (zh) | 2020-06-30 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US11749543B2 (en) * | 2020-07-06 | 2023-09-05 | Applied Materials, Inc. | Chamber matching and calibration |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TWI864307B (zh) | 2020-07-17 | 2024-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構、方法與系統 |
TWI878570B (zh) | 2020-07-20 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220011092A (ko) | 2020-07-20 | 2022-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템 |
TW202219303A (zh) | 2020-07-27 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 薄膜沉積製程 |
KR20220021863A (ko) | 2020-08-14 | 2022-02-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202228863A (zh) | 2020-08-25 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 清潔基板的方法、選擇性沉積的方法、及反應器系統 |
TWI874701B (zh) | 2020-08-26 | 2025-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
TW202217045A (zh) | 2020-09-10 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積間隙填充流體之方法及相關系統和裝置 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
KR20220036866A (ko) | 2020-09-16 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물 증착 방법 |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
KR20220041751A (ko) | 2020-09-25 | 2022-04-01 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 처리 방법 |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
CN116349002A (zh) * | 2020-10-05 | 2023-06-27 | 朗姆研究公司 | 用于等离子体处理系统的可移动边缘环 |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220050048A (ko) | 2020-10-15 | 2022-04-22 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치 |
US11401608B2 (en) * | 2020-10-20 | 2022-08-02 | Sky Tech Inc. | Atomic layer deposition equipment and process method |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202229620A (zh) | 2020-11-12 | 2022-08-01 | 特文特大學 | 沉積系統、用於控制反應條件之方法、沉積方法 |
TW202229795A (zh) | 2020-11-23 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 具注入器之基板處理設備 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US12255053B2 (en) | 2020-12-10 | 2025-03-18 | Asm Ip Holding B.V. | Methods and systems for depositing a layer |
TW202233884A (zh) | 2020-12-14 | 2022-09-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成臨限電壓控制用之結構的方法 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202232639A (zh) | 2020-12-18 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 具有可旋轉台的晶圓處理設備 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
US11643725B2 (en) * | 2021-03-26 | 2023-05-09 | Applied Materials, Inc. | Hardware to prevent bottom purge incursion in application volume and process gas diffusion below heater |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
CN113337810B (zh) * | 2021-05-26 | 2022-04-22 | 北京北方华创微电子装备有限公司 | 内衬装置及半导体加工设备 |
KR102804139B1 (ko) * | 2021-07-07 | 2025-05-09 | 도쿄엘렉트론가부시키가이샤 | 플라스마 처리 장치 |
JP7645732B2 (ja) * | 2021-07-16 | 2025-03-14 | 東京エレクトロン株式会社 | プラズマ処理装置及び処理方法 |
US20230065818A1 (en) * | 2021-08-30 | 2023-03-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor apparatus for deposition process |
KR20230033603A (ko) * | 2021-09-01 | 2023-03-08 | 에이에스엠 아이피 홀딩 비.브이. | 위치 설정 장치를 포함하는 가스 분배 어셈블리 |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US20230097346A1 (en) * | 2021-09-30 | 2023-03-30 | Applied Materials, Inc. | Flow guide apparatuses for flow uniformity control in process chambers |
USD1060598S1 (en) | 2021-12-03 | 2025-02-04 | Asm Ip Holding B.V. | Split showerhead cover |
KR102794083B1 (ko) * | 2022-12-21 | 2025-04-14 | 주식회사 테스 | 절연링 어셈블리 및 기판처리장치 |
TW202507797A (zh) * | 2023-05-03 | 2025-02-16 | 美商應用材料股份有限公司 | 用於半導體製造處理腔室的高生產量電漿蓋 |
Family Cites Families (29)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US556476A (en) * | 1896-03-17 | David richardson | ||
US4891087A (en) * | 1984-10-22 | 1990-01-02 | Texas Instruments Incorporated | Isolation substrate ring for plasma reactor |
JPH0830273B2 (ja) * | 1986-07-10 | 1996-03-27 | 株式会社東芝 | 薄膜形成方法及び装置 |
US4996942A (en) * | 1987-03-31 | 1991-03-05 | Epsilon Technology, Inc. | Rotatable substrate supporting susceptor with temperature sensors |
JP3090339B2 (ja) * | 1990-03-19 | 2000-09-18 | 株式会社東芝 | 気相成長装置および方法 |
EP0448346B1 (de) * | 1990-03-19 | 1997-07-09 | Kabushiki Kaisha Toshiba | Vorrichtung zur Dampfphasenabscheidung |
US5238499A (en) * | 1990-07-16 | 1993-08-24 | Novellus Systems, Inc. | Gas-based substrate protection during processing |
US5620525A (en) * | 1990-07-16 | 1997-04-15 | Novellus Systems, Inc. | Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate |
US5304248A (en) * | 1990-12-05 | 1994-04-19 | Applied Materials, Inc. | Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions |
US5192589A (en) | 1991-09-05 | 1993-03-09 | Micron Technology, Inc. | Low-pressure chemical vapor deposition process for depositing thin titanium nitride films having low and stable resistivity |
JP2888026B2 (ja) * | 1992-04-30 | 1999-05-10 | 松下電器産業株式会社 | プラズマcvd装置 |
JPH0697080A (ja) * | 1992-09-10 | 1994-04-08 | Mitsubishi Electric Corp | 化学気相成長装置用反応室および該反応室を用いた化学気相成長装置 |
US5292554A (en) * | 1992-11-12 | 1994-03-08 | Applied Materials, Inc. | Deposition apparatus using a perforated pumping plate |
JPH06188108A (ja) * | 1992-12-21 | 1994-07-08 | Canon Inc | 薄膜抵抗器の製造方法、成膜装置用防着板及び成膜装置 |
US5343938A (en) * | 1992-12-24 | 1994-09-06 | Vlsi Technology, Inc. | Method and apparatus for thermally insulating a wafer support |
US5366585A (en) * | 1993-01-28 | 1994-11-22 | Applied Materials, Inc. | Method and apparatus for protection of conductive surfaces in a plasma processing reactor |
JP2662365B2 (ja) * | 1993-01-28 | 1997-10-08 | アプライド マテリアルズ インコーポレイテッド | 改良された排出システムを有する単一基板式の真空処理装置 |
US5352294A (en) * | 1993-01-28 | 1994-10-04 | White John M | Alignment of a shadow frame and large flat substrates on a support |
US5800686A (en) * | 1993-04-05 | 1998-09-01 | Applied Materials, Inc. | Chemical vapor deposition chamber with substrate edge protection |
US5246881A (en) * | 1993-04-14 | 1993-09-21 | Micron Semiconductor, Inc. | Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity |
US5399379A (en) | 1993-04-14 | 1995-03-21 | Micron Semiconductor, Inc. | Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal titanium nitride films of low bulk resistivity |
US5366002A (en) * | 1993-05-05 | 1994-11-22 | Applied Materials, Inc. | Apparatus and method to ensure heat transfer to and from an entire substrate during semiconductor processing |
US5975912A (en) * | 1994-06-03 | 1999-11-02 | Materials Research Corporation | Low temperature plasma-enhanced formation of integrated circuits |
US5480684A (en) | 1994-09-01 | 1996-01-02 | Micron Technology, Inc. | Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organometallic precursor compounds |
US5661115A (en) * | 1994-11-08 | 1997-08-26 | Micron Technology, Inc. | Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds |
US5576071A (en) | 1994-11-08 | 1996-11-19 | Micron Technology, Inc. | Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds |
US5558717A (en) * | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
US5885356A (en) * | 1994-11-30 | 1999-03-23 | Applied Materials, Inc. | Method of reducing residue accumulation in CVD chamber using ceramic lining |
US5882411A (en) * | 1996-10-21 | 1999-03-16 | Applied Materials, Inc. | Faceplate thermal choke in a CVD plasma reactor |
-
1996
- 1996-07-12 US US08/680,724 patent/US5846332A/en not_active Expired - Lifetime
-
1997
- 1997-05-16 US US08/857,847 patent/US5964947A/en not_active Expired - Lifetime
- 1997-06-12 TW TW086108109A patent/TW359853B/zh active
- 1997-07-11 KR KR1019970032160A patent/KR100522903B1/ko not_active Expired - Lifetime
- 1997-07-11 EP EP01118816A patent/EP1172458A3/de not_active Withdrawn
- 1997-07-11 DE DE69710961T patent/DE69710961T2/de not_active Expired - Fee Related
- 1997-07-11 EP EP97305148A patent/EP0818558B1/de not_active Expired - Lifetime
- 1997-07-14 JP JP9188357A patent/JPH1070088A/ja active Pending
-
1998
- 1998-03-27 US US09/049,856 patent/US6270859B2/en not_active Expired - Lifetime
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102005056323A1 (de) * | 2005-11-25 | 2007-05-31 | Aixtron Ag | Prozesskammermodul zum gleichzeitigen Abscheiden von Schichten auf mehreren Substraten |
Also Published As
Publication number | Publication date |
---|---|
KR980009511A (de) | 1998-04-30 |
EP0818558A1 (de) | 1998-01-14 |
EP0818558B1 (de) | 2002-03-13 |
TW359853B (en) | 1999-06-01 |
US5846332A (en) | 1998-12-08 |
EP1172458A3 (de) | 2002-04-24 |
US6270859B2 (en) | 2001-08-07 |
US20010004478A1 (en) | 2001-06-21 |
KR100522903B1 (ko) | 2005-12-21 |
DE69710961D1 (de) | 2002-04-18 |
EP1172458A2 (de) | 2002-01-16 |
US5964947A (en) | 1999-10-12 |
JPH1070088A (ja) | 1998-03-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE69710961T2 (de) | Komponenten peripher zum Sockel im Wege des Gasflusses innerhalb einer Kammer zur chemischen Gasphasenabscheidung | |
DE69608873T2 (de) | Vorrichtung zur Reduzierung von Rückständen in einer Kammer zur Behandlung von Halbleitern | |
DE69727624T2 (de) | Induktiv gekoppelter HDP-CVD-Reaktor | |
DE69626281T2 (de) | Elektroden-klemmvorrichtung und verfahren zu deren zusammenbau und verwendung | |
DE69927966T2 (de) | Hochtemperatur-, mehrschicht-, legierungsheizanordnung | |
DE69426463T2 (de) | Mikrowellenplasmareaktor | |
DE69325633T2 (de) | Elektrostatische Haltevorrichtung benutzbar in Hochdichteplasma | |
DE69523412T2 (de) | Kammer für CVD Behandlungen | |
DE3789142T2 (de) | Plasma unterstütztes CVD Verfahren auf TEOS basis zur Herstellung von Siliziumdioxide. | |
DE3587964T2 (de) | Verfahren und Vorrichtung zur chemischen Abscheidung aus der Dampfphase mittels eines durch Magnetron verstärkten Plasmas. | |
DE68921234T2 (de) | Vakuum-Bearbeitungsreaktor. | |
DE19980683C2 (de) | Gestapelte Duschkopfeinheit zum Leiten von Gasen und HF-Leistung in eine Reaktionskammer | |
DE69528217T2 (de) | Vorrichtung und Verfahren zur Bearbeitung von Substraten | |
DE69815163T2 (de) | Verfahren und Vorrichtung zur Abscheidung von Titanschichten | |
DE69130293T3 (de) | Minimierung der partikelerzeugung in cvd-reaktoren und verfahren | |
DE112008002015B4 (de) | Weiterentwickelte Bearbeitungskammer für mehrere Werkstücke und Verfahren zu deren Erzeugung | |
DE69426679T2 (de) | Vorrichtung und Verfahren zur Sicherstellung der Hitzeübertragung auf BZW von einem ganzen Substrat während der Bearbeitung eines Halbleiterbauteils | |
DE102009014067B4 (de) | Plasmabearbeitungsvorrichtung | |
DE69410830T2 (de) | Induktiv-gekoppelte plasma-zerstaübungskammer mit fähigkeiten zur zerstaübung von leitendem material | |
DE69636286T2 (de) | Plasmaunterstützter chemischer reaktor und verfahren | |
DE69113110T2 (de) | Plasmaätzgerät versehen mit einer auf den inneren metallischen Oberflächen aufgebrachten leitenden Schicht zum Schutz gegen die chemische Korrosion und Verfahren zur Herstellung. | |
US6364949B1 (en) | 300 mm CVD chamber design for metal-organic thin film deposition | |
DE3889649T2 (de) | Ätzverfahren und -gerät. | |
DE3752042T2 (de) | Plasmaätzvorrichtung mit Magnetfeldverstärkung | |
DE69618734T2 (de) | Verfahren und Vorrichtung zur Herstellung eines amorphen Kohlenstofffilmes |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
8364 | No opposition during term of opposition | ||
8339 | Ceased/non-payment of the annual fee |