CN114999910A - Directed deposition on patterned structures - Google Patents
Directed deposition on patterned structures Download PDFInfo
- Publication number
- CN114999910A CN114999910A CN202210384497.6A CN202210384497A CN114999910A CN 114999910 A CN114999910 A CN 114999910A CN 202210384497 A CN202210384497 A CN 202210384497A CN 114999910 A CN114999910 A CN 114999910A
- Authority
- CN
- China
- Prior art keywords
- plasma
- deposition
- chamber
- etch
- silicon
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3081—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/24—Deposition of silicon only
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32422—Arrangement for selecting ions or species in the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
- H01J37/32651—Shields, e.g. dark space shields, Faraday shields
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/02227—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
- H01L21/02252—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0335—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3083—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/3086—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/32055—Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76811—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76813—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/11—Manufacturing methods
- H01L2224/1147—Manufacturing methods using a lift-off mask
- H01L2224/11474—Multilayer masks
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Manufacturing Of Electric Cables (AREA)
- Surface Treatment Of Glass (AREA)
- Drying Of Semiconductors (AREA)
Abstract
Description
本申请是申请号为201611177683.3,申请日为2016年12月19日,申请人为朗姆研究公司,发明创造名称为“在图案化结构上的定向沉积”的发明专利申请的分案申请。This application is a divisional application for an invention patent application with the application number of 201611177683.3 and the application date of December 19, 2016, the applicant is Rum Research Corporation, and the invention and creation name is "directional deposition on patterned structures".
技术领域technical field
本发明总体上涉及半导体领域,并且更具体地,涉及在图案化结构上的定向沉积。The present invention relates generally to the field of semiconductors and, more particularly, to directional deposition on patterned structures.
背景技术Background technique
在3D-NAND和DRAM的缩放中,高达64对的ONON/OPOP被用于通道孔。蚀刻这些高深宽比孔中的关键挑战之一是蚀刻期间的掩模损失。典型的掩模选择比在蚀刻选择比的5-8倍的范围内,这导致需要在0.5至2微米的范围内的掩模高度,具体取决于孔的深度。较高的掩模增大了孔的深宽比,从而增大了蚀刻的难度。用于蚀刻这些高深宽比孔的逐渐增大的等离子体密度和离子能量降低了在蚀刻期间通过非选择性沉积碳氟基化合物的聚合物来减慢掩模腐蚀的常规实践的效率。In the scaling of 3D-NAND and DRAM, up to 64 pairs of ONON/OPOP are used for via holes. One of the key challenges in etching these high aspect ratio holes is mask loss during etching. Typical mask selectivity ratios are in the range of 5-8 times the etch selectivity ratio, which results in the need for mask heights in the range of 0.5 to 2 microns, depending on the depth of the holes. A taller mask increases the aspect ratio of the hole, which increases the difficulty of etching. The increasing plasma densities and ion energies used to etch these high aspect ratio holes reduce the efficiency of the conventional practice of slowing mask etching by non-selective deposition of fluorocarbon-based polymers during etching.
发明内容SUMMARY OF THE INVENTION
本发明提供了用于在图案化结构上定向沉积的方法和装置。在一些实施方案中,所述方法涉及执行多循环定向沉积工艺以在图案化结构上沉积掩模构建材料。每个循环可以包括(i)通过等离子体增强化学气相沉积(PECVD)工艺在图案化结构上沉积第一材料,以及(ii)等离子体处理第一材料以改善方向性。The present invention provides methods and apparatus for directional deposition on patterned structures. In some embodiments, the method involves performing a multi-cycle directional deposition process to deposit a mask building material on a patterned structure. Each cycle may include (i) depositing the first material on the patterned structure by a plasma enhanced chemical vapor deposition (PECVD) process, and (ii) plasma treating the first material to improve directionality.
根据多种实施方式,第一材料可以是基于硅的材料、基于碳的材料、基于硼的材料或其组合。在一些实施方式中,第一材料包括硅、碳、硼、磷、砷和硫中的两种或更多种。在一些实施方式中,第一材料是含金属材料。在一些实施方式中,等离子体处理包括将第一材料暴露于基于氮的等离子体、基于氧的等离子体、基于氢的等离子体、基于烃的等离子体、基于氩的等离子体、基于氦的等离子体、或其组合。According to various embodiments, the first material may be a silicon-based material, a carbon-based material, a boron-based material, or a combination thereof. In some embodiments, the first material includes two or more of silicon, carbon, boron, phosphorus, arsenic, and sulfur. In some embodiments, the first material is a metal-containing material. In some embodiments, the plasma treatment includes exposing the first material to nitrogen-based plasma, oxygen-based plasma, hydrogen-based plasma, hydrocarbon-based plasma, argon-based plasma, helium-based plasma body, or a combination thereof.
在一些实施方式中,该方法包括蚀刻由图案化结构掩蔽的层。该图案化结构可以包括具有特征顶部和特征侧壁的凸起特征。在这样的实施方式中,处理第一材料可以包括将第一材料从特征侧壁重新沉积到特征顶部。In some embodiments, the method includes etching the layer masked by the patterned structure. The patterned structure may include raised features with feature tops and feature sidewalls. In such embodiments, processing the first material may include redepositing the first material from the feature sidewalls to the feature tops.
在一些实施方式中,每个循环包括使第一材料反应以形成第二材料。在一些实施方式中,每个循环包括改变第一材料的材料性质。例如,改变第一材料的材料性质可以包括等离子体处理、暴露于紫外线辐射、或热退火中的一种或多种。In some embodiments, each cycle includes reacting the first material to form the second material. In some embodiments, each cycle includes changing a material property of the first material. For example, altering the material properties of the first material may include one or more of plasma treatment, exposure to ultraviolet radiation, or thermal annealing.
在一些实施方式中,通过PECVD工艺沉积包括将含硅前体、含碳前体、含硼前体或含金属前体引入等离子体反应器中。在一些实施方式中,通过PECVD工艺沉积包括引入选自硅烷、卤化硅烷、有机硅烷或氨基硅烷中的含硅前体。In some embodiments, depositing by a PECVD process includes introducing a silicon-containing precursor, a carbon-containing precursor, a boron-containing precursor, or a metal-containing precursor into a plasma reactor. In some embodiments, depositing by a PECVD process includes introducing a silicon-containing precursor selected from the group consisting of silanes, halosilanes, organosilanes, or aminosilanes.
在一些实施方式中,通过PECVD工艺沉积包括引入含硅前体,所述含硅前体选自甲基硅烷、乙基硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷、二乙基硅烷、二叔丁基硅烷、烯丙基硅烷、仲丁基硅烷、叔己基硅烷(thexylsilane)、异戊基硅烷、叔丁基二硅烷、二叔丁基二硅烷、四氯硅烷、三氯硅烷、二氯硅烷、一氯硅烷、氯代烯丙基硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二叔丁基氯硅烷、氯异丙基硅烷、氯仲丁基硅烷、叔丁基二甲基氯硅烷、叔己基二甲基氯硅烷,单氨基硅烷、二氨基硅烷、三氨基硅烷、四氨基硅烷、叔丁基氨基硅烷、甲基氨基硅烷、叔丁基硅烷胺、双(叔丁基氨基)硅烷或甲硅烷基氨基甲酸叔丁酯(tert-butyl silylcarbamate)。In some embodiments, depositing by a PECVD process includes introducing a silicon-containing precursor selected from the group consisting of methylsilane, ethylsilane, isopropylsilane, tert-butylsilane, dimethylsilane, diethylsilane Silane, di-tert-butylsilane, allylsilane, sec-butylsilane, thexylsilane, isopentylsilane, tert-butyldisilane, di-tert-butyldisilane, tetrachlorosilane, trichlorosilane Silane, dichlorosilane, monochlorosilane, chloroallylsilane, chloromethylsilane, dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, tert-butyl chlorosilane, di-tert-butyl chloride Silane, chloroisopropylsilane, chlorosec-butylsilane, tert-butyldimethylchlorosilane, tert-hexyldimethylchlorosilane, monoaminosilane, diaminosilane, triaminosilane, tetraaminosilane, tert-butyl Aminosilane, methylaminosilane, tert-butylsilylamine, bis(tert-butylamino)silane or tert-butyl silylcarbamate.
在一些实施方式中,通过PECVD工艺沉积包括引入选自甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)和甲苯(C7H8)中的含碳前体。In some embodiments, depositing by a PECVD process includes introducing a material selected from the group consisting of methane (CH 4 ), acetylene (C 2 H 2 ), ethylene (C 2 H 4 ), propylene (C 3 H 6 ), butane (C 4 H ) 10 ), carbonaceous precursors in cyclohexane ( C6H12 ), benzene ( C6H6 ) and toluene ( C7H8 ).
在一些实施方式中,通过PECVD工艺沉积包括引入选自硼烷(BH3)、乙硼烷(B2H6)和三硼烷(B3H7)中的含硼前体。In some embodiments, depositing by a PECVD process includes introducing a boron-containing precursor selected from the group consisting of borane (BH 3 ), diborane (B 2 H 6 ), and triborane (B 3 H 7 ).
在一些实施方式中,通过PECVD工艺沉积包括引入选自五(二甲基酰氨基)钽、三甲基铝、四乙氧基钛、四-二甲基酰氨基钛、四(乙基甲基酰胺)铪、双(环戊二烯基)锰和双(正丙基环戊二烯基)镁中的含金属前体。In some embodiments, depositing by a PECVD process includes introducing a group selected from the group consisting of penta(dimethylamido)tantalum, trimethylaluminum, tetraethoxytitanium, tetra-dimethylamidotitanium, tetrakis(ethylmethyl) amide) metal-containing precursors in hafnium, bis(cyclopentadienyl)manganese and bis(n-propylcyclopentadienyl)magnesium.
在一些实施方式中,PECVD工艺和等离子体处理操作中的一者或两者使用感应耦合等离子体、或电容耦合等离子体、或微波等离子体。在一些实施方式中,PECVD工艺和等离子体处理操作中的一者或两者使用直接等离子体、或远程等离子体、或其组合。在一些实施方式中,PECVD工艺和等离子体处理操作中的一者或两者是离子辅助工艺、或自由基辅助工艺、或其组合。In some embodiments, one or both of the PECVD process and the plasma processing operation use inductively coupled plasma, or capacitively coupled plasma, or microwave plasma. In some embodiments, one or both of the PECVD process and the plasma treatment operation use direct plasma, or remote plasma, or a combination thereof. In some embodiments, one or both of the PECVD process and the plasma treatment operation is an ion-assisted process, or a radical-assisted process, or a combination thereof.
还提供了用于执行本文公开的方法的装置。该装置可以包括一个或多个处理室,例如感应耦合蚀刻室或电容耦合蚀刻室,以及具有用于执行该方法的机器可读指令的控制器。Apparatuses for performing the methods disclosed herein are also provided. The apparatus may include one or more processing chambers, such as an inductively coupled etch chamber or a capacitively coupled etch chamber, and a controller having machine-readable instructions for performing the method.
具体而言,本发明的一些方面可以阐述如下:In particular, some aspects of the present invention can be set forth as follows:
1.一种方法,其包括:1. A method comprising:
执行多循环定向沉积工艺以在图案化结构上沉积掩模构建材料,其中每个循环包括:A multi-cycle directional deposition process is performed to deposit mask build material on the patterned structure, where each cycle includes:
i)通过等离子体增强化学气相沉积(PECVD)工艺在所述图案化结构上沉积第一材料,以及i) depositing a first material on the patterned structure by a plasma enhanced chemical vapor deposition (PECVD) process, and
ii)等离子体处理所述第一材料以改善方向性。ii) Plasma treating the first material to improve directionality.
2.根据条款1所述的方法,其中所述第一材料是基于硅的材料、基于碳的材料、基于硼的材料或其组合。2. The method of clause 1, wherein the first material is a silicon-based material, a carbon-based material, a boron-based material, or a combination thereof.
3.根据条款1所述的方法,其中所述第一材料包括硅、碳、硼、磷、砷和硫中的两种或更多种。3. The method of clause 1, wherein the first material comprises two or more of silicon, carbon, boron, phosphorous, arsenic, and sulfur.
4.根据条款1所述的方法,其中所述第一材料是含金属材料。4. The method of clause 1, wherein the first material is a metal-containing material.
5.根据条款1所述的方法,其中(ii)包括将所述第一材料暴露于基于氮的等离子体、基于氧的等离子体、基于氢的等离子体、基于烃的等离子体、基于氩的等离子体、基于氦的等离子体、或其组合。5. The method of clause 1, wherein (ii) comprises exposing the first material to a nitrogen-based plasma, an oxygen-based plasma, a hydrogen-based plasma, a hydrocarbon-based plasma, an argon-based plasma plasma, helium-based plasma, or a combination thereof.
6.根据条款1所述的方法,其中(ii)包括将所述第一材料暴露于由含氢化合物产生的等离子体。6. The method of clause 1, wherein (ii) comprises exposing the first material to a plasma generated from a hydrogen-containing compound.
7.根据条款5所述的方法,其中所述含氢化合物是H2、CH4、NH3、C2H2和N2H2中的一种。7. The method of clause 5, wherein the hydrogen - containing compound is one of H2 , CH4 , NH3 , C2H2 , and N2H2 .
8.根据条款1所述的方法,其还包括蚀刻由所述图案化结构掩蔽的层。8. The method of clause 1, further comprising etching a layer masked by the patterned structure.
9.根据条款1所述的方法,其中所述图案化结构包括具有特征顶部和特征侧壁的凸起特征。9. The method of clause 1, wherein the patterned structure comprises raised features having feature tops and feature sidewalls.
10.根据条款9所述的方法,其中处理所述第一材料包括将所述第一材料从所述特征侧壁重新沉积到所述特征顶部。10. The method of clause 9, wherein processing the first material comprises redepositing the first material from the feature sidewalls to the feature tops.
11.根据条款1所述的方法,其中每个循环还包括使所述第一材料反应以形成第二材料。11. The method of clause 1, wherein each cycle further comprises reacting the first material to form a second material.
12.根据条款1所述的方法,其中每个循环还包括改变所述第一材料的材料性质。12. The method of clause 1, wherein each cycle further comprises changing a material property of the first material.
13.根据条款12所述的方法,其中所述改变所述第一材料的材料性质包括等离子体处理、暴露于紫外线辐射或热退火中的一种或多种。13. The method of clause 12, wherein the altering the material properties of the first material comprises one or more of plasma treatment, exposure to ultraviolet radiation, or thermal annealing.
14.根据条款1所述的方法,其中通过所述PECVD工艺沉积包括将含硅前体、含碳前体、含硼前体或含金属前体引入等离子体反应器。14. The method of clause 1, wherein depositing by the PECVD process comprises introducing a silicon-containing precursor, a carbon-containing precursor, a boron-containing precursor, or a metal-containing precursor into a plasma reactor.
15.根据条款14所述的方法,其中通过所述PECVD工艺沉积包括引入选自硅烷、卤化硅烷、有机硅烷或氨基硅烷中的含硅前体。15. The method of clause 14, wherein depositing by the PECVD process comprises introducing a silicon-containing precursor selected from the group consisting of silanes, halosilanes, organosilanes, or aminosilanes.
16.根据条款14所述的方法,其中通过所述PECVD工艺沉积包括引入含硅前体,所述含硅前体选自甲基硅烷、乙基硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷、二乙基硅烷、二叔丁基硅烷、烯丙基硅烷、仲丁基硅烷、叔己基硅烷、异戊基硅烷、叔丁基二硅烷、二叔丁基二硅烷、四氯硅烷、三氯硅烷、二氯硅烷、一氯硅烷、氯代烯丙基硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二叔丁基氯硅烷、氯异丙基硅烷、氯仲丁基硅烷、叔丁基二甲基氯硅烷、叔己基二甲基氯硅烷,单氨基硅烷、二氨基硅烷、三氨基硅烷、四氨基硅烷、叔丁基氨基硅烷、甲基氨基硅烷、叔丁基硅烷胺、双(叔丁基氨基)硅烷或甲硅烷基氨基甲酸叔丁酯。16. The method of clause 14, wherein depositing by the PECVD process comprises introducing a silicon-containing precursor selected from the group consisting of methylsilane, ethylsilane, isopropylsilane, tert-butylsilane, Dimethylsilane, diethylsilane, di-tert-butylsilane, allylsilane, sec-butylsilane, tert-hexylsilane, isopentylsilane, tert-butyldisilane, di-tert-butyldisilane, tetrachlorosilane Silane, trichlorosilane, dichlorosilane, monochlorosilane, chloroallylsilane, chloromethylsilane, dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, tert-butylchlorosilane, dichlorosilane tert-butylchlorosilane, chloroisopropylsilane, chlorosec-butylsilane, tert-butyldimethylchlorosilane, t-hexyldimethylchlorosilane, monoaminosilane, diaminosilane, triaminosilane, tetraaminosilane , tert-butylaminosilane, methylaminosilane, tert-butylsilylamine, bis(tert-butylamino)silane or tert-butyl silylcarbamate.
17.根据条款14所述的方法,其中通过所述PECVD工艺沉积包括引入选自甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、环己烷C6H12)、苯(C6H6)和甲苯(C7H8)中的含碳前体。17. The method of clause 14, wherein depositing by the PECVD process comprises introducing a material selected from the group consisting of methane ( CH4 ), acetylene (C2H2 ) , ethylene ( C2H4 ) , propylene ( C3H6 ) , butane (C 4 H 10 ), cyclohexane (C 6 H 12 ), benzene (C 6 H 6 ) and carbonaceous precursors in toluene (C 7 H 8 ).
18.根据条款14所述的方法,其中通过所述PECVD工艺沉积包括引入选自硼烷(BH3)、乙硼烷(B2H6)和三硼烷(B3H7)中的含硼前体。18. The method of clause 14, wherein depositing by the PECVD process comprises introducing a compound selected from the group consisting of borane ( BH3 ) , diborane ( B2H6 ) and triborane ( B3H7 ). Boron precursor.
19.根据条款14所述的方法,其中通过所述PECVD工艺沉积包括引入选自五(二甲基酰氨基)钽、三甲基铝、四乙氧基钛、四-二甲基酰氨基钛、四(乙基甲基酰胺)铪、双(环戊二烯基)锰和双(正丙基环戊二烯基)镁中的含金属前体。19. The method of clause 14, wherein depositing by the PECVD process comprises introducing a group selected from the group consisting of penta(dimethylamido)tantalum, trimethylaluminum, tetraethoxytitanium, tetra-dimethylamidotitanium Metal-containing precursors in tetrakis(ethylmethylamide) hafnium, bis(cyclopentadienyl)manganese, and bis(n-propylcyclopentadienyl)magnesium.
20.根据条款1所述的方法,其中所述PECVD工艺和所述等离子体处理操作中的一者或者两者使用感应耦合等离子体、或电容耦合等离子体或微波等离子体。20. The method of clause 1, wherein one or both of the PECVD process and the plasma treatment operation uses an inductively coupled plasma, or a capacitively coupled plasma, or a microwave plasma.
21.根据条款1所述的方法,其中所述PECVD工艺和所述等离子体处理操作中的一者或两者使用直接等离子体、或远程等离子体、或其组合。21. The method of clause 1, wherein one or both of the PECVD process and the plasma treatment operation uses a direct plasma, or a remote plasma, or a combination thereof.
22.根据条款1所述的方法,其中所述PECVD工艺和所述等离子体处理操作中的一者或两者是离子辅助工艺、或自由基辅助工艺、或其组合。22. The method of clause 1, wherein one or both of the PECVD process and the plasma treatment operation is an ion-assisted process, or a radical-assisted process, or a combination thereof.
23.一种装置,其包括:23. An apparatus comprising:
室,其被构造成保持衬底;a chamber configured to hold the substrate;
与所述室集成或连接到所述室的等离子体产生器;以及a plasma generator integrated with or connected to the chamber; and
控制器,其包括用于执行多循环定向沉积工艺以在图案化结构上沉积掩模构建材料的指令,其中所述指令包括:A controller including instructions for performing a multi-cycle directional deposition process to deposit a mask build material on a patterned structure, wherein the instructions include:
i)用于通过等离子体增强化学气相沉积(PECVD)工艺在所述图案化结构上沉积第一材料的指令,以及i) instructions for depositing a first material on the patterned structure by a plasma enhanced chemical vapor deposition (PECVD) process, and
ii)用于等离子体处理所述第一材料以改善方向性的指令。ii) Instructions for plasma treating the first material to improve directionality.
下面参考附图进一步描述这些和其他方面。These and other aspects are further described below with reference to the accompanying drawings.
附图说明Description of drawings
图1示出了包括在硬掩模上的定向沉积的集成工艺的示例的操作。FIG. 1 illustrates the operation of an example of an integrated process involving directional deposition on a hard mask.
图2示出了在高深宽比特征上定向沉积的方法的示例中的某些操作。2 illustrates certain operations in an example of a method of directional deposition on high aspect ratio features.
图3a-3d示出了在定向沉积工艺期间的三个高深宽比图案化硬掩模特征的示意性示例。3a-3d show schematic examples of three high aspect ratio patterned hardmask features during a directional deposition process.
图4示出了在高深宽比特征上定向沉积的方法的示例中的某些操作。4 illustrates certain operations in an example of a method of directional deposition on high aspect ratio features.
图5和图6是用于执行根据所公开的实施方式所述的方法的工艺室的示例的示意图。5 and 6 are schematic diagrams of examples of process chambers for performing methods according to disclosed embodiments.
具体实施方式Detailed ways
在以下描述中,阐述了许多具体细节以提供对所呈现的实施方式的透彻理解。可以在没有这些具体细节中的一些或全部的情况下实践所公开的实施方式。在其他情况下,没有详细描述公知的工艺操作以免不必要地使所公开的实施方式难以理解。虽然将结合具体实施方式描述所公开的实施方式,但是应当理解,其并不意在限制所公开的实施方式。In the following description, numerous specific details are set forth in order to provide a thorough understanding of the presented embodiments. The disclosed embodiments may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail so as not to unnecessarily obscure the disclosed embodiments. While the disclosed embodiments will be described in conjunction with specific embodiments, it will be understood that no limitation of the disclosed embodiments is intended.
在半导体加工中,掩蔽方法用于图案化和蚀刻衬底。蚀刻期间的掩模损耗(也称为掩模侵蚀)是蚀刻高深宽比特征(例如孔和沟槽)中的关键挑战。本文提供涉及在掩模上或在衬底图案上沉积膜的方法。沉积可以是衬底选择性的(使得膜相对于衬底具有高蚀刻选择性)和图案选择性的(使得膜定向沉积在图案上并且复制图案)。沉积的材料被称为掩模构建材料。在一些实施方式中,在与执行蚀刻的室相同的室中执行沉积,也称为原位沉积。在一些实施方式中,沉积可以在通过传送室连接到主蚀刻室的单独的室(例如,PECVD室或不同的蚀刻室)中执行。应当注意,尽管描述主要涉及在图案化的硬掩模上的沉积,但是本文公开的方法包括在任何图案化的结构上的定向沉积以复制结构图案。In semiconductor processing, masking methods are used to pattern and etch substrates. Mask loss during etching (also known as mask erosion) is a key challenge in etching high aspect ratio features such as holes and trenches. Provided herein are methods involving depositing films on masks or on substrate patterns. The deposition can be substrate-selective (so that the film has high etch selectivity with respect to the substrate) and pattern-selective (so that the film is directionally deposited on the pattern and replicates the pattern). The deposited material is referred to as the mask build material. In some embodiments, the deposition is performed in the same chamber as the etching is performed, also referred to as in-situ deposition. In some embodiments, the deposition may be performed in a separate chamber (eg, a PECVD chamber or a different etch chamber) connected to the main etch chamber through the transfer chamber. It should be noted that although the description primarily refers to deposition on a patterned hardmask, the methods disclosed herein include directional deposition on any patterned structure to replicate the structure pattern.
可以在蚀刻工艺期间之前或在蚀刻工艺期间的选定间隔执行掩模构建材料的沉积。图1示出了包括在硬掩模上的定向沉积的集成工艺的示例的操作。在图1中,在10处,在待蚀刻的材料101上形成硬掩模105和光致抗蚀剂109。硬掩模105可以是任何适当的材料,包括有机或无机硬掩模。有机硬掩模的示例包括掺杂或未掺杂的无定形碳(也称为可灰化硬掩模或AHM)和有机硅氧烷材料。无机硬掩模材料的示例包括多晶硅和非晶硅(poly-Si,a-Si)、氧化硅(SiO)、氮化硅(SiN)、氮氧化硅(SiON)、碳氮化硅(SiCN)、氮化钛(TiN)、钨(W)和在特征蚀刻之后可以选择性去除的其他金属。硬掩模可以是掺杂的,示例是硼掺杂的AHM。在一些实施方式中,硬掩模可以是金属硬掩模(MHM),其示例包括金属(例如铝(Al)、金属氮化物(例如TiN和氮化钽(TaN)、钨(W)和金属氧化物(例如氧化铝(Al2O3))。在一些实施方式中,硬掩模可以是陶瓷硬掩模(CHM)。The deposition of the mask build material may be performed before or at selected intervals during the etching process. FIG. 1 illustrates the operation of an example of an integrated process involving directional deposition on a hard mask. In FIG. 1, at 10, a
然后,该工艺进行光致抗蚀剂显影(20)和硬掩模打开(30)以暴露待蚀刻的材料。在图1的示例中,在蚀刻材料101之前,将掩模构建材料111定向沉积在硬掩模105上以增大硬掩模105的图案化特征的深宽比。参见操作40。这使得随后的蚀刻能进行更长时间以提供更深的蚀刻。然后蚀刻材料101。参见操作50。在图1的示例中,在蚀刻期间完全去除掩模构建材料111。然而,在一些实施方式中,其中一些可以保留。在操作50,如果蚀刻完成,则可以通过适当的工艺去除硬掩模105。然而,在一些实施方式中,可以在50之后执行掩模构建材料的图案上定向沉积(an on-pattern directional deposition),以在继续蚀刻工艺之前增大硬掩模的图案化特征的深宽比。被腐蚀的掩模材料可以用相同或相似的材料或不同的材料恢复并根据需要恢复到相同或不同的轮廓。The process then proceeds with photoresist development (20) and hardmask opening (30) to expose the material to be etched. In the example of FIG. 1 , before etching
图2示出了在高深宽比特征上定向沉积的方法中的某些操作。如上所述,定向沉积可以在蚀刻工艺之前或在蚀刻工艺的中间在蚀刻室中进行,以增大覆盖待蚀刻材料的掩模的深宽比。Figure 2 illustrates certain operations in a method of directional deposition on high aspect ratio features. As mentioned above, directional deposition can be performed in the etch chamber before or in the middle of the etch process to increase the aspect ratio of the mask covering the material to be etched.
在图2中,通过等离子体增强化学气相沉积(PECVD)工艺将掩模构建材料沉积在高深宽比特征上。见框201。掩模构建材料通常不同于掩模材料,可以通过PECVD沉积,并且对待蚀刻的材料具有至少一些蚀刻选择性。因此,掩模构建材料将取决于待蚀刻的材料和待使用的蚀刻化学过程。通常,掩模构建材料是介电材料。示例包括含硅膜和含碳膜及其组合。In Figure 2, the mask build material is deposited on the high aspect ratio features by a plasma enhanced chemical vapor deposition (PECVD) process. See
为了促进定向沉积,在一些实施方式中,沉积化学品可以包括具有高粘着系数和低迁移率的分子。粘着系数是在相同时间段期间粘附于表面的吸附分子的数量与撞击表面的分子的总数的比率。粘着系数取决于尺寸(大分子具有较高粘着系数)和表面上吸附的倾向性。To facilitate directional deposition, in some embodiments, the deposition chemistry may include molecules with high adhesion coefficients and low mobility. The adhesion coefficient is the ratio of the number of adsorbed molecules adhering to the surface to the total number of molecules hitting the surface during the same time period. The adhesion coefficient depends on the size (large molecules have higher adhesion coefficients) and the propensity for adsorption on the surface.
迁移率是指分子的表面和气体扩散速率。在一些实施方式中,可以使用包括聚合物链的沉积化学品。这种链可以在PECVD工艺期间在等离子体中形成。例如,可以将带有氢(H2)的氯硅烷引入到室中。等离子体可以被激励,产生自由基(用a*表示)和离子,随后的反应产生氯化聚硅烷。Mobility refers to the surface and gas diffusion rates of molecules. In some embodiments, deposition chemistries that include polymer chains may be used. Such chains can be formed in the plasma during the PECVD process. For example, chlorosilane with hydrogen ( H2 ) can be introduced into the chamber. The plasma can be excited, producing free radicals (denoted by a*) and ions, and subsequent reactions produce chlorinated polysilanes.
等离子体反应的示例包括:Examples of plasma reactions include:
H2+e-→2H*+e- H 2 +e - →2H*+e -
SiCl4+e-→SiCl3+Cl*+e- SiCl 4 +e - →SiCl 3 +Cl*+e -
SiCl4+H*→SiCl3*+HClSiCl 4 +H*→SiCl 3 *+HCl
SiCl4+2H*→HSiCl3+HClSiCl 4 +2H*→HSiCl 3 +HCl
SiCl3*+2H*→SiCl2*+HCl+H*,SiCl 3 *+2H*→SiCl 2 *+HCl+H*,
或→HSiCl2*+Cl*+H*,or →HSiCl 2 *+Cl*+H*,
或→HSiCl3+H*,or →HSiCl 3 +H*,
或→H2SiCl*+2Cl*,or →H 2 SiCl*+2Cl*,
n(SiCl2*)+mSiCl3*→Si2Cl6+…→Si3Cl8+…→SinCl2n+2 n(SiCl 2 *)+mSiCl 3 *→Si 2 Cl 6 +…→Si 3 Cl 8 +…→Si n Cl 2n+2
n(HSiCl*)+mH2SiCl*→H2Si2Cl4+→HxSinCl2n+2-x n(HSiCl*)+mH 2 SiCl*→H 2 Si 2 Cl 4 +→H x Si n Cl 2n+2-x
还在表面上发生的类似反应使得沉积过程更复杂。Similar reactions also taking place on the surface complicate the deposition process.
氯化聚硅烷可以是大簇。氯硅烷和氯化聚硅烷都具有高粘着系数和低迁移率,这有助于提供图案上的定向沉积。下面进一步讨论其他示例性沉积化学过程。在框201之后,沉积在高深宽比特征上的掩模构建材料可以被定向沉积,沉积的材料在特征的顶部比沿着侧壁和在特征底部更厚。图3a示出了框201之后的高深宽比图案化硬掩模特征的示意性示例。在图3a的示例中,图案化硬掩模特征303被示出为覆盖待蚀刻的材料309。图案化硬掩模特征303可以被表征为具有特征顶部305和侧壁307。图案化硬掩模特征303形成高深宽比孔313,其可以是例如接触孔或沟槽。孔313的底部311可以被称为特征底部。Chlorinated polysilanes can be large clusters. Both chlorosilanes and chlorinated polysilanes have high adhesion coefficients and low mobility, which help provide directional deposition on patterns. Other exemplary deposition chemistries are discussed further below. After
在一些实施方式中,在PECVD沉积期间将偏置电压施加到晶片。这可以增大等离子体中各种物质的粘着系数。例如,偏置电压可以增大氯硅烷离子基团的粘着系数。In some embodiments, a bias voltage is applied to the wafer during PECVD deposition. This can increase the adhesion coefficient of various species in the plasma. For example, the bias voltage can increase the adhesion coefficient of the chlorosilane ionic group.
在含硅前体和稀释气体的气体混合物中产生的等离子体301用于在硬掩模上沉积硅膜。示例性的等离子体物质包括SiHyClx*自由基302、H*原子308和氯化聚硅烷306。氯化氢(HCl)物质304作为副产物产生。含硅物质在图案化硬掩模特征303上沉积硅构建材料312。在图3a的示例中,沉积是定向的,因为在特征顶部305上比在侧壁上307和底部311上沉积了较多的构建材料312。构建材料312的厚度随着特征的深度增大而减小。The
回到图2,然后处理所沉积的掩模构建材料以增强方向性。见框203。增强方向性可以增大掩模构建材料的深宽比。在一些实施方式中,掩模构建材料在图案化硬掩模的侧壁上不超过几纳米厚。方向性还可以用顶部:底部台阶覆盖率或顶部:侧壁台阶覆盖率来表征。在图3d中,例如,320表示顶部厚度,322表示底部厚度,而324表示侧壁厚度。台阶覆盖率是两个厚度的比率,例如,顶部:底部台阶覆盖率或顶部:侧壁台阶覆盖率。在测量侧壁厚度时,可以使用特征深度的中点处的厚度。在一些实施方式中,处理操作增大了顶部:底部台阶覆盖率或顶部:侧壁台阶覆盖率。在一些实施方式中,框203还修改沉积材料的材料性质(例如,密度、化学成分或蚀刻电阻率)。Returning to Figure 2, the deposited mask build material is then processed to enhance directionality. See
操作203可以包括将掩模构建材料暴露于具有高迁移率并且可以蚀刻掩模构建材料的等离子体物质。等离子体物质可以相对于待蚀刻的材料和/或相对于该硬掩模选择性地化学蚀刻掩模构建材料。在一些实施方式中,该化学蚀刻的反应产物作为构建材料再沉积在图案化硬掩模特征的上部上。
在一些实施方式中,使用基于氢的等离子体。基于氢的等离子体是一种等离子体,在该等离子体中,氢物质(主要是H自由基)是主要处理物质并且在一些实施方式中可以是主要蚀刻物质。在一些实施方式中,基于氢的等离子体可以由基本上由H2组成的气体形成。在一些实施方式中,一种或多种惰性气体可以与H2一起存在。一种基于氢的等离子体可以选择性地蚀刻硅而不蚀刻氧化物。In some embodiments, a hydrogen-based plasma is used. A hydrogen-based plasma is a plasma in which hydrogen species (primarily H radicals) are the primary process species and in some embodiments may be the primary etch species. In some embodiments, the hydrogen-based plasma can be formed from a gas consisting essentially of H2 . In some embodiments, one or more inert gases may be present with H 2 . A hydrogen-based plasma can selectively etch silicon without etching oxide.
在一些实施方式中,将包括下述中的一种或多种的等离子体产生气体引入等离子体产生器以产生等离子体物质。在一些实施方式中,该等离子体产生气体包括一种或多种含氢等离子体。这种气体的示例包括H2、CH4、NH3、C2H2和N2H2。所得等离子体可以是基于氢的等离子体。In some embodiments, a plasma generating gas including one or more of the following is introduced into a plasma generator to generate plasma species. In some embodiments, the plasma generating gas includes one or more hydrogen-containing plasmas. Examples of such gases include H2 , CH4 , NH3 , C2H2 , and N2H2 . The resulting plasma may be a hydrogen-based plasma.
在一些实施方式中,可以使用基于氮的等离子体、基于氧的等离子体、基于烃的等离子体,基于氩的等离子体或基于氦的等离子体。在基于氮的等离子体中,主要处理物质是氮,在基于氧的等离子体中,主要处理物质是氧等等。在一些实施方式中,这些可以是用于涉及蚀刻的处理的主要蚀刻物质。In some embodiments, nitrogen-based plasmas, oxygen-based plasmas, hydrocarbon-based plasmas, argon-based plasmas, or helium-based plasmas may be used. In nitrogen-based plasmas, the main treatment species is nitrogen, in oxygen-based plasmas, the main treatment species is oxygen, and so on. In some embodiments, these may be the primary etching species used for processes involving etching.
图3b示出了在框203之后的高深宽比图案化硬掩模特征的示意性示例。处理等离子体321可以包括例如Ar离子、Si离子、H*原子、N离子和Cl*原子中的一种或多种。从等离子体或从单独的UV源产生的紫外光也可以在室中。FIG. 3b shows a schematic example of a high aspect ratio patterned hardmask feature after
在图3b中,处理等离子体包括H*原子308、N离子309和Ar离子310。这些可以深入到孔313中并且从特征的侧壁307和底部311蚀刻构建材料312。一些被蚀刻的构建材料可以重新沉积在特征的顶部305处。各种产品物质315可以形成并导致再沉积或作为副产品离开。产品物质的示例可包括SiClxHy物质、SixHy和NxHy物质。以这种方式,相比于朝向侧壁和底部而言,改善了掩模构建材料朝向图案化硬掩模特征的顶部的方向性。回到图2,框201和203可以重复一次或多次以获得期望的深宽比。框201可以执行时间仅仅长到足以在特征的侧壁和底部沉积仅仅薄层的掩模构建材料,使得其可以在框203中被去除。每个循环的示例性的顶部厚度可以在至或至的范围内。图3c示出了在第N和第(N+1)循环中图案化的硬掩模和掩模构建材料的示意性示例。In Figure 3b, the processing plasma includes H*
图4示出了在高深宽比特征上定向沉积的方法中的某些操作。如上所述,定向沉积可以在蚀刻工艺之前或在蚀刻工艺的中间在蚀刻室中发生,以增加覆盖待蚀刻的材料的掩模的深宽比。图4中描述的工艺类似于相对于图2描述的工艺,其中操作201和203如上所述执行。然而,在图4的示例中,在处理沉积的材料以增大图案选择比之后,掩模构建材料可以发生反应以增大蚀刻选择比。参见框204。在一个示例中,硅掩模构建材料可暴露于含碳气体以形成碳化硅。这对于形成针对氧化物具有高蚀刻选择性的掩模构建材料是特别有用的。在其他示例中,硅掩模构建材料可以暴露于含氮气体以形成氮化硅。4 illustrates certain operations in a method of directional deposition on high aspect ratio features. As mentioned above, directional deposition can occur in the etch chamber before or in the middle of the etch process to increase the aspect ratio of the mask covering the material to be etched. The process described in FIG. 4 is similar to the process described with respect to FIG. 2, with
在一些实施方式中,图4中的操作204可以在操作203之前执行,使得掩模构建材料在所述处理过程之前反应以形成另一种材料。在一些实施方式中,操作204可以仅在操作203和204的多个循环之后执行。例如,操作204可以在操作205之后执行。In some embodiments,
在一些实施方式中,可以在图2中的操作203或图4中的操作204之后执行可选的致密化操作。如果执行,致密化操作可以涉及例如热退火、暴露于紫外辐射、或等离子体致密化处理。在一些实施方式中,可以在图2或图4中的操作205之后执行可选的致密化操作。在一些实施方式中,操作203和204可以使用适当的化学物质同时执行。In some embodiments, an optional densification operation may be performed after
在沉积和处理期间,调整工艺条件以提供没有夹断的非共形沉积。夹断(pinch-off)指的是相邻特征一起生长以夹断特征之间的区域。如根据实验结果在下面进一步讨论的,可以调整各种工艺条件以在PECVD沉积期间提供定向沉积,以及在处理操作期间提供改善的方向性和竖直侧壁。循环(而不是连续PECVD)并使用处理操作已经显示出在一些实施方式中有助于良好限定的高深宽比的构建材料特征。在PECVD沉积期间的偏置电压也可增加非共形性并减少夹断。沉积期间的停留时间(流速)和等离子体功率也影响非共形性和夹断。在处理操作期间添加添加剂气体可以帮助防止蚀刻在特征顶部的先前沉积的材料。在处理期间的等离子体功率和暴露时间也可以被调整以限制对硬掩模壁和底部的蚀刻。During deposition and processing, process conditions are adjusted to provide non-conformal deposition without pinch-off. Pinch-off refers to adjacent features growing together to pinch off the area between the features. As discussed further below based on experimental results, various process conditions can be adjusted to provide directional deposition during PECVD deposition, as well as improved directionality and vertical sidewalls during processing operations. Cycling (rather than continuous PECVD) and using processing operations have been shown to facilitate well-defined high aspect ratio build material characteristics in some embodiments. Bias voltage during PECVD deposition can also increase non-conformity and reduce pinch off. Residence time (flow rate) and plasma power during deposition also affect non-conformity and pinch-off. Adding additive gases during processing operations can help prevent etching of previously deposited material on top of features. Plasma power and exposure time during processing can also be adjusted to limit etching of the hardmask walls and bottom.
虽然上述讨论集中于沉积基于硅的掩模构建材料,但是可以使用其他材料,例如碳膜。在沉积硅时,可以使用任何适当的含硅前体,包括硅烷(例如SiH4)、聚硅烷(H3Si-(SiH2)n-SiH3)(其中n>1)、有机硅烷、卤代硅烷和氨基硅烷。可以使用有机硅烷,如甲基硅烷、乙基硅烷、异丙基硅烷、叔丁基硅烷、二甲基硅烷、二乙基硅烷、二叔丁基硅烷、烯丙基硅烷、仲丁基硅烷、叔己基硅烷、异戊基硅烷、叔丁基二硅烷、二叔丁基二硅烷等。卤化硅烷含有至少一个卤素基团并且可以含有或可以不含有氢和/或碳基团。卤代硅烷的示例是碘代硅烷、溴代硅烷、氯代硅烷和氟代硅烷。具体的氯硅烷是四氯硅烷(SiCl4)、三氯硅烷(HSiCl3)、二氯硅烷(H2SiCl2)、单氯硅烷(ClSiH3)、氯烯丙基硅烷、氯甲基硅烷、二氯甲基硅烷、氯二甲基硅烷、氯乙基硅烷、叔丁基氯硅烷、二叔丁基氯硅烷、氯异丙基硅烷、氯仲丁基硅烷、叔丁基二甲基氯硅烷、叔丁基二甲基氯硅烷等。氨基硅烷包括与硅原子键合的至少一个氮原子,但也可以含有氢、氧、卤素和碳。氨基硅烷的示例是单氨基硅烷、二氨基硅烷、三氨基硅烷和四氨基硅烷(分别为H3Si(NH2)4、H2Si(NH2)2、HSi(NH2)3和Si(NH2)4),以及经取代的单氨基硅烷、二氨基硅烷、三氨基硅烷和四氨基硅烷,例如叔丁基氨基硅烷、甲基氨基硅烷、叔丁基硅烷胺、双(叔丁基氨基)硅烷(SiH2(NHC(CH3)3)2(BTBAS))、甲硅烷基氨基甲酸叔丁酯、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3等。While the above discussion has focused on depositing silicon-based mask building materials, other materials, such as carbon films, may be used. In depositing silicon, any suitable silicon-containing precursor may be used, including silanes (eg SiH4 ), polysilanes ( H3Si- (SiH2) n - SiH3 ) (where n>1), organosilanes, halogens Substituted silanes and amino silanes. Organosilanes such as methylsilane, ethylsilane, isopropylsilane, tert-butylsilane, dimethylsilane, diethylsilane, di-tert-butylsilane, allylsilane, sec-butylsilane, Tert-hexylsilane, isopentylsilane, tert-butyldisilane, di-tert-butyldisilane, etc. Halogenated silanes contain at least one halogen group and may or may not contain hydrogen and/or carbon groups. Examples of halosilanes are iodosilanes, bromosilanes, chlorosilanes and fluorosilanes. Specific chlorosilanes are tetrachlorosilane (SiCl4), trichlorosilane (HSiCl3 ) , dichlorosilane ( H2SiCl2 ), monochlorosilane ( ClSiH3 ) , chloroallylsilane, chloromethylsilane, Dichloromethylsilane, chlorodimethylsilane, chloroethylsilane, tert-butylchlorosilane, di-tert-butylchlorosilane, chloroisopropylsilane, chlorosec-butylsilane, tert-butyldimethylchlorosilane , tert-butyldimethylsilyl chloride, etc. Aminosilanes include at least one nitrogen atom bonded to a silicon atom, but may also contain hydrogen, oxygen, halogen and carbon. Examples of aminosilanes are mono-, di-, tri-, and tetra-amino silanes (H 3 Si(NH 2 ) 4 , H 2 Si(NH 2 ) 2 , HSi(NH 2 ) 3 and Si ( NH 2 ) 4 ), and substituted mono-, di-, tri- and tetra-amino silanes, such as tert-butylaminosilane, methylaminosilane, tert-butylsilylamine, bis(tert-butylamino) ) Silane (SiH 2 (NHC(CH 3 ) 3 ) 2 (BTBAS)), tert-butyl silylcarbamate, SiH(CH 3 )-(N(CH 3 ) 2 ) 2 , SiHCl-(N(CH ) 3 ) 2 ) 2 , (Si(CH 3 ) 2 NH) 3 and the like.
沉积膜通常是无定形的,膜组成将取决于所使用的特定前体和共反应物,有机硅烷导致a-SiC:H膜,而氨基硅烷将导致a-SiN:H或a-SiCN:H膜。The deposited films are generally amorphous and the film composition will depend on the specific precursors and co-reactants used, organosilanes will result in a-SiC:H films, while aminosilanes will result in a-SiN:H or a-SiCN:H membrane.
在沉积碳膜中,可以使用任何合适的含碳前体。在一些实施方式中,可以使用式为CxHy的烃前体,其中X为介于2和10之间的整数,而Y为介于2和24之间的整数。示例包括甲烷(CH4)、乙炔(C2H2)、乙烯(C2H4)、丙烯(C3H6)、丁烷(C4H10)、环己烷(C6H12)、苯(C6H6)和甲苯(C7H8)。In depositing carbon films, any suitable carbon-containing precursor may be used. In some embodiments, hydrocarbon precursors of the formula CxHy , where X is an integer between 2 and 10 and Y is an integer between 2 and 24, can be used. Examples include methane (CH 4 ), acetylene (C 2 H 2 ), ethylene (C 2 H 4 ), propylene (C 3 H 6 ), butane (C 4 H 10 ), cyclohexane (C 6 H 12 ) , benzene (C 6 H 6 ) and toluene (C 7 H 8 ).
在一些实施方式中,所述构建材料可以是掺杂的或包括诸如硼或磷之类的材料。附加的掺杂剂包括砷、硫和硒。以这种方式,可以改善对下伏膜的蚀刻选择性。例如,对于掺杂的电介质(特别是基于二氧化硅的电介质),工艺气体可以包括掺杂剂前体,例如含硼气体、含磷气体、含碳气体或其混合物。在一具体实施方案中,气体包含一种或多种含硼反应物和一种或多种含磷反应物,并且介电膜包括磷掺杂的和硼掺杂的氧化硅玻璃(BPSG)。合适的硼前体气体和磷前体气体的示例包括硼烷(BH3)、乙硼烷(B2H6)和三硼烷(B3H7)以及磷化氢(PH3)。含砷气体、含硫气体和含硒气体的示例包括硒化氢(H2Se),砷化氢(AsH3)和硫化氢(H2S)。In some embodiments, the build material may be doped or include materials such as boron or phosphorous. Additional dopants include arsenic, sulfur and selenium. In this way, the etch selectivity to the underlying film can be improved. For example, for doped dielectrics (especially silica-based dielectrics), the process gas may include dopant precursors such as boron-containing gases, phosphorus-containing gases, carbon-containing gases, or mixtures thereof. In a specific embodiment, the gas includes one or more boron-containing reactants and one or more phosphorus-containing reactants, and the dielectric film includes phosphorus-doped and boron-doped silica glass (BPSG). Examples of suitable boron and phosphorus precursor gases include borane ( BH3 ) , diborane ( B2H6 ) and triborane ( B3H7 ) , and phosphine (PH3). Examples of the arsenic-containing gas, the sulfur-containing gas, and the selenium-containing gas include hydrogen selenide (H 2 Se), arsine (AsH 3 ), and hydrogen sulfide (H 2 S).
如果电介质将包含氮氧化物(例如,氮氧化硅),则沉积气体可以包括含氮反应物,例如N2、NH3、NO、N2O及其混合物。所沉积的膜的示例包括被硼掺杂的硅、硼化硅、硼化硅碳等。If the dielectric is to contain oxynitride (eg, silicon oxynitride), the deposition gas may include nitrogen-containing reactants such as N2 , NH3 , NO, N2O , and mixtures thereof. Examples of deposited films include boron-doped silicon, silicon boride, silicon boride carbon, and the like.
也可以沉积含金属的膜。可以形成的含金属膜的示例包括铝、钛、铪、钽、钨、锰、镁、锶等的氧化物和氮化物,以及元素金属膜。示例性的前体可包括金属烷基胺、金属醇盐、金属烷基酰胺、金属卤化物、金属β-二酮酸盐、金属羰基化合物、有机金属化合物等。合适的含金属前体将包括被期望并入膜中的金属。例如,可以通过使五(二甲基酰氨基)钽与氨或另一种作为辅助反应物的还原剂反应来沉积含钽层。可使用的含金属前体的其他示例包括三甲基铝、四乙氧基钛、四-二甲基-酰氨基钛、四(乙基甲基酰胺)铪、双(环戊二烯基)锰和双(正丙基环戊二烯基)镁等。Metal-containing films can also be deposited. Examples of metal-containing films that may be formed include oxides and nitrides of aluminum, titanium, hafnium, tantalum, tungsten, manganese, magnesium, strontium, etc., as well as elemental metal films. Exemplary precursors may include metal alkylamines, metal alkoxides, metal alkylamides, metal halides, metal beta-diketonates, metal carbonyls, organometallic compounds, and the like. Suitable metal-containing precursors would include the metals desired to be incorporated into the film. For example, the tantalum-containing layer can be deposited by reacting penta(dimethylamido)tantalum with ammonia or another reducing agent as a secondary reactant. Other examples of metal-containing precursors that may be used include trimethylaluminum, tetraethoxytitanium, tetra-dimethyl-amidotitanium, tetrakis(ethylmethylamide)hafnium, bis(cyclopentadienyl) Manganese and bis(n-propylcyclopentadienyl)magnesium, etc.
除氢以外,处理化学物质的示例包括含氮的处理化学物质、含氧的处理化学物质、含碳的处理化学物质和含卤素的处理化学物质以及惰性气体。In addition to hydrogen, examples of treatment chemistries include nitrogen-containing treatment chemistries, oxygen-containing treatment chemistries, carbon-containing treatment chemistries, and halogen-containing treatment chemistries, and inert gases.
在一些实施方式中,可以组合框203和204。例如,操作可以包括将所沉积的材料暴露于含氢化合物,例如CH4、NH3、H2Se、H2S、AsH3或PH3,以同时处理所沉积的材料以及使所沉积的材料反应。In some implementations, blocks 203 and 204 may be combined. For example, operations may include exposing the deposited material to a hydrogen - containing compound , such as CH4 , NH3 , H2Se, H2S, AsH3 , or PH3 , to simultaneously process and expose the deposited material reaction.
装置device
在一些实施方式中,在蚀刻装置中执行定向沉积。例如,上述方法可以在感应耦合等离子体蚀刻装置中或电容耦合等离子体蚀刻装置中执行。In some embodiments, the directional deposition is performed in an etching apparatus. For example, the above-described method may be performed in an inductively coupled plasma etching apparatus or in a capacitively coupled plasma etching apparatus.
图5根据本文的某些实施方式示意性地示出了感应耦合等离子体蚀刻装置500的横截面图。由加利福尼亚州弗里蒙特的朗姆研究公司(Lam Research Corp.)生产的Kiyo TM反应器是可用于实施本文所描述的技术的合适的反应器的一个示例。所述感应耦合等离子体蚀刻装置500包括在结构上由室壁501和窗511限定的总处理室。室壁501可以由不锈钢或铝制成。窗511可以由石英或其他介电材料制成。任选的内部等离子体栅格550将总蚀刻室分为上副室502和下副室503。在大多数实施方式中,等离子体栅格550可以被移除,从而利用由副室502和503制成的室空间。卡盘517定位在下副室503中在底部内表面附近。卡盘517被配置成接收和保持在其上执行蚀刻工艺的半导体晶片519。卡盘517可以是当晶片519存在时用于支撑晶片519的静电卡盘。在一些实施方式中,边缘环(未示出)围绕卡盘517,并具有大致与晶片519(当晶片存在于卡盘517上方时)的顶表面在同一平面的上表面。卡盘517还包括用于夹紧和放松晶片的静电电极。可设置过滤器和DC钳位功率源(未示出)用于此目的。也可以提供其他的控制系统以用于提升晶片519使其离开卡盘517。卡盘517可以用RF功率源523充电。RF功率源523通过连接件527被连接到匹配电路521。匹配电路521通过连接件525连接到卡盘517。以这种方式,RF功率源523被连接到卡盘517上。5 schematically illustrates a cross-sectional view of an inductively coupled
线圈533位于窗511的上方。线圈533由导电材料制成,并包括至少一整匝。在图5中所示的示例性线圈533包括三匝。线圈533的横截面用符号示出,并且具有“X”的线圈533旋转地延伸到页面内,而具有“●”的线圈533从页面旋转地延伸出来。RF功率源541被配置为提供RF功率至线圈533。一般地,RF功率源541通过连接件545被连接到匹配电路539。匹配电路539通过连接件543连接到线圈533。以这种方式,RF功率源541被连接到线圈533。可选的法拉第屏蔽件549被定位在线圈533和窗511之间。法拉第屏蔽件549以相对于线圈533隔开的关系被保持。法拉第屏蔽件549被设置在窗511的正上方。线圈533、法拉第屏蔽件549和窗511各自被配置为基本上彼此平行。法拉第屏蔽件可以防止金属或其他物质沉积在等离子体室的介电窗上
工艺气体可以通过位于上室的主注入端口560和/或通过侧注入端口570供给,有时称作STG。在操作的等离子体处理过程中,通过使用封闭环控制的流量限制装置例如节流阀(未示出)或钟摆阀(未示出),真空泵(例如,一级或两级干式机械泵和/或涡轮分子泵540)可用于将工艺气体从处理室524抽出并维持处理室500内的压力。Process gas may be supplied through the
在装置的操作过程中,一种或多种反应物气体可通过注入端口560和/或570供给。在某些实施方式中,气体可以仅通过主注入端口560供给,或者仅通过侧注入端口570供给。在一些情况下,注入端口可以由喷头替代。法拉第屏蔽件549和/或任选的栅格550可以包括使工艺气体能输送至室的内部通道和孔。法拉第屏蔽件549和任选的栅格550中的一者或两者可以作为用于输送工艺气体的喷头。During operation of the device, one or more reactant gases may be supplied through
射频功率从RF功率源541供给到线圈533以使RF电流流过线圈533。流过线圈533的RF电流产生围绕线圈533的电磁场。电磁场产生在上副室502内的感应电流。在蚀刻工艺期间,所生成的各种离子和自由基与晶片519的物理和化学相互作用选择性地蚀刻晶片上的特征。RF power is supplied to
如果使用等离子体栅格使得存在上副室502和下副室503二者,则感应电流作用于存在于上副室502中的气体上以在上副室502中产生电子-离子等离子体。任选的内部等离子体栅格550限制下副室503中的热电子的量。在一些实施方式中,设计和操作所述装置使得存在于下副室503中的等离子体是离子-离子等离子体。If a plasma grid is used such that both the
上部的电子-离子等离子体和下部的离子-离子等离子体二者都可包含阳离子和阴离子,尽管离子-离子等离子体将具有更大的阴离子:阳离子的比率。挥发性的蚀刻的副产物可通过端口522从下副室503去除。Both the upper electron-ion plasma and the lower ion-ion plasma may contain cations and anions, although the ion-ion plasma will have a greater ratio of anions:cations. Volatile etch by-products may be removed from the lower
本发明所公开的卡盘517可在约30℃至约250℃之间的升高的温度范围内操作。该温度将取决于蚀刻工艺操作和具体配方。在一些实施方式中,室501还可在介于约1毫托和约95毫托之间的范围内的压强下操作。在某些实施方式中,压强可以是较高的,如上所公开的。The disclosed
室501可以在设施(未示出)安装于洁净室或制造厂中时耦合到设施上。设施包括管道,管道提供处理气体、真空、温度控制和环境微粒控制。这些设施当安装在目标制造厂时耦合在室501上。此外,室501可耦合到传送室,从而允许使用典型的自动化由机械手进出室501传送半导体晶片。
在一些实施方式中,系统控制器530(其可以包括一个或多个物理的或逻辑的控制器)控制蚀刻室的一些或所有操作。控制器在下文进一步描述。In some embodiments, system controller 530 (which may include one or more physical or logical controllers) controls some or all operations of the etch chamber. The controller is described further below.
图6是根据多种实施方式的电容耦合等离子体蚀刻装置的示例的示意图。等离子体蚀刻室600包括上电极602和下电极604,在上电极602和下电极604之间可产生等离子体。上面具有图案化的硬掩膜并如上所述的衬底699可以被放置在下电极604上并可以通过静电卡盘(ESC)固定在适当的位置。也可以采用其它夹持机构。等离子体蚀刻室600可以包括等离子体约束环606,其将等离子体维持在衬底上方并远离室壁。可以采用其他等离子体约束结构,例如作为充当内壁的护罩。在一些实施方式中,等离子体蚀刻室可以不包括任何这样的等离子体约束结构。6 is a schematic diagram of an example of a capacitively coupled plasma etching apparatus in accordance with various embodiments. The plasma etch chamber 600 includes an
在图6的实施例中,等离子体蚀刻室600包括两个RF源,连接到上电极602的RF源610和连接到下电极604的RF源612。RF源610和612中的每一个可以包括任何适当的频率的一个或多个源,适当的频率包括2MHz、13.56MHz、27MHz和60MHz。气体可以从一个或多个气体源614、616和618被引入室。例如,气体源614可包括如上所述的沉积气体和蚀刻气体。气体可以通过入口620被引入到室,过量气体和反应副产物经由排放泵622排出。In the embodiment of FIG. 6 , plasma etch chamber 600 includes two RF sources, RF source 610 connected to
可以采用的等离子体蚀刻室的一个例子是从美国加州弗里蒙特的Lam ResearchCorp.可购得的FlexTM反应离子蚀刻工具。等离子体蚀刻室的进一步描述可在美国专利No.6,841,943和No.8,552,334中找到,其全部内容通过引用并入本文。An example of a plasma etch chamber that can be used is commercially available from Lam Research Corp. of Fremont, CA, USA Flex TM reactive ion etch tool. Further descriptions of plasma etch chambers can be found in US Pat. Nos. 6,841,943 and 8,552,334, the entire contents of which are incorporated herein by reference.
回到图6,控制器530被连接到RF源610和612以及与气体源614、616和618相关联的阀,以及排放泵622。在一些实施方式中,控制器530控制等离子体蚀刻室600的所有活动。Returning to FIG. 6 , the
控制器530的下述讨论可以根据情况应用于图5和图6中的控制器530。控制器530可以执行在大容量存储装置中存储的、加载到存储器装置的、并在处理器上执行的控制软件。替代地,控制逻辑可被硬编码在控制器530中。替代地,控制逻辑可被硬编码在控制器530中。专用集成电路、可编程逻辑器件(例如,现场可编程门阵列,或FPGA)等可以用于这些目的。在下面的讨论中以及在图6中的控制器的讨论中,无论在哪里使用“软件”或“代码”,在它的位置就可使用功能上相当的硬编码逻辑。The following discussion of
控制软件可以包括用于控制以下室操作条件中的任何一个或多个的应用定时和/或大小的指令:气体的混合物和/或组成、室压强、室温度、晶片温度/晶片支撑件温度、施加到晶片的偏置、施加到线圈或其它等离子体产生部件的频率和功率、晶片位置、晶片移动速度以及由工具执行的特定过程的其它参数。控制软件可以以任何合适的方式配置。例如,可以编写各种处理工具部件子程序或控制对象以控制执行各种处理工具处理所需的处理工具部件的操作。控制软件可以以任何合适的计算可读编程语言来编码。The control software may include instructions for controlling application timing and/or magnitude of any one or more of the following chamber operating conditions: mixture and/or composition of gases, chamber pressure, chamber temperature, wafer temperature/wafer support temperature, Bias applied to the wafer, frequency and power applied to coils or other plasma generating components, wafer position, wafer movement speed, and other parameters of the particular process performed by the tool. The control software can be configured in any suitable way. For example, various process tool component subprograms or control objects may be written to control the operation of the process tool components required to perform various process tool processes. The control software may be coded in any suitable computer-readable programming language.
在一些实施方式中,控制软件可包括用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方式中可以采用存储在与控制器530相关联的大容量存储装置和/或存储器设备上的其他计算机软件和/或程序。用于此目的的程序或程序的部分的例子包括工艺气体控制程序、压强控制程序以及RF源控制程序。In some embodiments, the control software may include input/output control (IOC) sequencing instructions for controlling the various parameters described above. Other computer software and/or programs stored on mass storage and/or memory devices associated with
工艺气体控制程序可包括用于控制气体组成(例如,如本文所述的沉积气体和处理气体)和流速以及任选用于在蚀刻之前使气体流入室以稳定室中的压强的代码。压强控制程序可包括用于通过调节例如在室的排放系统中的节流阀,流入室中的气体等来控制室中的压强的代码。RF源控制程序可包括用于根据本发明的实施方式设置施加至电极的RF功率电平的代码。The process gas control program may include code for controlling gas composition (eg, deposition and process gases as described herein) and flow rates and optionally for flowing gases into the chamber to stabilize the pressure in the chamber prior to etching. The pressure control program may include code for controlling the pressure in the chamber by adjusting, for example, a throttle valve in the chamber's exhaust system, gas flow into the chamber, and the like. The RF source control program may include code for setting the level of RF power applied to the electrodes in accordance with embodiments of the present invention.
在一些实施方式中,可以存在与系统控制器530相关联的用户界面。用户界面可以包括显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。In some implementations, there may be a user interface associated with the
在一些实施方式中,由系统控制器530调节的参数会涉及工艺条件。非限制性实例包括工艺气体组成和流速、温度、压力、等离子体条件(例如RF偏置功率电平)、压强、温度等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。In some embodiments, the parameters adjusted by the
用于监控处理的信号可以通过系统控制器530的模拟和/或数字输入连接从各种处理工具传感器提供。用于控制处理的信号可以通过等离子体蚀刻室的模拟和数字输出连接件输出。可被监测的传感器的非限制性实例包括质量流量控制器、压强传感器(例如压强计)、热电偶、等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。Signals for monitoring the process may be provided from various process tool sensors through the analog and/or digital input connections of the
系统控制器530可以提供用于执行上述定向沉积工艺和随后的蚀刻工艺的程序指令。所述程序指令可以控制多种工艺参数,如RF偏置功率电平、压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方式定向沉积掩模构建膜。
控制器530将通常包括一个或多个存储器设备和被配置成执行指令的一个或多个处理器以使该装置将执行根据本发明所公开的实施方式的方法。例如,如上所述,包含用于控制根据本发明所公开的实施方式的工艺操作的指令的机器可读介质可以耦合到控制器530。The
在一些实现方式中,控制器530可以是系统控制器的一部分或形成系统控制器的一部分,该系统控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,该半导体处理设备包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或专用的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种部件或子部件。根据处理条件和/或系统的类型,系统控制器可以被编程以控制本发明所公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与专用系统连接或通过接口连接的装载锁。In some implementations,
宽泛地讲,系统控制器可以定义为具有接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式传送到系统控制器的指令,该设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。Broadly speaking, a system controller may be defined as an electronic device having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operations, enable cleaning operations, enable endpoint measurements, and the like. An integrated circuit may include a chip in the form of firmware that stores program instructions, a digital signal processor (DSP), a chip defined as an application specific integrated circuit (ASIC), and/or one or more microprocessors or execute program instructions (eg, software) 's microcontroller. Program instructions may be instructions that are communicated to the system controller in the form of various individual settings (or program files) that define instructions for performing specific processing on or for a semiconductor wafer or system. Operational parameters. In some embodiments, operating parameters may be defined by a process engineer for use in preparing one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or tubes in the fabrication of wafers Part of a recipe for completing one or more processing steps during core.
在一些实现方式中,系统控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,系统控制器可以在“云端”或者是可以允许远程访问晶片处理的晶片厂(fab)主机系统的全部或一部分。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传输到系统。在一些实例中,系统控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,系统控制器被配置成连接或控制该工具类型。因此,如上所述,系统控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本发明所提供的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路,它们结合以控制室内工艺。In some implementations, the system controller may be part of or coupled to a computer that is integrated with, coupled to, or otherwise connected to the system or a combination thereof through a network. For example, the system controller may be in the "cloud" or all or part of a fab host system that may allow remote access to wafer processing. The computer may enable remote access to the system to monitor the current progress of a manufacturing operation, examine the history of past manufacturing operations, examine trends or performance criteria for multiple manufacturing operations, change parameters of the current process, set process steps to follow the current process, or Start a new craft. In some instances, a remote computer (eg, a server) may provide process recipes to the system over a network, which may include a local network or the Internet. The remote computer may include a user interface that allows for the entry or programming of parameters and/or settings, which are then transferred from the remote computer to the system. In some instances, the system controller receives instructions in the form of data specifying parameters for each processing step to be performed during one or more operations. It will be appreciated that the parameters may be specific to the type of process to be performed as well as the type of tool to which the system controller is configured to connect or control. Thus, as described above, system controllers may be distributed, for example, by including one or more discrete controllers that are networked together and directed toward a common goal (eg, the processes and processes provided by the present invention). control) work. An example of a distributed controller for these purposes may be one or more integrated circuits on a room in communication with one or more remote integrated circuits (eg, at the platform level or as part of a remote computer) that combine to control Indoor craft.
在一些实施方式中,PECVD沉积可采用远程的自由基辅助的等离子体或微波等离子体。这种沉积可以在配置有远程等离子体产生器或微波等离子体产生器的蚀刻室中进行,或者可以在真空下连接到蚀刻室的沉积室中进行。类似地,在一些实施方式中,可以使用远程的自由基辅助的等离子体或微波等离子体来执行处理操作。In some embodiments, PECVD deposition may employ remote radical assisted plasma or microwave plasma. Such deposition can be performed in an etch chamber equipped with a remote plasma generator or microwave plasma generator, or can be performed in a deposition chamber connected to the etch chamber under vacuum. Similarly, in some embodiments, remote radical assisted plasma or microwave plasma may be used to perform the processing operations.
示例性的工艺参数给出如下。示例性压强范围为5mT至1000mT,并且在一些实施方式中,介于40mT至100mT之间。在处理操作中,示例性的压强可以在5mT至300mT的范围内。Exemplary process parameters are given below. Exemplary pressures range from 5 mT to 1000 mT, and in some embodiments, between 40 mT and 100 mT. In processing operations, exemplary pressures may range from 5 mT to 300 mT.
用于感应耦合等离子体源(例如,可从加利福尼亚州弗里蒙特的Lam Research获得的变压器耦合等离子体(TCP)源)的示例性等离子体功率为10W至1200W,20W至500W或50W至300W。用于沉积操作的示例性等离子体功率范围为20W至200W。用于处理操作的示例性等离子体功率范围为20W至1200W。Exemplary plasma powers for inductively coupled plasma sources (eg, transformer coupled plasma (TCP) sources available from Lam Research of Fremont, CA) are 10W to 1200W, 20W to 500W, or 50W to 300W. An exemplary plasma power range for deposition operations is 20W to 200W. Exemplary plasma power ranges for processing operations are 20W to 1200W.
示例性偏置电压范围从0V到-500V,0到-80V,例如-50V。偏置电压也可以用幅度表示,例如0到500V,0到80V,或0至50V。沉积步骤的示例性流速为1sccm至2000sccm,1至300sccm或100sccm。在处理步骤的示例性流速范围为1至2000sccm,1至500sccm或300sccm。示例性衬底温度范围为40℃至250℃或60℃至120℃。在一些实施方式中,沉积和处理暴露时间可以为0.5s至20s,或3s至10s,或4s至6s,其中以多循环工艺的处理时间为例。在一些示例中,进行10至100个循环。Exemplary bias voltages range from 0V to -500V, 0 to -80V, eg -50V. The bias voltage can also be expressed in magnitude, such as 0 to 500V, 0 to 80V, or 0 to 50V. Exemplary flow rates for the deposition step are 1 seem to 2000 seem, 1 to 300 seem or 100 seem. Exemplary flow rates in the treatment step range from 1 to 2000 seem, 1 to 500 seem or 300 seem. Exemplary substrate temperature ranges are 40°C to 250°C or 60°C to 120°C. In some embodiments, the deposition and treatment exposure time may be 0.5s to 20s, or 3s to 10s, or 4s to 6s, with the treatment time of a multi-cycle process as an example. In some examples, 10 to 100 cycles are performed.
实验experiment
提供以下实施例以进一步说明各种实施方式的方面。提供这些实施例是为了举例说明和更清楚地说明一些方面,而不是限制性的。The following examples are provided to further illustrate aspects of the various implementations. These examples are offered to illustrate and more clearly illustrate some aspects, and not to be limiting.
感应耦合蚀刻反应器用于在硬掩模上沉积a-Si构建材料以在有处理的情况下和没有处理的情况下进行连续和循环的沉积。沉积工艺气体是SiCl4/H2,基于H2的等离子体用作处理步骤。压强在20mT和120mT之间变化。Inductively coupled etch reactors are used to deposit a-Si build materials on hard masks for continuous and cyclic deposition with and without processing. The deposition process gas was SiCl 4 /H 2 , and H 2 based plasma was used as the processing step. The pressure was varied between 20mT and 120mT.
对于连续PECVD,构建材料在40mT下沉积60秒(s)和120秒(s),而在60mT下沉积75s。在120s/40mT和75s/40mT下观察到掩模构建材料的夹断。沉积是非共形的。对于没有处理的循环PECVD,在40mT下的20个循环的3s(60s)和40个循环的3s(120s)以及在60mT下的25个循环的3s(75s)下沉积构建材料。对于60mT的情况观察到夹断。沉积是非共形的。该120s/40mT的结果(无夹断)与连续CVD的120s/40mT的结果的比较表明,循环有助于良好限定的高深宽比特征。对于具有等离子体处理的循环PECVD,以在40mT下20个循环的3s沉积+5s处理和40个循环的3s沉积+5s处理以及在60mT下25s个循环的3s沉积+5s处理来沉积构建材料。沉积是非共形的。未观察到夹断,表明处理有利于在宽压强范围内沉积高深宽比特征。For continuous PECVD, the build material was deposited at 40 mT for 60 sec(s) and 120 sec(s), while at 60 mT for 75 s. Pinch-off of the mask build material was observed at 120s/40mT and 75s/40mT. The deposition is non-conformal. For cyclic PECVD without treatment, build material was deposited at 20 cycles of 3s (60s) and 40 cycles of 3s (120s) at 40mT and 25 cycles of 3s (75s) at 60mT. Pinch off was observed for the 60 mT case. The deposition is non-conformal. A comparison of this 120s/40mT result (no pinch-off) with the 120s/40mT result of continuous CVD shows that cycling contributes to well-defined high aspect ratio features. For cyclic PECVD with plasma treatment, build materials were deposited with 20 cycles of 3s deposition + 5s treatment at 40 mT and 40 cycles of 3s deposition + 5s treatment and 25 s cycles of 3s deposition + 5s treatment at 60 mT. The deposition is non-conformal. No pinch-off was observed, indicating that the treatment favors the deposition of high aspect ratio features over a wide range of pressures.
通过使用感应耦合蚀刻反应器以使用多个沉积-处理循环在SiO2硬掩模上沉积a-Si构建材料。沉积工艺气体为SiCl4/H2,等离子体功率为50W,而压强为60mT。处理工艺气体为具有少量(约5体积%)N2的H2,等离子体功率为300W,并且在衬底上没有偏压。执行25个沉积-处理循环。改变偏置电压以进行沉积,结果如下:The a-Si build material was deposited on the SiO2 hardmask using multiple deposition-processing cycles by using an inductively coupled etch reactor. The deposition process gas was SiCl 4 /H 2 , the plasma power was 50W, and the pressure was 60mT. The process gas was H2 with a small amount (about 5 vol%) of N2 , the plasma power was 300W, and there was no bias on the substrate. 25 deposition-treatment cycles were performed. The bias voltage was varied for deposition with the following results:
利用感应耦合蚀刻反应器以使用多个沉积/处理循环在硬掩模上沉积a-Si构建材料。改变SiCl4/H2流速。对于较长停留时间(较低流速),观察到夹断。不受特定理论的约束,相信较长的SiClx物质停留时间导致夹断。H自由基清除Cl在等离子体中的反应。H自由基和离子消除悬垂(overhang)和夹断,从而提供有效的顶部掩模蚀刻和竖直侧壁轮廓。An inductively coupled etch reactor was utilized to deposit a-Si build material on the hardmask using multiple deposition/processing cycles. Change the SiCl4 / H2 flow rate. For longer residence times (lower flow rates), pinch-off was observed. Without being bound by a particular theory, it is believed that the longer residence time of the SiCl x species results in pinch off. H radical scavenging reaction of Cl in plasma. H radicals and ions eliminate overhang and pinch off, providing efficient top mask etch and vertical sidewall profiles.
利用感应耦合蚀刻反应器以使用多个沉积/处理循环将a-Si构建材料沉积在硬掩模上。改变沉积步骤期间的等离子体功率。用较高的功率实现较高的非共形性。不受特定理论的限制,相信,具有高粘着系数的SiClx自由基的浓度和防止悬垂和夹断的H自由基的浓度增大了。An inductively coupled etch reactor was utilized to deposit the a-Si build material on the hardmask using multiple deposition/processing cycles. Change the plasma power during the deposition step. Higher non-conformity is achieved with higher power. Without being bound by a particular theory, it is believed that the concentration of SiCl x radicals, which have high adhesion coefficients, and the concentration of H radicals, which prevent pendulous and pinch-off, are increased.
利用感应耦合蚀刻反应器以使用多个沉积/处理循环在硬掩模上沉积a-Si构建材料。改变处理步骤期间的气体组成。使用100%的H2导致颈缩的轮廓。不受特定理论的约束,相信H2等离子体使已经沉积的a-Si膜具有较低的反应性,从而降低粘着系数。沉积的膜被蚀刻并从沟槽底部重新沉积到顶部。通过添加添加剂气体(5体积%N2或5体积%CH4)观察到更竖直的轮廓。观察到在沟槽底部的更厚的沉积。An inductively coupled etch reactor was utilized to deposit a-Si build material on the hardmask using multiple deposition/processing cycles. Change the gas composition during the processing steps. Using 100% H2 resulted in a necked profile. Without being bound by a particular theory, it is believed that the H2 plasma makes the already deposited a-Si film less reactive, thereby reducing the adhesion coefficient. The deposited film is etched and redeposited from the bottom to the top of the trench. A more vertical profile was observed by adding additive gas (5 vol% N2 or 5 vol% CH4 ). Thicker deposits at the bottom of the trenches were observed.
利用感应耦合蚀刻反应器以使用多个沉积/处理循环在硬掩模上沉积a-Si构建材料。改变处理步骤期间的等离子体功率。处理气体为100%的H2。使用0W,50W,100W,200W和300W的功率。300W导致颈缩轮廓。降低功率导致更竖直的侧壁以及更厚的沟槽和侧壁沉积,而0W导致夹断。An inductively coupled etch reactor was utilized to deposit a-Si build material on the hardmask using multiple deposition/processing cycles. Change the plasma power during the processing steps. The process gas was 100% H2 . Use 0W, 50W, 100W, 200W and 300W power. 300W results in a necked profile. Lowering the power results in more vertical sidewalls and thicker trench and sidewall deposition, while 0W results in pinch off.
利用感应耦合蚀刻反应器以使用多个沉积/处理循环在硬掩模上沉积含Si构建材料。改变处理步骤期间的暴露时间。使用1s,2s,3s和5s。5s导致颈缩轮廓。减少暴露时间导致更竖直的侧壁以及更厚的沟槽和侧壁沉积。An inductively coupled etch reactor is utilized to deposit Si-containing build materials on the hardmask using multiple deposition/processing cycles. Change the exposure time during the processing steps. Use 1s, 2s, 3s and 5s. 5s results in a necked profile. Reducing the exposure time results in more vertical sidewalls and thicker trench and sidewall deposition.
结论in conclusion
虽然上述实施方式已经在一些细节为了清楚理解的目的进行了描述,但显而易见的是,某些变化和修改可在所附权利要求的范围内实施。应当注意,存在实现这些实施方式的处理、系统和装置的许多替代方式。因此,本实施方式应被认为是说明性的而不是限制性的,并且实施方式并不被受限于本发明给出的细节。Although the above-described embodiments have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. It should be noted that there are many alternative ways of implementing the processes, systems and apparatus of these embodiments. Accordingly, the present embodiments are to be regarded as illustrative rather than restrictive, and the embodiments are not to be limited to the details given herein.
Claims (10)
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201562269696P | 2015-12-18 | 2015-12-18 | |
US62/269,696 | 2015-12-18 | ||
US15/061,359 | 2016-03-04 | ||
US15/061,359 US20170178899A1 (en) | 2015-12-18 | 2016-03-04 | Directional deposition on patterned structures |
CN201611177683.3A CN107039264B (en) | 2015-12-18 | 2016-12-19 | Directed deposition on patterned structures |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201611177683.3A Division CN107039264B (en) | 2015-12-18 | 2016-12-19 | Directed deposition on patterned structures |
Publications (1)
Publication Number | Publication Date |
---|---|
CN114999910A true CN114999910A (en) | 2022-09-02 |
Family
ID=59066591
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202210384497.6A Pending CN114999910A (en) | 2015-12-18 | 2016-12-19 | Directed deposition on patterned structures |
CN201611177683.3A Active CN107039264B (en) | 2015-12-18 | 2016-12-19 | Directed deposition on patterned structures |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201611177683.3A Active CN107039264B (en) | 2015-12-18 | 2016-12-19 | Directed deposition on patterned structures |
Country Status (4)
Country | Link |
---|---|
US (2) | US20170178899A1 (en) |
KR (1) | KR20170074777A (en) |
CN (2) | CN114999910A (en) |
TW (1) | TWI775734B (en) |
Families Citing this family (425)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US10325773B2 (en) | 2012-06-12 | 2019-06-18 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
KR102263121B1 (en) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor device and manufacuring method thereof |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US9922839B2 (en) | 2015-06-23 | 2018-03-20 | Lam Research Corporation | Low roughness EUV lithography |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US20170178899A1 (en) | 2015-12-18 | 2017-06-22 | Lam Research Corporation | Directional deposition on patterned structures |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (en) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and method of operating the same |
JP6757624B2 (en) * | 2016-08-12 | 2020-09-23 | 東京エレクトロン株式会社 | How to process the object to be processed |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (en) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Gas supply unit and substrate processing apparatus including the same |
US10340135B2 (en) * | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR102762543B1 (en) | 2016-12-14 | 2025-02-05 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (en) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102457289B1 (en) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) * | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (en) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (en) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (en) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (en) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20190035036A (en) * | 2017-09-25 | 2019-04-03 | 삼성전자주식회사 | Apparatus for forming a layer on a substrate and method of forming an amorphous silicon layer on a substrate using the same |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
JP6833657B2 (en) * | 2017-11-07 | 2021-02-24 | 東京エレクトロン株式会社 | How to plasma etch the substrate |
KR102443047B1 (en) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7206265B2 (en) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | Equipment with a clean mini-environment |
KR102597978B1 (en) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | Storage device for storing wafer cassettes for use with batch furnaces |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
TWI757565B (en) * | 2017-12-22 | 2022-03-11 | 美商應用材料股份有限公司 | Methods for depositing blocking layers on conductive surfaces |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
WO2019142055A2 (en) | 2018-01-19 | 2019-07-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TWI799494B (en) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | Deposition method |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
JP2019134062A (en) * | 2018-01-31 | 2019-08-08 | 東京エレクトロン株式会社 | Selective film deposition method and film deposition apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (en) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method and apparatus |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI716818B (en) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | Systems and methods to form airgaps |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (en) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (en) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
KR102600229B1 (en) | 2018-04-09 | 2023-11-10 | 에이에스엠 아이피 홀딩 비.브이. | Substrate supporting device, substrate processing apparatus including the same and substrate processing method |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
KR102670420B1 (en) * | 2018-04-24 | 2024-05-28 | 어플라이드 머티어리얼스, 인코포레이티드 | Plasma-enhanced chemical vapor deposition of carbon hard-masks |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10566194B2 (en) * | 2018-05-07 | 2020-02-18 | Lam Research Corporation | Selective deposition of etch-stop layer for enhanced patterning |
TWI843623B (en) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US12272527B2 (en) | 2018-05-09 | 2025-04-08 | Asm Ip Holding B.V. | Apparatus for use with hydrogen radicals and method of using same |
TWI816783B (en) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures |
KR102596988B1 (en) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (en) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (en) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing system |
CN112292477A (en) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials |
TWI871083B (en) | 2018-06-27 | 2025-01-21 | 荷蘭商Asm Ip私人控股有限公司 | Cyclic deposition processes for forming metal-containing material |
KR102686758B1 (en) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
CN112469846B (en) * | 2018-07-24 | 2023-10-27 | 朗姆研究公司 | Conformal deposition of silicon carbide films using heterogeneous precursor interactions |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
KR102550326B1 (en) * | 2018-07-26 | 2023-07-04 | 에이에스엠엘 네델란즈 비.브이. | How to Determine the Etch Profile of a Wafer Layer for a Simulation System |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
JP7018849B2 (en) * | 2018-08-17 | 2022-02-14 | 東京エレクトロン株式会社 | Film formation method and film formation equipment |
JP7065728B2 (en) * | 2018-08-17 | 2022-05-12 | 東京エレクトロン株式会社 | Film formation method and film formation equipment |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (en) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | Method for deposition of a thin film |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344B (en) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | Substrate holding apparatus, system comprising the same and method of using the same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (en) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (en) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
KR102546322B1 (en) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
KR20230085953A (en) | 2018-10-19 | 2023-06-14 | 램 리써치 코포레이션 | Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US20200135464A1 (en) * | 2018-10-30 | 2020-04-30 | Applied Materials, Inc. | Methods and apparatus for patterning substrates using asymmetric physical vapor deposition |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102748291B1 (en) | 2018-11-02 | 2024-12-31 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and substrate processing apparatus including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (en) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | A method for cleaning a substrate processing apparatus |
JP7346218B2 (en) * | 2018-12-06 | 2023-09-19 | 東京エレクトロン株式会社 | Etching processing method and substrate processing equipment |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (en) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method and system for forming device structures using selective deposition of gallium nitride - Patents.com |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TWI866480B (en) | 2019-01-17 | 2024-12-11 | 荷蘭商Asm Ip 私人控股有限公司 | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
KR102727227B1 (en) | 2019-01-22 | 2024-11-07 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor processing device |
US10886136B2 (en) | 2019-01-31 | 2021-01-05 | Tokyo Electron Limited | Method for processing substrates |
CN111524788B (en) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | Method for topologically selective film formation of silicon oxide |
JP7603377B2 (en) | 2019-02-20 | 2024-12-20 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method and apparatus for filling recesses formed in a substrate surface - Patents.com |
TWI845607B (en) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
TWI838458B (en) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus and methods for plug fill deposition in 3-d nand applications |
KR102626263B1 (en) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | Cyclical deposition method including treatment step and apparatus for same |
TWI842826B (en) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus and method for processing substrate |
KR20210123404A (en) | 2019-02-27 | 2021-10-13 | 램 리써치 코포레이션 | Semiconductor Mask Reshaping Using a Sacrificial Layer |
KR102782593B1 (en) | 2019-03-08 | 2025-03-14 | 에이에스엠 아이피 홀딩 비.브이. | Structure Including SiOC Layer and Method of Forming Same |
KR20200108242A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer |
KR102762833B1 (en) | 2019-03-08 | 2025-02-04 | 에이에스엠 아이피 홀딩 비.브이. | STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME |
JP2020167398A (en) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | Door openers and substrate processing equipment provided with door openers |
KR20200116855A (en) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (en) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system and method of using same |
KR20200130121A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Chemical source vessel with dip tube |
KR20200130118A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for Reforming Amorphous Carbon Polymer Film |
KR20200130652A (en) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing material onto a surface and structure formed according to the method |
JP7612342B2 (en) | 2019-05-16 | 2025-01-14 | エーエスエム・アイピー・ホールディング・ベー・フェー | Wafer boat handling apparatus, vertical batch furnace and method |
JP7598201B2 (en) | 2019-05-16 | 2024-12-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | Wafer boat handling apparatus, vertical batch furnace and method |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (en) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | Method of using a gas-phase reactor system including analyzing exhausted gas |
KR20200141931A (en) | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | Method for cleaning quartz epitaxial chambers |
KR20200143254A (en) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (en) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Temperature control assembly for substrate processing apparatus and method of using same |
JP7499079B2 (en) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | Plasma device using coaxial waveguide and substrate processing method |
CN112216646A (en) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | Substrate supporting assembly and substrate processing device comprising same |
KR20210010307A (en) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210010816A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Radical assist ignition plasma system and method |
KR20210010820A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods of forming silicon germanium structures |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (en) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming topology-controlled amorphous carbon polymer film |
KR20210010817A (en) * | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Method of Forming Topology-Controlled Amorphous Carbon Polymer Film |
TWI851767B (en) | 2019-07-29 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
CN112309900A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112309899A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
KR20210015655A (en) | 2019-07-30 | 2021-02-10 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and method |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (en) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | Liquid level sensor for a chemical source vessel |
KR20210018761A (en) | 2019-08-09 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | heater assembly including cooling apparatus and method of using same |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (en) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | Production apparatus of mixed gas of film deposition raw material and film deposition apparatus |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (en) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for forming a structure with a hole |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (en) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
KR102717194B1 (en) | 2019-08-28 | 2024-10-14 | 삼성전자주식회사 | DRAM Device Including an Air Gap and a Sealing layer and Method of Fabricating the Same |
KR20210029090A (en) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selective deposition using a sacrificial capping layer |
KR102733104B1 (en) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
JP7323409B2 (en) * | 2019-10-01 | 2023-08-08 | 東京エレクトロン株式会社 | SUBSTRATE PROCESSING METHOD AND PLASMA PROCESSING APPARATUS |
CN112593212B (en) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process |
TWI846953B (en) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
KR20210042810A (en) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
KR20210043460A (en) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming a photoresist underlayer and structure including same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (en) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (en) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for selectively etching films |
KR20210050453A (en) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (en) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | Structures with doped semiconductor layers and methods and systems for forming same |
KR20250004395A (en) * | 2019-11-08 | 2025-01-07 | 어플라이드 머티어리얼스, 인코포레이티드 | Methods to reduce material surface roughness |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (en) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
CN112951697A (en) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
KR20210065848A (en) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885693A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
JP7527928B2 (en) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | Substrate processing apparatus and substrate processing method |
KR20210070898A (en) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
JP2021097227A (en) | 2019-12-17 | 2021-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method of forming vanadium nitride layer and structure including vanadium nitride layer |
KR20210080214A (en) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | Methods for filling a gap feature on a substrate and related semiconductor structures |
TW202142733A (en) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | Reactor system, lift pin, and processing method |
TW202140135A (en) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | Gas supply assembly and valve plate assembly |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (en) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming high aspect ratio features |
KR102675856B1 (en) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming thin film and method of modifying surface of thin film |
KR102667792B1 (en) | 2020-02-03 | 2024-05-20 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming structures including a vanadium or indium layer |
KR20210100010A (en) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | Method and apparatus for transmittance measurements of large articles |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
KR20210103956A (en) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus including light receiving device and calibration method of light receiving device |
US20230081817A1 (en) | 2020-02-13 | 2023-03-16 | Lam Research Corporation | High aspect ratio etch with infinite selectivity |
TWI855223B (en) | 2020-02-17 | 2024-09-11 | 荷蘭商Asm Ip私人控股有限公司 | Method for growing phosphorous-doped silicon layer |
JP2023516588A (en) | 2020-02-28 | 2023-04-20 | ラム リサーチ コーポレーション | Multilayer hard mask for defect reduction in EUV patterning |
TW202203344A (en) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | System dedicated for parts cleaning |
KR20210116240A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate handling device with adjustable joints |
KR20210116249A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | lockout tagout assembly and system and method of using same |
KR102775390B1 (en) | 2020-03-12 | 2025-02-28 | 에이에스엠 아이피 홀딩 비.브이. | Method for Fabricating Layer Structure Having Target Topological Profile |
US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
KR102755229B1 (en) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Thin film forming method |
TW202146689A (en) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | Method for forming barrier layer and method for manufacturing semiconductor device |
TW202145344A (en) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus and methods for selectively etching silcon oxide films |
KR20210128343A (en) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210130646A (en) | 2020-04-21 | 2021-11-01 | 에이에스엠 아이피 홀딩 비.브이. | Method for processing a substrate |
KR20210132612A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods and apparatus for stabilizing vanadium compounds |
CN113555279A (en) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | Methods of forming vanadium nitride-containing layers and structures comprising the same |
KR20210132600A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
TW202208671A (en) | 2020-04-24 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | Methods of forming structures including vanadium boride and vanadium phosphide layers |
KR20210132605A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Vertical batch furnace assembly comprising a cooling gas supply |
KR102783898B1 (en) | 2020-04-29 | 2025-03-18 | 에이에스엠 아이피 홀딩 비.브이. | Solid source precursor vessel |
KR20210134869A (en) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Fast FOUP swapping with a FOUP handler |
TW202147543A (en) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Semiconductor processing system |
KR102788543B1 (en) | 2020-05-13 | 2025-03-27 | 에이에스엠 아이피 홀딩 비.브이. | Laser alignment fixture for a reactor system |
TW202146699A (en) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming a silicon germanium layer, semiconductor structure, semiconductor device, method of forming a deposition layer, and deposition system |
TW202147383A (en) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus |
KR20210145079A (en) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | Flange and apparatus for processing substrates |
TWI862836B (en) | 2020-05-21 | 2024-11-21 | 荷蘭商Asm Ip私人控股有限公司 | Structures including multiple carbon layers and methods of forming and using same |
KR102702526B1 (en) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus for depositing thin films using hydrogen peroxide |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
TW202212620A (en) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus for processing substrate, method of forming film, and method of controlling apparatus for processing substrate |
CN113808910A (en) * | 2020-06-11 | 2021-12-17 | 中国科学院微电子研究所 | In-situ atomic layer deposition method in etching chamber |
TW202208659A (en) | 2020-06-16 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for depositing boron containing silicon germanium layers |
TW202218133A (en) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming a layer provided with silicon |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
TW202202649A (en) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
KR20220010438A (en) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | Structures and methods for use in photolithography |
KR20220011092A (en) | 2020-07-20 | 2022-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Method and system for forming structures including transition metal layers |
KR20220011093A (en) | 2020-07-20 | 2022-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Method and system for depositing molybdenum layers |
KR20220021863A (en) | 2020-08-14 | 2022-02-22 | 에이에스엠 아이피 홀딩 비.브이. | Method for processing a substrate |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202228863A (en) | 2020-08-25 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for cleaning a substrate, method for selectively depositing, and reaction system |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (en) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system |
TW202217045A (en) | 2020-09-10 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Methods for depositing gap filing fluids and related systems and devices |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
KR20220036866A (en) | 2020-09-16 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | Silicon oxide deposition method |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202218049A (en) | 2020-09-25 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Semiconductor processing method |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (en) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | Deposition method and an apparatus for depositing a silicon-containing material |
CN114293174A (en) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | Gas supply unit and substrate processing apparatus including the same |
TW202229613A (en) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing material on stepped structure |
KR20220050048A (en) | 2020-10-15 | 2022-04-22 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device, and substrate treatment apparatus using ether-cat |
TW202217037A (en) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing vanadium metal, structure, device and a deposition assembly |
TW202223136A (en) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming layer on substrate, and semiconductor processing system |
TW202229620A (en) | 2020-11-12 | 2022-08-01 | 特文特大學 | Deposition system, method for controlling reaction condition, method for depositing |
TW202229795A (en) | 2020-11-23 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | A substrate processing apparatus with an injector |
TW202235649A (en) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Methods for filling a gap and related systems and devices |
KR20220076343A (en) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | an injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US12255053B2 (en) | 2020-12-10 | 2025-03-18 | Asm Ip Holding B.V. | Methods and systems for depositing a layer |
TW202233884A (en) | 2020-12-14 | 2022-09-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming structures for threshold voltage control |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202226899A (en) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Plasma treatment device having matching box |
TW202242184A (en) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | Precursor capsule, precursor vessel, vapor deposition assembly, and method of loading solid precursor into precursor vessel |
TW202231903A (en) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate |
US11756790B2 (en) * | 2021-03-09 | 2023-09-12 | Tokyo Electron Limited | Method for patterning a dielectric layer |
CN113174582A (en) * | 2021-04-22 | 2021-07-27 | 安徽新力电业科技咨询有限责任公司 | Method for preparing diamond film by microwave plasma chemical vapor deposition method |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
US11538692B2 (en) * | 2021-05-21 | 2022-12-27 | Tokyo Electron Limited | Cyclic plasma etching of carbon-containing materials |
JP2022185488A (en) * | 2021-06-02 | 2022-12-14 | 東京エレクトロン株式会社 | Film deposition method and film deposition apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US12106972B2 (en) * | 2021-10-13 | 2024-10-01 | Applied Materials, Inc. | Selective silicon deposition |
US12080516B2 (en) * | 2021-11-23 | 2024-09-03 | Applied Materials, Inc. | High density plasma enhanced process chamber |
USD1060598S1 (en) | 2021-12-03 | 2025-02-04 | Asm Ip Holding B.V. | Split showerhead cover |
US20240162043A1 (en) * | 2022-11-16 | 2024-05-16 | Tokyo Electron Limited | Sidewall Inorganic Passivation for Dielectric Etching Via Surface Modification |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040072430A1 (en) * | 2002-10-11 | 2004-04-15 | Zhisong Huang | Method for forming a dual damascene structure |
US20060160366A1 (en) * | 2005-01-19 | 2006-07-20 | Promos Technologies Inc. | Method for preparing a structure with high aspect ratio |
CN102646585A (en) * | 2011-02-17 | 2012-08-22 | 朗姆研究公司 | Wiggling control for pseudo-hardmask |
CN102844856A (en) * | 2010-02-25 | 2012-12-26 | Spts科技有限公司 | Method of forming and patterning conformal insulation layer in vias and etched structures |
US20140120727A1 (en) * | 2012-10-29 | 2014-05-01 | Lam Research Corporation | Method of tungsten etching |
Family Cites Families (32)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6030881A (en) * | 1998-05-05 | 2000-02-29 | Novellus Systems, Inc. | High throughput chemical vapor deposition process capable of filling high aspect ratio structures |
US6846746B2 (en) | 2002-05-01 | 2005-01-25 | Applied Materials, Inc. | Method of smoothing a trench sidewall after a deep trench silicon etch process |
US6713365B2 (en) * | 2002-09-04 | 2004-03-30 | Macronix International Co., Ltd. | Methods for filling shallow trench isolations having high aspect ratios |
US7531679B2 (en) | 2002-11-14 | 2009-05-12 | Advanced Technology Materials, Inc. | Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride |
US20050170104A1 (en) | 2004-01-29 | 2005-08-04 | Applied Materials, Inc. | Stress-tuned, single-layer silicon nitride film |
FI117728B (en) * | 2004-12-21 | 2007-01-31 | Planar Systems Oy | Multilayer structure and process for its preparation |
US7241683B2 (en) | 2005-03-08 | 2007-07-10 | Lam Research Corporation | Stabilized photoresist structure for etching process |
US20070049017A1 (en) * | 2005-08-29 | 2007-03-01 | Chao-Ching Hsieh | Plug fabricating method for dielectric layer |
WO2007118026A2 (en) * | 2006-03-31 | 2007-10-18 | Applied Materials, Inc. | Step coverage and pattern loading for dielectric films |
JP2007281181A (en) | 2006-04-06 | 2007-10-25 | Elpida Memory Inc | Process for fabricating semiconductor device |
CN101473426A (en) * | 2006-06-22 | 2009-07-01 | 应用材料股份有限公司 | Dielectric deposition and etch back processes for bottom up gapfill |
KR20090091307A (en) * | 2006-11-22 | 2009-08-27 | 스미토모 세이미츠 고교 가부시키가이샤 | A silicon structure having a high aspect ratio opening, a manufacturing method thereof, a manufacturing apparatus thereof, and a manufacturing program thereof, and a method of manufacturing an etching mask for the silicon structure thereof |
TWI489547B (en) | 2007-09-18 | 2015-06-21 | Air Liquide | Method of forming a ruthenium containing film |
US7678715B2 (en) | 2007-12-21 | 2010-03-16 | Applied Materials, Inc. | Low wet etch rate silicon nitride film |
US8277670B2 (en) | 2008-05-13 | 2012-10-02 | Lam Research Corporation | Plasma process with photoresist mask pretreatment |
US8747684B2 (en) | 2009-08-20 | 2014-06-10 | Applied Materials, Inc. | Multi-film stack etching with polymer passivation of an overlying etched layer |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US20110256734A1 (en) | 2010-04-15 | 2011-10-20 | Hausmann Dennis M | Silicon nitride films and methods |
US8722543B2 (en) | 2010-07-30 | 2014-05-13 | Headway Technologies, Inc. | Composite hard mask with upper sacrificial dielectric layer for the patterning and etching of nanometer size MRAM devices |
US20140023794A1 (en) | 2012-07-23 | 2014-01-23 | Maitreyee Mahajani | Method And Apparatus For Low Temperature ALD Deposition |
FR3000602B1 (en) * | 2012-12-28 | 2016-06-24 | Commissariat A L Energie Atomique Et Aux Energies Alternatives | METHOD FOR ETCHING A POROUS DIELECTRIC MATERIAL |
US8987139B2 (en) * | 2013-01-29 | 2015-03-24 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
TW201441408A (en) | 2013-03-15 | 2014-11-01 | Applied Materials Inc | PEALD of films comprising silicon nitride |
CN104347490B (en) * | 2013-08-09 | 2017-12-05 | 上海华虹宏力半导体制造有限公司 | The method of silicon hole filling |
US9034748B2 (en) | 2013-09-04 | 2015-05-19 | International Business Machines Corporation | Process variability tolerant hard mask for replacement metal gate finFET devices |
US10084016B2 (en) | 2013-11-21 | 2018-09-25 | Micron Technology, Inc. | Cross-point memory and methods for fabrication of same |
US9576792B2 (en) | 2014-09-17 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of SiN |
US9214333B1 (en) | 2014-09-24 | 2015-12-15 | Lam Research Corporation | Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD |
US9355837B2 (en) | 2014-09-25 | 2016-05-31 | Micron Technology, Inc. | Methods of forming and using materials containing silicon and nitrogen |
US9922839B2 (en) | 2015-06-23 | 2018-03-20 | Lam Research Corporation | Low roughness EUV lithography |
US20170178899A1 (en) | 2015-12-18 | 2017-06-22 | Lam Research Corporation | Directional deposition on patterned structures |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
-
2016
- 2016-03-04 US US15/061,359 patent/US20170178899A1/en not_active Abandoned
- 2016-12-16 TW TW105141672A patent/TWI775734B/en active
- 2016-12-16 KR KR1020160172666A patent/KR20170074777A/en active Pending
- 2016-12-19 CN CN202210384497.6A patent/CN114999910A/en active Pending
- 2016-12-19 CN CN201611177683.3A patent/CN107039264B/en active Active
-
2018
- 2018-04-13 US US15/952,634 patent/US10825680B2/en active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040072430A1 (en) * | 2002-10-11 | 2004-04-15 | Zhisong Huang | Method for forming a dual damascene structure |
US20060160366A1 (en) * | 2005-01-19 | 2006-07-20 | Promos Technologies Inc. | Method for preparing a structure with high aspect ratio |
CN102844856A (en) * | 2010-02-25 | 2012-12-26 | Spts科技有限公司 | Method of forming and patterning conformal insulation layer in vias and etched structures |
CN102646585A (en) * | 2011-02-17 | 2012-08-22 | 朗姆研究公司 | Wiggling control for pseudo-hardmask |
US20140120727A1 (en) * | 2012-10-29 | 2014-05-01 | Lam Research Corporation | Method of tungsten etching |
Also Published As
Publication number | Publication date |
---|---|
US20170178899A1 (en) | 2017-06-22 |
KR20170074777A (en) | 2017-06-30 |
CN107039264A (en) | 2017-08-11 |
CN107039264B (en) | 2022-05-03 |
US10825680B2 (en) | 2020-11-03 |
TWI775734B (en) | 2022-09-01 |
US20180233357A1 (en) | 2018-08-16 |
TW201732873A (en) | 2017-09-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10825680B2 (en) | Directional deposition on patterned structures | |
KR102510157B1 (en) | Doped ald films for semiconductor patterning applications | |
TWI853925B (en) | Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement | |
KR102384484B1 (en) | Plasma enhanced atomic layer deposition with pulsed plasma exposure | |
US10832908B2 (en) | Self-aligned multi-patterning process flow with ALD gapfill spacer mask | |
US10074543B2 (en) | High dry etch rate materials for semiconductor patterning applications | |
US9076646B2 (en) | Plasma enhanced atomic layer deposition with pulsed plasma exposure | |
JP7555909B2 (en) | Film stack simplification for high aspect ratio patterning and vertical scaling | |
CN111247269A (en) | Geometrically selective deposition of dielectric films | |
TWI850340B (en) | Semiconductor mask reshaping using a sacrificial layer | |
TWI874271B (en) | Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement | |
CN113488379B (en) | Doped ALD films for semiconductor patterning applications | |
KR20250006087A (en) | Deposition of metal-containing films and chamber cleaning | |
KR20250006154A (en) | Side gap filling |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination |