CN106952815A - Method for forming fin transistors - Google Patents
Method for forming fin transistors Download PDFInfo
- Publication number
- CN106952815A CN106952815A CN201610006643.6A CN201610006643A CN106952815A CN 106952815 A CN106952815 A CN 106952815A CN 201610006643 A CN201610006643 A CN 201610006643A CN 106952815 A CN106952815 A CN 106952815A
- Authority
- CN
- China
- Prior art keywords
- layer
- fin
- gate
- grid
- forming method
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 173
- 239000010410 layer Substances 0.000 claims abstract description 425
- 239000000758 substrate Substances 0.000 claims abstract description 69
- 239000011241 protective layer Substances 0.000 claims abstract description 43
- 230000015572 biosynthetic process Effects 0.000 claims abstract description 42
- 238000000926 separation method Methods 0.000 claims abstract 11
- 238000009413 insulation Methods 0.000 claims abstract 3
- 239000000463 material Substances 0.000 claims description 38
- 239000004065 semiconductor Substances 0.000 claims description 28
- 238000005530 etching Methods 0.000 claims description 26
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 17
- 239000003989 dielectric material Substances 0.000 claims description 15
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 13
- 239000001301 oxygen Substances 0.000 claims description 13
- 229910052760 oxygen Inorganic materials 0.000 claims description 13
- 238000011049 filling Methods 0.000 claims description 11
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 10
- 238000001039 wet etching Methods 0.000 claims description 10
- 230000003647 oxidation Effects 0.000 claims description 9
- 238000007254 oxidation reaction Methods 0.000 claims description 9
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 claims description 5
- 238000005137 deposition process Methods 0.000 claims description 5
- 229910052757 nitrogen Inorganic materials 0.000 claims description 5
- 229910052782 aluminium Inorganic materials 0.000 claims description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 4
- 238000005516 engineering process Methods 0.000 claims description 4
- 229910052735 hafnium Inorganic materials 0.000 claims description 3
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 claims description 3
- 229910052727 yttrium Inorganic materials 0.000 claims description 3
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 claims description 3
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 claims description 2
- 229910052593 corundum Inorganic materials 0.000 claims description 2
- 229910001845 yogo sapphire Inorganic materials 0.000 claims description 2
- 230000004888 barrier function Effects 0.000 claims 5
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 claims 2
- 239000004411 aluminium Substances 0.000 claims 1
- 239000000377 silicon dioxide Substances 0.000 claims 1
- 230000002093 peripheral effect Effects 0.000 description 48
- 238000002955 isolation Methods 0.000 description 45
- 238000001312 dry etching Methods 0.000 description 16
- 230000001681 protective effect Effects 0.000 description 16
- 230000005669 field effect Effects 0.000 description 14
- 229910052814 silicon oxide Inorganic materials 0.000 description 14
- 238000000137 annealing Methods 0.000 description 13
- 230000000694 effects Effects 0.000 description 12
- 238000005229 chemical vapour deposition Methods 0.000 description 11
- 238000000231 atomic layer deposition Methods 0.000 description 10
- 239000007789 gas Substances 0.000 description 9
- 238000011065 in-situ storage Methods 0.000 description 7
- 239000000126 substance Substances 0.000 description 7
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 150000002500 ions Chemical class 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 4
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 4
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 4
- 239000007864 aqueous solution Substances 0.000 description 4
- 230000015556 catabolic process Effects 0.000 description 4
- 230000007547 defect Effects 0.000 description 4
- 238000010586 diagram Methods 0.000 description 4
- 230000009969 flowable effect Effects 0.000 description 4
- 239000012530 fluid Substances 0.000 description 4
- 239000001257 hydrogen Substances 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 238000005468 ion implantation Methods 0.000 description 4
- 239000002243 precursor Substances 0.000 description 4
- 239000000243 solution Substances 0.000 description 4
- 230000005641 tunneling Effects 0.000 description 4
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 3
- 239000012535 impurity Substances 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000007517 polishing process Methods 0.000 description 2
- 229910021426 porous silicon Inorganic materials 0.000 description 2
- 230000000717 retained effect Effects 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 238000009279 wet oxidation reaction Methods 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910002601 GaN Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- 229910018557 Si O Inorganic materials 0.000 description 1
- 229910007991 Si-N Inorganic materials 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910006294 Si—N Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- VKJLWXGJGDEGSO-UHFFFAOYSA-N barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[Ti+4].[Ba+2] VKJLWXGJGDEGSO-UHFFFAOYSA-N 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Inorganic materials [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 1
- CZXRMHUWVGPWRM-UHFFFAOYSA-N strontium;barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Sr+2].[Ba+2] CZXRMHUWVGPWRM-UHFFFAOYSA-N 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- 230000036962 time dependent Effects 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/024—Manufacture or treatment of FETs having insulated gates [IGFET] of fin field-effect transistors [FinFET]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28158—Making the insulator
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/024—Manufacture or treatment of FETs having insulated gates [IGFET] of fin field-effect transistors [FinFET]
- H10D30/0243—Manufacture or treatment of FETs having insulated gates [IGFET] of fin field-effect transistors [FinFET] using dummy structures having essentially the same shapes as the semiconductor bodies, e.g. to provide stability
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
Description
技术领域technical field
本发明涉及半导体制造技术领域,尤其涉及一种鳍式晶体管的形成方法。The invention relates to the technical field of semiconductor manufacturing, in particular to a method for forming a fin transistor.
背景技术Background technique
随着半导体制造技术的飞速发展,半导体器件朝着更高的元件密度,以及更高的集成度的方向发展。晶体管作为最基本的半导体器件目前正被广泛应用,因此随着半导体器件的元件密度和集成度的提高,平面晶体管的栅极尺寸也越来越短,传统的平面晶体管对沟道电流的控制能力变弱,产生短沟道效应,产生漏电流,最终影响半导体器件的电学性能。With the rapid development of semiconductor manufacturing technology, semiconductor devices are developing towards higher element density and higher integration. As the most basic semiconductor device, transistors are currently being widely used. Therefore, with the increase of component density and integration of semiconductor devices, the gate size of planar transistors is getting shorter and shorter. The ability of traditional planar transistors to control channel current Weakened, resulting in short channel effect, resulting in leakage current, and ultimately affecting the electrical performance of semiconductor devices.
为了克服晶体管的短沟道效应,抑制漏电流,现有技术提出了鳍式场效应晶体管(Fin FET),鳍式场效应晶体管是一种常见的多栅器件。鳍式场效应晶体管的结构包括:位于半导体衬底表面的鳍部和介质层,所述介质层覆盖部分所述鳍部的侧壁,且介质层表面低于鳍部顶部;位于介质层表面、以及鳍部的顶部和侧壁表面的栅极结构;位于所述栅极结构两侧的鳍部内的源区和漏区。In order to overcome the short-channel effect of the transistor and suppress the leakage current, a Fin Field Effect Transistor (Fin FET) is proposed in the prior art, and the Fin Field Effect Transistor is a common multi-gate device. The structure of the fin field effect transistor includes: a fin located on the surface of the semiconductor substrate and a dielectric layer, the dielectric layer covers part of the sidewall of the fin, and the surface of the dielectric layer is lower than the top of the fin; located on the surface of the dielectric layer, and a gate structure on the top and sidewall surfaces of the fin; a source region and a drain region in the fin on both sides of the gate structure.
然而,随着半导体器件的密度提高、尺寸缩小,鳍式场效应晶体管的制造工艺难度提高,而所形成的鳍式场效应晶体管的性能变差、可靠性下降。However, as the density of semiconductor devices increases and the size shrinks, the manufacturing process of fin field effect transistors becomes more difficult, and the performance and reliability of the formed fin field effect transistors deteriorate.
发明内容Contents of the invention
本发明解决的问题是提供一种鳍式晶体管的形成方法,所形成的鳍式晶体管的漏电流得到控制,驱动电流提高,功耗减小,稳定性改善。The problem solved by the present invention is to provide a method for forming a fin transistor, the leakage current of the formed fin transistor is controlled, the driving current is increased, the power consumption is reduced, and the stability is improved.
为解决上述问题,本发明提供一种鳍式晶体管的形成方法,包括:提供衬底,所述衬底包括核心区和外围区,所述核心区和外围区的衬底表面分别具有鳍部;在所述衬底表面形成隔离层,所述隔离层覆盖所述鳍部的部分侧壁,且所述隔离层表面低于所述鳍部的顶部表面;在外围区的鳍部侧壁和顶部表面形成第一栅氧层以及位于所述第一栅氧层表面的保护层,所述保护层的介电系数大于所述第一栅氧层的介电系数;在所述隔离层、鳍部和保护层表面形成分别横跨所述核心区和外围区鳍部的伪栅层,所述伪栅层覆盖在部分所述鳍部的侧壁和顶部上;在所述隔离层和鳍部表面形成介质层,所述介质层覆盖所述伪栅层的侧壁,且所述介质层暴露出所述伪栅层顶部;去除所述伪栅层,在所述外围区的介质层内形成第一沟槽,在所述核心区的介质层内形成第二沟槽;在所述第二沟槽底部暴露出的鳍部侧壁和顶部表面形成第二栅氧层;在所述保护层表面形成填充满所述第一沟槽的第一栅极结构;在所述第二栅氧层表面形成填充满所述第二沟槽的第二栅极结构。In order to solve the above problems, the present invention provides a method for forming a fin transistor, comprising: providing a substrate, the substrate includes a core region and a peripheral region, and the substrate surfaces of the core region and the peripheral region respectively have fins; An isolation layer is formed on the surface of the substrate, the isolation layer covers part of the sidewall of the fin, and the surface of the isolation layer is lower than the top surface of the fin; on the sidewall and top of the fin in the peripheral region A first gate oxide layer and a protection layer located on the surface of the first gate oxide layer are formed on the surface, and the dielectric coefficient of the protection layer is greater than that of the first gate oxide layer; Form a dummy gate layer across the fins of the core region and the peripheral region respectively on the surface of the protective layer and the surface of the protective layer, and the dummy gate layer covers part of the sidewalls and tops of the fins; forming a dielectric layer, the dielectric layer covers the sidewall of the dummy gate layer, and the dielectric layer exposes the top of the dummy gate layer; removes the dummy gate layer, and forms a second dummy gate layer in the dielectric layer of the peripheral region A trench, forming a second trench in the dielectric layer of the core region; forming a second gate oxide layer on the exposed fin sidewall and top surface at the bottom of the second trench; forming a second gate oxide layer on the surface of the protective layer forming a first gate structure filling the first trench; forming a second gate structure filling the second trench on the surface of the second gate oxide layer.
可选的,所述第一栅氧层和保护层的形成步骤包括:在所述暴露出的鳍部的侧壁和顶部表面形成第一栅氧膜;在所述第一栅氧膜和隔离层表面形成保护膜;在外围区的保护膜表面形成第一图形化层;以所述第一图形化层为掩膜,刻蚀所述核心区的保护膜和第一栅氧膜,暴露出核心区鳍部的侧壁和顶部表面,形成第一栅氧层和保护层;在刻蚀核心区的保护膜和第一栅氧膜之后,去除所述第一图形化层。Optionally, the step of forming the first gate oxide layer and the protective layer includes: forming a first gate oxide film on the sidewall and top surface of the exposed fin; Form a protective film on the surface of the layer; form a first patterned layer on the surface of the protective film in the peripheral area; use the first patterned layer as a mask to etch the protective film and the first gate oxide film in the core area to expose A first gate oxide layer and a protection layer are formed on the side walls and top surfaces of the fins in the core area; after etching the protection film and the first gate oxide film in the core area, the first patterned layer is removed.
可选的,所述保护膜的形成工艺为原子层沉积工艺。Optionally, the formation process of the protective film is an atomic layer deposition process.
可选的,还包括:在形成伪栅层之前,在所述隔离层、鳍部和保护层表面形成伪栅介质层;在去除所述伪栅层之后,去除第一沟槽和第二沟槽底部的伪栅介质层。Optionally, it also includes: before forming the dummy gate layer, forming a dummy gate dielectric layer on the surface of the isolation layer, the fin and the protection layer; after removing the dummy gate layer, removing the first trench and the second trench The dummy gate dielectric layer at the bottom of the trench.
可选的,所述伪栅介质层的形成工艺为原子层沉积工艺。Optionally, the formation process of the dummy gate dielectric layer is an atomic layer deposition process.
可选的,所述保护层的材料包括高K介质材料。Optionally, the material of the protection layer includes a high-K dielectric material.
可选的,所述保护层的材料包括:Al2O3、ZrO2,HfO2;掺氮的Al2O3、ZrO2或HfO2;或者,掺铝、钇、铪或氮的氧化硅。Optionally, the material of the protective layer includes: Al 2 O 3 , ZrO 2 , HfO 2 ; Al2O3, ZrO 2 or HfO 2 doped with nitrogen; or silicon oxide doped with aluminum, yttrium, hafnium or nitrogen.
可选的,所述保护层的介电系数为6~20。Optionally, the dielectric coefficient of the protective layer is 6-20.
可选的,所述保护层的厚度为5埃~25埃。Optionally, the protective layer has a thickness of 5 angstroms to 25 angstroms.
可选的,所述第一栅氧层的形成工艺为原位蒸汽生成工艺。Optionally, the formation process of the first gate oxide layer is an in-situ steam generation process.
可选的,所述第一栅氧层的厚度为10埃~35埃。Optionally, the thickness of the first gate oxide layer is 10 angstroms to 35 angstroms.
可选的,所述第二栅氧层的形成工艺为热氧化工艺或湿法氧化工艺。Optionally, the formation process of the second gate oxide layer is a thermal oxidation process or a wet oxidation process.
可选的,去除所述伪栅层的工艺为湿法刻蚀工艺和干法刻蚀工艺中的一种或两种组合。Optionally, the process for removing the dummy gate layer is one or a combination of wet etching process and dry etching process.
可选的,所述第一栅极结构包括第一栅介质层、以及位于第一栅介质层上的第一栅极层,所述第一栅极层填充满所述第一沟槽;所述第二栅极结构包括第二栅介质层、以及位于第二栅介质层上的第二栅极层,所述第二栅极层填充满所述第二沟槽。Optionally, the first gate structure includes a first gate dielectric layer and a first gate layer located on the first gate dielectric layer, and the first gate layer fills the first trench; The second gate structure includes a second gate dielectric layer and a second gate layer on the second gate dielectric layer, and the second gate layer fills the second trench.
可选的,所述第一栅极结构和第二栅极结构的形成步骤包括:在所述介质层表面、第一沟槽的内壁表面和第二沟槽的内壁表面形成栅介质膜;在形成栅介质膜之后,形成填充满所述第一沟槽和第二沟槽的栅极膜;平坦化所述栅极膜和栅介质膜直至暴露出所述介质层表面为止,在第一沟槽内形成第一栅介质层和第一栅极层,在第二沟槽内形成第二栅介质层和第二栅极层。Optionally, the step of forming the first gate structure and the second gate structure includes: forming a gate dielectric film on the surface of the dielectric layer, the inner wall surface of the first trench, and the inner wall surface of the second trench; After forming the gate dielectric film, form a gate film that fills the first trench and the second trench; planarize the gate film and the gate dielectric film until the surface of the dielectric layer is exposed, and in the first trench A first gate dielectric layer and a first gate layer are formed in the groove, and a second gate dielectric layer and a second gate layer are formed in the second trench.
可选的,所述鳍部的顶部表面还具有掩膜层。Optionally, the top surface of the fin further has a mask layer.
可选的,所述衬底和鳍部的形成步骤包括:提供半导体基底;在所述半导体基底的部分表面形成掩膜层,所述掩膜层覆盖需要形成鳍部的对应位置和形状;以所述掩膜层为掩膜,刻蚀所述半导体基底,形成所述衬底和鳍部。Optionally, the step of forming the substrate and the fins includes: providing a semiconductor base; forming a mask layer on a part of the surface of the semiconductor base, the mask layer covering the corresponding position and shape of the fins to be formed; The mask layer is a mask, and the semiconductor base is etched to form the substrate and fins.
可选的,所述隔离层的形成步骤包括:在所述衬底和鳍部表面形成隔离膜;平坦化所述隔离膜;在平坦化所述隔离膜之后,回刻蚀所述隔离膜直至暴露出部分鳍部侧壁为止。Optionally, the step of forming the isolation layer includes: forming an isolation film on the surface of the substrate and the fin; planarizing the isolation film; after planarizing the isolation film, etching back the isolation film until until part of the fin sidewall is exposed.
可选的,在回刻蚀所述隔离膜的同时或之后,去除所述掩膜层。Optionally, the mask layer is removed while or after etching back the isolation film.
可选的,在形成所述隔离层之前,在所述衬底和鳍部表面形成衬垫氧化层;在形成所述隔离层之后,去除暴露出的衬垫氧化层。Optionally, before forming the isolation layer, a pad oxide layer is formed on the surface of the substrate and the fin; after the isolation layer is formed, the exposed pad oxide layer is removed.
与现有技术相比,本发明的技术方案具有以下优点:Compared with the prior art, the technical solution of the present invention has the following advantages:
本发明的形成方法中,在外围区的鳍部侧壁和顶部表面形成第一栅氧层,并且在所述第一栅氧层表面形成保护层,而所述伪栅层形成于所述保护层表面。当后续形成介质层并去除所述伪栅层时,所述保护层能够用于保护第一栅氧层免受损伤,避免所述第一栅氧层产生经时击穿效应,从而提高所形成的鳍式晶体管对于短沟道效应的抑制能力,提高驱动电流,降低晶体管的功耗,抑制偏压温度不稳定效应的影响。而且,由于所述保护层的介电系数大于第一栅氧层的介电系数,从而能够在避免增大鳍式晶体管阈值电压的情况下,减少后续形成的第一栅极结构与鳍部之间的载流子隧穿现象。因此,所形成的鳍式场效应晶体管的性能改善、可靠性提高。In the forming method of the present invention, a first gate oxide layer is formed on the sidewall and top surface of the fin in the peripheral region, and a protective layer is formed on the surface of the first gate oxide layer, and the dummy gate layer is formed on the protective layer. layer surface. When the dielectric layer is subsequently formed and the dummy gate layer is removed, the protection layer can be used to protect the first gate oxide layer from damage, avoiding the breakdown effect of the first gate oxide layer over time, thereby improving the formed The ability of the fin transistor to suppress the short channel effect increases the driving current, reduces the power consumption of the transistor, and suppresses the influence of the bias temperature instability effect. Moreover, since the dielectric coefficient of the protective layer is greater than that of the first gate oxide layer, the gap between the subsequently formed first gate structure and the fin can be reduced without increasing the threshold voltage of the fin transistor. carrier tunneling phenomenon. Therefore, the performance and reliability of the formed FinFET are improved.
附图说明Description of drawings
图1至图4是一种鳍式场效应晶体管的形成过程的剖面结构示意图;1 to 4 are schematic cross-sectional structure diagrams of the formation process of a fin field effect transistor;
图5至图15是本发明实施例的鳍式晶体管的形成过程的剖面结构示意图。5 to 15 are schematic cross-sectional structure diagrams of the formation process of the fin transistor according to the embodiment of the present invention.
具体实施方式detailed description
如背景技术所述,随着半导体器件的密度提高、尺寸缩小,所形成的鳍式场效应晶体管的性能变差、可靠性下降。As mentioned in the background, as the density of semiconductor devices increases and the size shrinks, the performance and reliability of the formed fin field effect transistors deteriorate.
为了进一步缩小器件尺寸、提高器件密度,在鳍式场效应晶体管的基础上,引入了高K金属栅晶体管,即以高K介质材料作为栅介质层,以金属材料作为栅极。而且,为了改善高K介质材料的栅介质层与鳍部之间的结合状态,在所述高K介质材料的栅介质层与鳍部之间还需要形成栅氧层进行粘合。所述高K金属栅晶体管采用后栅(Gate Last)工艺形成,其中一种后栅工艺中是在去除多晶硅的伪栅层并形成栅极沟槽之后,再于栅极沟槽的内壁表面形成高K介质材料的栅介质层。In order to further reduce the size of the device and increase the density of the device, a high-k metal gate transistor is introduced on the basis of the fin field effect transistor, that is, a high-k dielectric material is used as the gate dielectric layer, and a metal material is used as the gate. Moreover, in order to improve the bonding state between the gate dielectric layer of high-K dielectric material and the fin, a gate oxide layer needs to be formed between the gate dielectric layer of high-K dielectric material and the fin for adhesion. The high-K metal gate transistor is formed using a Gate Last process, in which a gate last process is to remove the dummy gate layer of polysilicon and form a gate trench, and then form a gate on the inner wall surface of the gate trench. Gate dielectric layer of high-K dielectric material.
然而,对于外围区的鳍式场效应晶体管来说,由于栅氧层在形成伪栅层之前形成,则去除所述伪栅层的工艺会损伤所述栅氧层。随着鳍式场效应晶体管的尺寸愈小,所述栅氧层的损伤对器件性能的影响更明显。以下将结合附图进行说明。However, for the FinFET in the peripheral region, since the gate oxide layer is formed before forming the dummy gate layer, the process of removing the dummy gate layer will damage the gate oxide layer. As the size of the FinFET becomes smaller, the damage of the gate oxide layer has a more obvious impact on the performance of the device. It will be described below in conjunction with the accompanying drawings.
图1至图4是一种鳍式场效应晶体管的形成过程的剖面结构示意图。1 to 4 are schematic cross-sectional structure diagrams of the formation process of a fin field effect transistor.
请参考图1,提供衬底100,所述衬底100包括核心区110和外围区120,所述核心区110和外围区120的衬底100表面分别具有鳍部101,所述衬底100表面形成隔离层102,所述隔离层102覆盖所述鳍部101的部分侧壁表面,且所述隔离层102表面低于所述鳍部101的顶部表面。Please refer to FIG. 1 , a substrate 100 is provided, the substrate 100 includes a core area 110 and a peripheral area 120, the surfaces of the substrate 100 of the core area 110 and the peripheral area 120 have fins 101 respectively, and the surface of the substrate 100 An isolation layer 102 is formed, the isolation layer 102 covers part of the sidewall surface of the fin portion 101 , and the surface of the isolation layer 102 is lower than the top surface of the fin portion 101 .
请参考图2,在所述暴露出的鳍部101的侧壁和顶部表面形成第一栅氧层103;在所述第一栅氧层103表面形成分别横跨所述核心区110和外围区120鳍部101的伪栅层104,所述伪栅层104覆盖所述鳍部101的部分侧壁和顶部。Referring to FIG. 2, a first gate oxide layer 103 is formed on the exposed sidewall and top surface of the fin portion 101; 120 dummy gate layer 104 of the fin portion 101 , the dummy gate layer 104 covers part of the sidewall and top of the fin portion 101 .
请参考图3,在所述第一栅氧层103表面形成介质层105,所述介质层105覆盖所述伪栅层104的侧壁,且所述介质层105暴露出所述伪栅层104顶部。Referring to FIG. 3 , a dielectric layer 105 is formed on the surface of the first gate oxide layer 103 , the dielectric layer 105 covers the sidewall of the dummy gate layer 104 , and the dielectric layer 105 exposes the dummy gate layer 104 top.
请参考图4,去除所述伪栅层104,在所述外围区120的介质层105内形成第一沟槽121,在所述核心区110的介质层105内形成第二沟槽111。Referring to FIG. 4 , the dummy gate layer 104 is removed, a first trench 121 is formed in the dielectric layer 105 of the peripheral region 120 , and a second trench 111 is formed in the dielectric layer 105 of the core region 110 .
其中,所述第一栅氧层103的形成工艺为原子层沉积工艺,材料为氧化硅。所述第一栅氧层103用于在去除伪栅层104时,保护核心区110和外围区120的鳍部101侧壁和顶部表面。由于采用原子层沉积工艺形成的氧化硅密度较低,内部容易形成缺陷,因此,所述第一栅氧层103不适于作为核心区110鳍式场效应晶体管的栅氧层,则后续需要去除核心区110的第一栅氧层103。Wherein, the formation process of the first gate oxide layer 103 is an atomic layer deposition process, and the material is silicon oxide. The first gate oxide layer 103 is used to protect the sidewall and top surface of the fin 101 of the core region 110 and the peripheral region 120 when the dummy gate layer 104 is removed. Since the density of silicon oxide formed by the atomic layer deposition process is low, defects are easily formed inside. Therefore, the first gate oxide layer 103 is not suitable as the gate oxide layer of the fin field effect transistor in the core region 110, and the core needs to be removed later. The first gate oxide layer 103 of the region 110.
其次,由于外围区120的鳍式场效应晶体管对栅氧层的密度及内部缺陷数量要求较低,因此能够保留外围区120的第一氧化层103,作为外围区120形成的鳍式场效应晶体管内的栅氧层。在去除所述伪栅层104之后,后续需要去除核心区110的第一栅氧层103,并以热氧化工艺在核心区110暴露出的鳍部101和底部表面形成第二栅氧层。Secondly, since the fin field effect transistor in the peripheral region 120 has lower requirements on the density of the gate oxide layer and the number of internal defects, the first oxide layer 103 in the peripheral region 120 can be retained as the fin field effect transistor formed in the peripheral region 120 inner gate oxide layer. After removing the dummy gate layer 104 , the first gate oxide layer 103 of the core region 110 needs to be removed subsequently, and a second gate oxide layer is formed on the exposed fin portion 101 and bottom surface of the core region 110 by a thermal oxidation process.
然而,所述第一栅氧层103虽然能够在去除伪栅层104时,保护核心区110和外围区120的鳍部101侧壁和顶部表面,但所述去除伪栅层104的刻蚀工艺也容易对所述第一栅氧层103造成损伤,所述受损的第一栅氧层103不仅容易引起经时击穿(Time Dependent Dielectric Breakdown,简称TDDB),引起短沟道效应、减小驱动电流、提高功耗,还容易引起偏压温度不稳定效应(Bias Temperature Instability,简称BTI),所形成的晶体管性能变差。However, although the first gate oxide layer 103 can protect the side walls and top surfaces of the fins 101 of the core region 110 and the peripheral region 120 when the dummy gate layer 104 is removed, the etching process for removing the dummy gate layer 104 It is also easy to cause damage to the first gate oxide layer 103, and the damaged first gate oxide layer 103 is not only likely to cause Time Dependent Dielectric Breakdown (TDDB for short), cause short channel effect, reduce Drive current, increase power consumption, and easily cause bias temperature instability (Bias Temperature Instability, referred to as BTI), resulting in poor performance of the formed transistor.
为了解决上述问题,本发明提供一种鳍式晶体管的形成方法,包括:提供衬底,所述衬底包括核心区和外围区,所述核心区和外围区的衬底表面分别具有鳍部;在所述衬底表面形成隔离层,所述隔离层覆盖所述鳍部的部分侧壁,且所述隔离层表面低于所述鳍部的顶部表面;在外围区的鳍部侧壁和顶部表面形成第一栅氧层以及位于所述第一栅氧层表面的保护层,所述保护层的介电系数大于所述第一栅氧层的介电系数;在所述隔离层、鳍部和保护层表面形成分别横跨所述核心区和外围区鳍部的伪栅层,所述伪栅层覆盖在部分所述鳍部的侧壁和顶部上;在所述隔离层和鳍部表面形成介质层,所述介质层覆盖所述伪栅层的侧壁,且所述介质层暴露出所述伪栅层顶部;去除所述伪栅层,在所述外围区的介质层内形成第一沟槽,在所述核心区的介质层内形成第二沟槽;在所述第二沟槽底部暴露出的鳍部侧壁和顶部表面形成第二栅氧层;在所述保护层表面形成填充满所述第一沟槽的第一栅极结构;在所述第二栅氧层表面形成填充满所述第二沟槽的第二栅极结构。In order to solve the above problems, the present invention provides a method for forming a fin transistor, comprising: providing a substrate, the substrate includes a core region and a peripheral region, the substrate surfaces of the core region and the peripheral region respectively have fins; An isolation layer is formed on the surface of the substrate, the isolation layer covers part of the sidewall of the fin, and the surface of the isolation layer is lower than the top surface of the fin; on the sidewall and top of the fin in the peripheral region A first gate oxide layer and a protection layer located on the surface of the first gate oxide layer are formed on the surface, and the dielectric coefficient of the protection layer is greater than that of the first gate oxide layer; Form a dummy gate layer across the fins of the core region and the peripheral region respectively on the surface of the protective layer and the surface of the protective layer, and the dummy gate layer covers part of the sidewalls and tops of the fins; forming a dielectric layer, the dielectric layer covers the sidewall of the dummy gate layer, and the dielectric layer exposes the top of the dummy gate layer; removes the dummy gate layer, and forms a second dummy gate layer in the dielectric layer of the peripheral region A trench, forming a second trench in the dielectric layer of the core region; forming a second gate oxide layer on the exposed fin sidewall and top surface at the bottom of the second trench; forming a second gate oxide layer on the surface of the protective layer forming a first gate structure filling the first trench; forming a second gate structure filling the second trench on the surface of the second gate oxide layer.
其中,在外围区的鳍部侧壁和顶部表面形成第一栅氧层,并且在所述第一栅氧层表面形成保护层,而所述伪栅层形成于所述保护层表面。当后续形成介质层并去除所述伪栅层时,所述保护层能够用于保护第一栅氧层免受损伤,避免所述第一栅氧层产生经时击穿效应,从而提高所形成的鳍式晶体管对于短沟道效应的抑制能力,提高驱动电流,降低晶体管的功耗,抑制偏压温度不稳定效应的影响。而且,由于所述保护层的介电系数大于第一栅氧层的介电系数,从而能够在避免增大鳍式晶体管阈值电压的情况下,减少后续形成的第一栅极结构与鳍部之间的载流子隧穿现象。因此,所形成的鳍式场效应晶体管的性能改善、可靠性提高。Wherein, a first gate oxide layer is formed on the sidewall and top surface of the fin in the peripheral region, and a protection layer is formed on the surface of the first gate oxide layer, and the dummy gate layer is formed on the surface of the protection layer. When the dielectric layer is subsequently formed and the dummy gate layer is removed, the protection layer can be used to protect the first gate oxide layer from damage, avoiding the breakdown effect of the first gate oxide layer over time, thereby improving the formed The ability of the fin transistor to suppress the short channel effect increases the driving current, reduces the power consumption of the transistor, and suppresses the influence of the bias temperature instability effect. Moreover, since the dielectric coefficient of the protective layer is greater than that of the first gate oxide layer, the gap between the subsequently formed first gate structure and the fin can be reduced without increasing the threshold voltage of the fin transistor. carrier tunneling phenomenon. Therefore, the performance and reliability of the formed FinFET are improved.
为使本发明的上述目的、特征和优点能够更为明显易懂,下面结合附图对本发明的具体实施例做详细的说明。In order to make the above objects, features and advantages of the present invention more comprehensible, specific embodiments of the present invention will be described in detail below in conjunction with the accompanying drawings.
图5至图15是本发明实施例的鳍式晶体管的形成过程的剖面结构示意图。5 to 15 are schematic cross-sectional structure diagrams of the formation process of the fin transistor according to the embodiment of the present invention.
请参考图5,提供衬底200,所述衬底200包括核心区220和外围区210,所述核心区220和外围区210的衬底200表面分别具有鳍部201。Referring to FIG. 5 , a substrate 200 is provided. The substrate 200 includes a core region 220 and a peripheral region 210 , and the surfaces of the substrate 200 of the core region 220 and the peripheral region 210 respectively have fins 201 .
所述核心区220用于形成核心器件,所述外围区210用于形成外围器件,例如输入输出(I/O)器件。所述核心区220的核心器件密度大于外围区210的外围器件密度,且所述核心器件的特征尺寸(Critical Dimention,简称CD)小于所述外围器件的特征尺寸。所述核心器件的工作电流或工作电压小于所述外围器件的工作电流或工作电压。在本实施例中,所述核心区220和外围区210的衬底200表面分别具有鳍部201,用于分别在核心区220和外围区210形成鳍式晶体管。The core area 220 is used to form core devices, and the peripheral area 210 is used to form peripheral devices, such as input/output (I/O) devices. The core device density of the core region 220 is greater than the peripheral device density of the peripheral region 210 , and the critical dimension (Critical Dimention, CD for short) of the core device is smaller than the characteristic dimension of the peripheral device. The operating current or operating voltage of the core device is lower than the operating current or operating voltage of the peripheral device. In this embodiment, the surface of the substrate 200 in the core region 220 and the peripheral region 210 has fins 201 for forming fin transistors in the core region 220 and the peripheral region 210 respectively.
在本实施例中,所述鳍部201的顶部表面还具有掩膜层202。所述掩膜层202作为刻蚀形成所述鳍部201的掩膜,而且所述掩膜层202还能够在后续工艺过程中,用于保护鳍部201的顶部表面。In this embodiment, the top surface of the fin portion 201 also has a mask layer 202 . The mask layer 202 is used as a mask for forming the fin portion 201 by etching, and the mask layer 202 can also be used to protect the top surface of the fin portion 201 in a subsequent process.
在本实施例中,所述衬底200和鳍部201的形成步骤包括:提供半导体基底;在所述半导体基底的部分表面形成掩膜层202,所述掩膜层202覆盖需要形成鳍部200的对应位置和形状;以所述掩膜层202为掩膜,刻蚀所述半导体基底,形成所述衬底200和鳍部201。In this embodiment, the steps of forming the substrate 200 and the fins 201 include: providing a semiconductor base; forming a mask layer 202 on a part of the surface of the semiconductor base, and the mask layer 202 covers The corresponding position and shape of the semiconductor substrate are etched using the mask layer 202 as a mask to form the substrate 200 and the fins 201 .
所述半导体基底为硅衬底、锗衬底和硅锗衬底。在本实施例中,所述半导体基底为单晶硅衬底,即所述鳍部201和衬底200的材料为单晶硅。The semiconductor substrate is a silicon substrate, a germanium substrate and a silicon germanium substrate. In this embodiment, the semiconductor substrate is a single crystal silicon substrate, that is, the material of the fin portion 201 and the substrate 200 is single crystal silicon.
所述掩膜层202的形成步骤包括:在所述半导体基底表面形成掩膜材料膜;在所述掩膜材料膜表面形成第二图形化层;以第二图形化层为掩膜刻蚀所述掩膜材料膜直至暴露出半导体基底表面为止,形成所述掩膜层202。The forming step of the mask layer 202 includes: forming a mask material film on the surface of the semiconductor substrate; forming a second patterned layer on the surface of the mask material film; using the second patterned layer as a mask to etch the The mask material film is formed until the surface of the semiconductor substrate is exposed to form the mask layer 202 .
在一实施例中,所述第二图形化层为图形化的光刻胶层,所述第二图形化层采用涂布工艺和光刻工艺形成。在另一实施例中,为了缩小所述鳍部201的特征尺寸、以及相邻鳍部201之间的距离,所述第二图形化层采用多重图形化掩膜工艺形成。所述多重图形化掩膜工艺包括:自对准双重图形化(Self-aligned Double Patterned,SaDP)工艺、自对准三重图形化(Self-alignedTriple Patterned)工艺、或自对准四重图形化(Self-aligned Double DoublePatterned,SaDDP)工艺。In one embodiment, the second patterned layer is a patterned photoresist layer, and the second patterned layer is formed by a coating process and a photolithography process. In another embodiment, in order to reduce the feature size of the fins 201 and the distance between adjacent fins 201 , the second patterned layer is formed by a multiple patterned mask process. The multiple patterned mask process includes: self-aligned double patterned (Self-aligned Double Patterned, SaDP) process, self-aligned triple patterned (Self-aligned Triple Patterned) process, or self-aligned quadruple patterned ( Self-aligned Double Double Patterned, SaDDP) process.
刻蚀所述半导体基底的工艺为各向异性的干法刻蚀工艺。所述鳍部201的侧壁相对于衬底200的表面垂直或倾斜,且当所述鳍部201的侧壁相对于衬底200表面倾斜时,所述鳍部201的底部尺寸大于顶部尺寸。在本实施例中,所述鳍部201的侧壁相对于衬底200表面倾斜。The process of etching the semiconductor substrate is an anisotropic dry etching process. The sidewall of the fin 201 is vertical or inclined relative to the surface of the substrate 200 , and when the sidewall of the fin 201 is inclined relative to the surface of the substrate 200 , the bottom dimension of the fin 201 is larger than the top dimension. In this embodiment, the sidewalls of the fins 201 are inclined relative to the surface of the substrate 200 .
所述外围区210的衬底200和鳍部201内还具有第一阱区,所述核心区220的衬底200和鳍部201内还具有第二阱区。所述第一阱区和第二阱区采用离子注入工艺形成;所述第一阱区和第二阱区能够在刻蚀半导体基底以形成鳍部201之前形成;或者,所述第一阱区和第二阱区能够在形成鳍部201之后形成。The substrate 200 and the fin portion 201 of the peripheral region 210 further have a first well region, and the core region 220 further has a second well region within the substrate 200 and the fin portion 201 . The first well region and the second well region are formed by an ion implantation process; the first well region and the second well region can be formed before etching the semiconductor substrate to form the fin 201; or, the first well region And the second well region can be formed after forming the fin 201 .
在另一实施例中,所述鳍部通过刻蚀形成于衬底表面的半导体层形成;所述半导体层采用选择性外延沉积工艺形成于所述衬底表面。所述衬底为硅衬底、硅锗衬底、碳化硅衬底、绝缘体上硅衬底、绝缘体上锗衬底、玻璃衬底或III-V族化合物衬底,例如氮化镓衬底或砷化镓衬底等。所述半导体层的材料为硅、锗、碳化硅或硅锗。In another embodiment, the fins are formed by etching a semiconductor layer formed on the surface of the substrate; the semiconductor layer is formed on the surface of the substrate by a selective epitaxial deposition process. The substrate is a silicon substrate, a silicon germanium substrate, a silicon carbide substrate, a silicon-on-insulator substrate, a germanium-on-insulator substrate, a glass substrate or a III-V compound substrate, such as a gallium nitride substrate or gallium arsenide substrate, etc. The material of the semiconductor layer is silicon, germanium, silicon carbide or silicon germanium.
在本实施例中,在后续形成所述隔离层之前,还包括在所述衬底200和鳍部201表面形成衬垫氧化层203。所述衬垫氧化层203的形成工艺为原位蒸汽生成(In-Situ Steam Generation,简称ISSG)工艺。所述原位蒸汽生成工艺的参数包括:温度为700℃~1200℃,气体包括氢气和氧气,氧气流量为1slm~50slm,氢气流量为1slm~10slm,时间为20秒钟~10分钟。所述原位蒸汽生成工艺形成的衬垫氧化层203具有良好的阶梯覆盖能力,能够使所形成的衬垫氧化层203紧密地覆盖于鳍部201的侧壁表面,而且所形成的衬垫氧化层203的厚度均匀。In this embodiment, before the subsequent formation of the isolation layer, it further includes forming a pad oxide layer 203 on the surface of the substrate 200 and the fin portion 201 . The formation process of the pad oxide layer 203 is an In-Situ Steam Generation (ISSG for short) process. The parameters of the in-situ steam generation process include: the temperature is 700°C-1200°C, the gas includes hydrogen and oxygen, the flow rate of oxygen is 1slm-50slm, the flow rate of hydrogen is 1slm-10slm, and the time is 20 seconds-10 minutes. The pad oxide layer 203 formed by the in-situ steam generation process has a good step coverage ability, which can make the formed pad oxide layer 203 tightly cover the side wall surface of the fin 201, and the formed pad oxide layer Layer 203 has a uniform thickness.
通过形成所述衬垫氧化层203,能够修复所述衬底200和鳍部201表面在前序刻蚀工艺及离子注入工艺过程中受到的损伤。而且,所述衬垫氧化层203还能够在后续制程中保护鳍部201和衬底200的表面。By forming the pad oxide layer 203 , the damage to the surface of the substrate 200 and the fin portion 201 during the preceding etching process and ion implantation process can be repaired. Moreover, the pad oxide layer 203 can also protect the surface of the fin portion 201 and the substrate 200 in subsequent processes.
请参考图6,在所述衬底200表面形成隔离层204,所述隔离层204覆盖所述鳍部201的部分侧壁,且所述隔离层204表面低于所述鳍部201的顶部表面。Referring to FIG. 6, an isolation layer 204 is formed on the surface of the substrate 200, the isolation layer 204 covers part of the sidewall of the fin 201, and the surface of the isolation layer 204 is lower than the top surface of the fin 201. .
所述隔离层204的形成步骤包括:在所述衬底200和鳍部201表面形成隔离膜;平坦化所述隔离膜;在平坦化所述隔离膜之后,回刻蚀所述隔离膜直至暴露出部分鳍部201侧壁为止。The step of forming the isolation layer 204 includes: forming an isolation film on the surface of the substrate 200 and the fin portion 201; planarizing the isolation film; after planarizing the isolation film, etching back the isolation film until exposed part of the side wall of the fin portion 201.
在本实施例中,所述隔离层204的材料为氧化硅;所述隔离层204的厚度是所述鳍部201高度的1/4~1/2。所述隔离膜的形成工艺为流体化学气相沉积工艺(FCVD,Flowable Chemical Vapor Deposition)。在其它实施例中,所述隔离膜还能够采用其它化学气相沉积工艺或物理气相沉积工艺形成;所述其它化学气相沉积工艺包括等离子体增强化学气相沉积工艺(PECVD)或高深宽比化学气相沉积工艺(HARP)。In this embodiment, the material of the isolation layer 204 is silicon oxide; the thickness of the isolation layer 204 is 1/4˜1/2 of the height of the fin portion 201 . The formation process of the isolation film is a fluid chemical vapor deposition process (FCVD, Flowable Chemical Vapor Deposition). In other embodiments, the isolation film can also be formed by other chemical vapor deposition processes or physical vapor deposition processes; the other chemical vapor deposition processes include plasma enhanced chemical vapor deposition (PECVD) or high aspect ratio chemical vapor deposition process (HARP).
在本实施例中,所述流体化学气相沉积工艺的步骤包括:在所述衬底200、鳍部201和掩膜层202表面形成前驱介质膜;进行退火工艺,使前驱介质膜固化,形成所述隔离膜。In this embodiment, the steps of the fluid chemical vapor deposition process include: forming a precursor dielectric film on the surfaces of the substrate 200, the fin portion 201 and the mask layer 202; performing an annealing process to solidify the precursor dielectric film to form the the isolation film.
所述前驱介质膜的材料为含硅的可流动材料;所述可流动材料能够为含Si-H键、Si-N键和Si-O键中的一种或多种聚合的聚合体。所述前驱介质膜的形成工艺参数包括:工艺温度为60℃~70℃,本实施例中为65℃。The material of the precursor medium film is a flowable material containing silicon; the flowable material can be a polymer containing one or more of Si-H bonds, Si-N bonds and Si-O bonds. The process parameters for forming the precursor dielectric film include: the process temperature is 60° C. to 70° C., which is 65° C. in this embodiment.
所述流体化学气相沉积工艺中的退火工艺能够为湿法退火工艺或干法退火工艺;所述退火工艺的参数包括:温度小于或等于600℃,退火气体包括H2、O2、N2、Ar和He中的一种或多种组合,退火时间为5秒~1分钟。其中,当退火气体包括H2和O2时,所述退火工艺为湿法退火工艺。The annealing process in the fluid chemical vapor deposition process can be a wet annealing process or a dry annealing process; the parameters of the annealing process include: the temperature is less than or equal to 600°C, and the annealing gas includes H 2 , O 2 , N 2 , One or more combinations of Ar and He, the annealing time is 5 seconds to 1 minute. Wherein, when the annealing gas includes H 2 and O 2 , the annealing process is a wet annealing process.
所述平坦化工艺为化学机械抛光工艺(CMP);在本实施例中,所述化学机械抛光工艺以所述掩膜层202作为停止层。回刻蚀所述隔离膜的工艺为各向同性的干法刻蚀工艺、各向异性的干法刻蚀工艺或湿法刻蚀工艺。The planarization process is a chemical mechanical polishing process (CMP); in this embodiment, the chemical mechanical polishing process uses the mask layer 202 as a stop layer. The process of etching back the isolation film is an isotropic dry etching process, an anisotropic dry etching process or a wet etching process.
在本实施例中,在回刻蚀所述隔离膜的同时或之后,去除所述掩膜层202(如图5所示)。在形成所述隔离层204之后,去除暴露出的衬垫氧化层203;由于所述暴露出的衬垫氧化层203在回刻蚀隔离膜的工艺会受到损伤,因此所述衬垫氧化层203不适于作为后续的栅氧化层,因此需要去除所述衬垫氧化层203。In this embodiment, the mask layer 202 (as shown in FIG. 5 ) is removed while or after the isolation film is etched back. After the isolation layer 204 is formed, the exposed pad oxide layer 203 is removed; since the exposed pad oxide layer 203 will be damaged in the process of etching back the isolation film, the pad oxide layer 203 It is not suitable as a subsequent gate oxide layer, so the pad oxide layer 203 needs to be removed.
在外围区的鳍部侧壁和顶部表面形成第一栅氧层以及位于所述第一栅氧层表面的保护层,所述保护层的介电系数大于所述第一栅氧层的介电系数。所述第一栅氧层和保护层的形成步骤如图7至图8所示。A first gate oxide layer and a protection layer located on the surface of the first gate oxide layer are formed on the sidewall and top surface of the fin in the peripheral region, and the dielectric coefficient of the protection layer is greater than that of the first gate oxide layer. coefficient. The steps of forming the first gate oxide layer and the protection layer are shown in FIG. 7 to FIG. 8 .
请参考图7,在所述暴露出的鳍部201的侧壁和顶部表面形成第一栅氧膜211。Referring to FIG. 7 , a first gate oxide film 211 is formed on the exposed sidewalls and top surfaces of the fin portion 201 .
所述第一栅氧膜211用于形成外围区210的鳍式晶体管内的栅氧层,用于在外围区210增强鳍部201与后续形成的第一栅介质层之间的结合强度,所述第一栅介质层的材料为高K介质材料(介电系数大于3.9),所述第一栅介质层作为外围区210的鳍式场效应晶体管的栅介质层。The first gate oxide film 211 is used to form the gate oxide layer in the fin transistor in the peripheral region 210, and is used to enhance the bonding strength between the fin portion 201 and the subsequently formed first gate dielectric layer in the peripheral region 210, so The material of the first gate dielectric layer is a high-K dielectric material (dielectric coefficient greater than 3.9), and the first gate dielectric layer is used as the gate dielectric layer of the fin field effect transistor in the peripheral region 210 .
所述第一栅氧膜211的材料为氧化硅,所述第一栅氧膜211的厚度为10埃~35埃;在本实施例中,所述第一栅氧膜的厚度为15埃。在本实施例中,所述第一栅氧膜211的形成工艺为原位蒸汽生成工艺;所述原位蒸汽生成工艺的参数包括:温度为700℃~1200℃,气体包括氢气和氧气,氧气流量为1slm~50slm,氢气流量为1slm~10slm,时间为10秒钟~5分钟。The material of the first gate oxide film 211 is silicon oxide, and the thickness of the first gate oxide film 211 is 10 angstroms to 35 angstroms; in this embodiment, the thickness of the first gate oxide film is 15 angstroms. In this embodiment, the formation process of the first gate oxide film 211 is an in-situ steam generation process; the parameters of the in-situ steam generation process include: the temperature is 700°C-1200°C, the gas includes hydrogen and oxygen, oxygen The flow rate is 1slm-50slm, the hydrogen flow rate is 1slm-10slm, and the time is 10 seconds-5 minutes.
在另一实施例中,所述第一栅氧膜211的形成工艺为化学氧化工艺;所述化学氧化工艺的步骤包括:采用通入臭氧的水溶液对所述鳍部201暴露出的侧壁和顶部表面进行氧化,在所述鳍部201的侧壁和顶部表面形成第一氧化层。其中,在所述通入臭氧的水溶液中,臭氧在水中的浓度为1%~15%。In another embodiment, the formation process of the first gate oxide film 211 is a chemical oxidation process; the step of the chemical oxidation process includes: using an aqueous solution fed with ozone to expose the sidewalls and The top surface is oxidized to form a first oxide layer on the sidewall and top surface of the fin 201 . Wherein, in the aqueous solution fed with ozone, the concentration of ozone in water is 1%-15%.
请参考图8,在所述第一栅氧膜211和隔离层204表面形成保护膜212。Referring to FIG. 8 , a protective film 212 is formed on the surface of the first gate oxide film 211 and the isolation layer 204 .
所述保护膜212用于在后续去除伪栅层时保护第一栅氧层,所述第一栅氧层由外围区210的第一栅氧膜211形成。由于所述第一栅氧层用于形成外围区210的鳍式场效应晶体管内的栅氧层,因此所述外围区210的第一栅氧膜211在后续制程中需要被暴露并保留。而由所述保护膜212形成的保护层则能够在后续去除伪栅层的刻蚀工艺中,减少所述第一栅氧层受到的损伤。The protection film 212 is used to protect the first gate oxide layer when the dummy gate layer is subsequently removed, and the first gate oxide layer is formed by the first gate oxide film 211 of the peripheral region 210 . Since the first gate oxide layer is used to form the gate oxide layer in the fin field effect transistor in the peripheral region 210 , the first gate oxide film 211 in the peripheral region 210 needs to be exposed and retained in subsequent processes. The protection layer formed by the protection film 212 can reduce the damage to the first gate oxide layer in the subsequent etching process for removing the dummy gate layer.
在本实施例中,所述保护膜212的材料包括高K介质材料,所形成的保护层的材料密度和硬度较高,且与后续形成的伪栅层材料之间的刻蚀选择比较大,因此,足以在后续制程中保护所形成的第一栅氧层。In this embodiment, the material of the protective film 212 includes a high-K dielectric material, the material density and hardness of the formed protective layer are relatively high, and the etching selectivity between the material of the subsequently formed dummy gate layer is relatively large, Therefore, it is enough to protect the formed first gate oxide layer in the subsequent process.
而且,由于所述保护膜212材料的介电系数较高,所形成的保护层位于所述第一栅氧层和后续形成的第一栅介质层之间,所述保护层能够在不提高鳍式晶体管阈值电压的情况下,抑制鳍部201与所述第一栅介质层之间的载流子隧穿现象,减少漏电流。Moreover, since the material of the protection film 212 has a relatively high dielectric coefficient, the formed protection layer is located between the first gate oxide layer and the subsequently formed first gate dielectric layer, and the protection layer can be used without raising the fin. In the case of the threshold voltage of the normal transistor, the carrier tunneling phenomenon between the fin portion 201 and the first gate dielectric layer is suppressed, and the leakage current is reduced.
在本实施例中,所述保护膜212的介电系数为6~20。所述保护膜212的材料包括:Al2O3、ZrO2,HfO2;掺氮的Al2O3、ZrO2或HfO2;或者,掺铝、钇、铪或氮的氧化硅。在其它实施例中,所述保护膜212的材料还能够为其它高K介质材料(介电系数大于3.9)。In this embodiment, the dielectric coefficient of the protection film 212 is 6-20. The material of the protective film 212 includes: Al 2 O 3 , ZrO 2 , HfO 2 ; Al 2 O 3 , ZrO 2 or HfO 2 doped with nitrogen; or silicon oxide doped with aluminum, yttrium, hafnium or nitrogen. In other embodiments, the material of the protective film 212 can also be other high-K dielectric materials (dielectric coefficient greater than 3.9).
在本实施例中,所述保护膜212的形成工艺为原子层沉积工艺。采用原子层沉积工艺形成的保护膜212具有良好的阶梯覆盖能力,能够紧密地贴合于隔离层204和第一栅氧层211表面;而且,所形成的保护膜212厚度均匀,有利于使外围区210形成的鳍式场效应晶体管的阈值电压稳定。In this embodiment, the formation process of the protection film 212 is an atomic layer deposition process. The protective film 212 formed by the atomic layer deposition process has good step coverage and can closely adhere to the surface of the isolation layer 204 and the first gate oxide layer 211; moreover, the formed protective film 212 has a uniform thickness, which is conducive to making The threshold voltage of the FinFET formed by region 210 is stabilized.
所述保护膜212的厚度为5埃~25埃;在本实施例中,所述保护膜212的厚度为20埃。所述保护膜212的厚度不宜过厚,否则容易提高外围区210形成的鳍式晶体管的阈值电压,不利于半导体器件的功耗降低。所述保护膜212的厚度也不宜过薄,否则后续形成的保护层不足以保护所述第一栅氧层,依旧容易造成第一栅氧层的损伤。The thickness of the protection film 212 is 5 angstroms to 25 angstroms; in this embodiment, the thickness of the protection film 212 is 20 angstroms. The thickness of the protective film 212 should not be too thick, otherwise the threshold voltage of the fin transistors formed in the peripheral region 210 will be easily increased, which is not conducive to reducing the power consumption of semiconductor devices. The thickness of the protection film 212 should not be too thin, otherwise the subsequent formation of the protection layer will not be enough to protect the first gate oxide layer, and the first gate oxide layer will still be easily damaged.
请参考图9,在外围区210的保护膜212表面形成第一图形化层222;以所述第一图形化层222为掩膜,刻蚀所述核心区220的保护膜212(如图8所示)和第一栅氧膜211(如图8所示)暴露出核心区220鳍部201的侧壁和顶部表面,形成第一栅氧层211a和保护层212a。Referring to FIG. 9 , a first patterned layer 222 is formed on the surface of the protective film 212 in the peripheral region 210; using the first patterned layer 222 as a mask, the protective film 212 of the core region 220 is etched (as shown in FIG. 8 ) and the first gate oxide film 211 (as shown in FIG. 8 ) expose the sidewalls and top surfaces of the fins 201 of the core region 220 to form a first gate oxide layer 211a and a protective layer 212a.
所述第一图形化层222为图形化的光刻胶层,所述第一图形化层222采用涂布工艺和光刻工艺形成。刻蚀所述保护膜212和第一栅氧膜211的工艺为湿法刻蚀工艺或各向同性的干法刻蚀工艺。The first patterned layer 222 is a patterned photoresist layer, and the first patterned layer 222 is formed by a coating process and a photolithography process. The process of etching the protection film 212 and the first gate oxide film 211 is a wet etching process or an isotropic dry etching process.
在本实施例中,所述保护膜212的材料包括高K介质材料,所述第一栅氧膜211的材料为氧化硅;刻蚀所述保护膜212和第一栅氧膜211的工艺均为各向同性的干法刻蚀工艺。In this embodiment, the material of the protective film 212 includes a high-K dielectric material, and the material of the first gate oxide film 211 is silicon oxide; the processes of etching the protective film 212 and the first gate oxide film 211 are both It is an isotropic dry etching process.
在本实施例中,刻蚀所述第一栅氧膜211的各向同性干法刻蚀工艺能够为SICONI工艺。所述SICONI工艺在各个不同方向上的刻蚀速率均匀,能够均匀地去除位于鳍部201侧壁和顶部表面的第一栅氧层211,而且对所述鳍部201侧壁和顶部表面的损伤较小。In this embodiment, the isotropic dry etching process for etching the first gate oxide film 211 can be a SICONI process. The etching rate of the SICONI process in different directions is uniform, and the first gate oxide layer 211 located on the sidewall and top surface of the fin 201 can be uniformly removed, and the damage to the sidewall and top surface of the fin 201 smaller.
所述SICONI工艺的参数包括:功率10W~100W,频率小于100kHz,刻蚀温度为40摄氏度~80摄氏度,压强为0.5托~50托,刻蚀气体包括NH3、NF3、He,其中,NH3的流量为0sccm~500sccm,NF3的流量为20sccm~200sccm,He的流量为400sccm~1200sccm,NF3与NH3的流量比为1:20~5:1。The parameters of the SICONI process include: power 10W-100W, frequency less than 100kHz, etching temperature 40-80 degrees Celsius, pressure 0.5 Torr-50 Torr, etching gas includes NH 3 , NF 3 , He, wherein, NH The flow rate of 3 is 0 sccm-500 sccm, the flow rate of NF 3 is 20 sccm-200 sccm, the flow rate of He is 400 sccm-1200 sccm, and the flow ratio of NF 3 and NH 3 is 1:20-5:1.
请参考图10,在所述隔离层204、鳍部201和保护层212a表面形成分别横跨所述核心区220和外围区210鳍部201的伪栅层205,所述伪栅层205覆盖在部分所述鳍部201的侧壁和顶部上。Referring to FIG. 10 , a dummy gate layer 205 is formed on the surfaces of the isolation layer 204 , the fin portion 201 and the protective layer 212a respectively across the fin portion 201 of the core region 220 and the peripheral region 210 , and the dummy gate layer 205 covers the Part of the sidewall and top of the fin 201 .
在本实施例中,在刻蚀核心区210的保护膜212(如图8所示)和第一栅氧膜211(如图8所示)之后,去除所述第一图形化层222。In this embodiment, after etching the protective film 212 (as shown in FIG. 8 ) and the first gate oxide film 211 (as shown in FIG. 8 ) of the core region 210 , the first patterned layer 222 is removed.
所述伪栅层205的材料为多晶硅。所述伪栅层205的形成步骤包括:在所述隔离层204表面、鳍部201表面以及外围区210的保护层212a表面形成伪栅极膜;对所述伪栅极膜进行平坦化;在所述平坦化工艺之后,在所述伪栅极膜表面形成第三图形化层,所述第三图形化层覆盖需要形成伪栅层205的位置和形状;以所述第三图形化层为掩膜,刻蚀所述伪栅极膜,直至暴露出隔离层204、鳍部201和保护层212a表面为止,形成伪栅层205。The material of the dummy gate layer 205 is polysilicon. The step of forming the dummy gate layer 205 includes: forming a dummy gate film on the surface of the isolation layer 204, the surface of the fin portion 201 and the surface of the protective layer 212a of the peripheral region 210; planarizing the dummy gate film; After the planarization process, a third patterned layer is formed on the surface of the dummy gate film, and the third patterned layer covers the position and shape where the dummy gate layer 205 needs to be formed; the third patterned layer is mask, etch the dummy gate film until the surface of the isolation layer 204 , the fin portion 201 and the protective layer 212 a are exposed to form a dummy gate layer 205 .
在本实施例中,还包括在形成所述伪栅极膜之前,在所述隔离层204表面、鳍部201表面和保护层212a表面形成伪栅介质层213;在所述伪栅介质层213表面形成所述伪栅极膜。In this embodiment, it also includes forming a dummy gate dielectric layer 213 on the surface of the isolation layer 204, the surface of the fin portion 201 and the surface of the protective layer 212a before forming the dummy gate film; The dummy gate film is formed on the surface.
在一实施例中,在刻蚀所述伪栅极膜之后,刻蚀所述伪栅介质层213,直至暴露出隔离层204、鳍部201和保护层212a表面为止。在另一实施例中,在刻蚀所述伪栅极膜之后,不刻蚀所述伪栅介质层213。In one embodiment, after the dummy gate film is etched, the dummy gate dielectric layer 213 is etched until the surfaces of the isolation layer 204 , the fin portion 201 and the protection layer 212 a are exposed. In another embodiment, after etching the dummy gate film, the dummy gate dielectric layer 213 is not etched.
所述伪栅介质层213的材料为氧化硅;所述伪栅介质层213的形成工艺为原子层沉积工艺;所述伪栅介质层213的厚度为5埃~15埃。在本实施例中,所述伪栅介质层213的厚度为10埃。所述伪栅介质层213用于在后续去除伪栅层时,保护核心区220的鳍部201表面。The material of the dummy gate dielectric layer 213 is silicon oxide; the formation process of the dummy gate dielectric layer 213 is an atomic layer deposition process; the thickness of the dummy gate dielectric layer 213 is 5 angstroms to 15 angstroms. In this embodiment, the thickness of the dummy gate dielectric layer 213 is 10 angstroms. The dummy gate dielectric layer 213 is used to protect the surface of the fin portion 201 of the core region 220 when the dummy gate layer is subsequently removed.
在本实施例中,还包括在所述伪栅层205的侧壁表面形成侧墙;在所述伪栅层205和侧墙两侧的鳍部201内形成源区和漏区。In this embodiment, it also includes forming sidewalls on the sidewall surface of the dummy gate layer 205 ; forming source regions and drain regions in the fins 201 on both sides of the dummy gate layer 205 and sidewalls.
所述侧墙的材料包括氧化硅、氮化硅和氮氧化硅中的一种或多种组合。所述侧墙的形成步骤包括:采用沉积工艺在所述保护层和伪栅层205表面形成侧墙膜;回刻蚀所述侧墙膜直至暴露出鳍部201表面的保护层位置,形成侧墙。The material of the sidewall includes one or more combinations of silicon oxide, silicon nitride and silicon oxynitride. The step of forming the sidewall includes: forming a sidewall film on the surface of the protective layer and the dummy gate layer 205 by using a deposition process; wall.
在一实施例中,所述源区和漏区以离子注入工艺形成。在另一实施例中,所述源区和漏区的形成步骤还包括:在所述伪栅层205和侧墙两侧的鳍部201内形成凹槽;采用选择性外延沉积工艺在所述凹槽内形成应力层;在所述应力层内掺杂离子,形成源区和漏区。所述掺杂工艺为离子注入工艺、原位掺杂工艺中的一种或两种组合。In one embodiment, the source region and the drain region are formed by ion implantation process. In another embodiment, the step of forming the source region and the drain region further includes: forming grooves in the fins 201 on both sides of the dummy gate layer 205 and sidewalls; A stress layer is formed in the groove; ions are doped in the stress layer to form a source region and a drain region. The doping process is one or a combination of ion implantation process and in-situ doping process.
当所形成的鳍式晶体管为PMOS晶体管时,所述应力层的材料为硅锗,所述应力层内掺杂的离子为P型离子,且所述应力层为Σ型应力层。当所形成的鳍式晶体管为NMOS晶体管时,所述应力层的材料为碳化硅,所述应力层内掺杂的离子为N型离子。When the formed fin transistor is a PMOS transistor, the material of the stress layer is silicon germanium, the ions doped in the stress layer are P-type ions, and the stress layer is a Σ-type stress layer. When the formed fin transistor is an NMOS transistor, the material of the stress layer is silicon carbide, and the ions doped in the stress layer are N-type ions.
请参考图11,在所述隔离层204和鳍部201表面形成介质层206,所述介质层206覆盖所述伪栅层205的侧壁,且所述介质层206暴露出所述伪栅层205顶部。Please refer to FIG. 11 , a dielectric layer 206 is formed on the surface of the isolation layer 204 and the fin portion 201, the dielectric layer 206 covers the sidewall of the dummy gate layer 205, and the dielectric layer 206 exposes the dummy gate layer. 205 top.
所述介质层206的形成步骤包括:在所述隔离层204、鳍部201、保护层212a和伪栅层205的表面形成介质膜;平坦化所述介质膜直至暴露出所述伪栅层205的顶部表面为止,形成所述介质层206。The step of forming the dielectric layer 206 includes: forming a dielectric film on the surface of the isolation layer 204, the fin portion 201, the protective layer 212a and the dummy gate layer 205; planarizing the dielectric film until the dummy gate layer 205 is exposed The dielectric layer 206 is formed until the top surface of the
所述介质膜的形成工艺为化学气相沉积工艺、物理气相沉积工艺或原子层沉积工艺。所述介质层206的材料为氧化硅、氮化硅、氮氧化硅、低k介质材料(介电系数为大于或等于2.5、小于3.9,例如多孔氧化硅、或多孔氮化硅)或超低k介质材料(介电系数小于2.5,例如多孔SiCOH)。The formation process of the dielectric film is a chemical vapor deposition process, a physical vapor deposition process or an atomic layer deposition process. The material of the dielectric layer 206 is silicon oxide, silicon nitride, silicon oxynitride, low-k dielectric material (dielectric coefficient is greater than or equal to 2.5, less than 3.9, such as porous silicon oxide, or porous silicon nitride) or ultra-low k-dielectric material (dielectric coefficient less than 2.5, such as porous SiCOH).
在本实施例中,所述介质层206的材料为氧化硅;所述介质膜的形成工艺为流体化学气相沉积(Flowable Chemical Vapor Deposition,简称FCVD)工艺、高密度等离子沉积(High Density Plasma,简称HDP)工艺、等离子体增强沉积工艺中的一种或多种。In this embodiment, the material of the dielectric layer 206 is silicon oxide; the formation process of the dielectric film is a fluid chemical vapor deposition (Flowable Chemical Vapor Deposition, FCVD for short) One or more of HDP) process, plasma enhanced deposition process.
请参考图12,去除所述伪栅层205(如图11所示),在所述外围区210的介质层206内形成第一沟槽214,在所述核心区220的介质层206内形成第二沟槽221。Please refer to FIG. 12 , remove the dummy gate layer 205 (as shown in FIG. 11 ), form a first trench 214 in the dielectric layer 206 of the peripheral region 210, and form a first trench 214 in the dielectric layer 206 of the core region 220. the second groove 221 .
去除所述伪栅层205的工艺为干法刻蚀工艺和湿法刻蚀工艺中的一种或两种组合;其中,所述干法刻蚀工艺为各向同性的干法刻蚀工艺。The process for removing the dummy gate layer 205 is one or a combination of a dry etching process and a wet etching process; wherein, the dry etching process is an isotropic dry etching process.
在本实施例中,所述伪栅层205的材料为多晶硅,去除所述伪栅层205的工艺为等离子体干法刻蚀工艺;所述等离子体干法刻蚀工艺的参数包括:气体包括碳氟气体、HBr和Cl2中的一种或两种、以及载气,所述碳氟气体包括CF4、CHF3、CH2F2或CH3F,所述载气为惰性气体,例如He,气体流量为50sccm~400sccm,压力为3毫托~8毫托。In this embodiment, the material of the dummy gate layer 205 is polysilicon, and the process of removing the dummy gate layer 205 is a plasma dry etching process; the parameters of the plasma dry etching process include: the gas includes: One or both of fluorocarbon gas, HBr and Cl 2 , and a carrier gas, the fluorocarbon gas includes CF 4 , CHF 3 , CH 2 F 2 or CH 3 F, and the carrier gas is an inert gas, such as He, the gas flow rate is 50 sccm-400 sccm, and the pressure is 3 millitorr-8 millitorr.
在所述等离子体干法刻蚀工艺中,由于所述保护层212a的密度和硬度较高,从而能够避免第一栅氧层211a受到等离子体损伤。所述保护层212a和第一栅氧层211a保留于外围区210形成的鳍式晶体管内,由于所述保护层212a和第一栅氧层211a所受的损伤较少,有利于保证外围区210所形成的鳍式晶体管的性能更稳定。In the plasma dry etching process, since the protective layer 212a has high density and hardness, it is possible to prevent the first gate oxide layer 211a from being damaged by plasma. The protection layer 212a and the first gate oxide layer 211a remain in the fin transistors formed in the peripheral region 210, and since the protection layer 212a and the first gate oxide layer 211a are less damaged, it is beneficial to ensure that the peripheral region 210 The performance of the formed fin transistor is more stable.
在另一实施例中,去除所述伪栅层的工艺为湿法刻蚀工艺,所述湿法刻蚀工艺的刻蚀液为氢氟酸溶液。In another embodiment, the process of removing the dummy gate layer is a wet etching process, and the etching solution of the wet etching process is a hydrofluoric acid solution.
请参考图13,去除第一沟槽214和第二沟槽221底部的伪栅介质层213(如图12所示)。Referring to FIG. 13 , the dummy gate dielectric layer 213 at the bottom of the first trench 214 and the second trench 221 (as shown in FIG. 12 ) is removed.
在本实施例中,所述伪栅介质层213的材料为氧化硅,去除所述伪栅介质层213的工艺为湿法刻蚀工艺或各向同性的干法刻蚀工艺。当采用湿法刻蚀工艺去除所述伪栅介质层213时,所述湿法刻蚀工艺的刻蚀液为氢氟酸溶液。当采用各向同性的干法刻蚀工艺去除所述伪栅介质层213时,所述各向同性的干法刻蚀工艺能够为SICONI工艺。In this embodiment, the material of the dummy gate dielectric layer 213 is silicon oxide, and the process of removing the dummy gate dielectric layer 213 is a wet etching process or an isotropic dry etching process. When the dummy gate dielectric layer 213 is removed by a wet etching process, the etchant of the wet etching process is a hydrofluoric acid solution. When the dummy gate dielectric layer 213 is removed by an isotropic dry etching process, the isotropic dry etching process can be a SICONI process.
在本实施例中,由于所述保护层212a的材料为高K介质材料,所述保护层212a与伪栅介质层213之间的刻蚀选择比较大,在刻蚀去除所述伪栅层205时,所述保护层212a受到的损伤较少。In this embodiment, since the material of the protective layer 212a is a high-K dielectric material, the etching selection between the protective layer 212a and the dummy gate dielectric layer 213 is relatively large, and the dummy gate layer 205 is removed by etching. , the protective layer 212a suffers less damage.
请参考图14,在所述第二沟槽221底部暴露出的鳍部201侧壁和顶部表面形成第二栅氧层223。Referring to FIG. 14 , a second gate oxide layer 223 is formed on the exposed sidewalls and top surfaces of the fin 201 at the bottom of the second trench 221 .
所述第二栅氧层223用于作为核心区210形成的鳍式晶体管的栅氧层。所述第二栅氧层223的材料为氧化硅;所述第二栅氧层223的形成工艺为热氧化工艺或湿法氧化工艺。The second gate oxide layer 223 is used as the gate oxide layer of the fin transistor formed in the core region 210 . The material of the second gate oxide layer 223 is silicon oxide; the formation process of the second gate oxide layer 223 is a thermal oxidation process or a wet oxidation process.
所述第二栅氧层223的厚度为3纳米~10纳米。在本实施例中,所述第二栅氧层223的形成工艺为化学氧化工艺;所述化学氧化工艺的步骤包括:采用通入臭氧的水溶液对所述鳍部201暴露出的侧壁和顶部表面进行氧化,在所述鳍部201的侧壁和顶部表面形成第二栅氧层223。其中,在所述通入臭氧的水溶液中,臭氧在水中的浓度为1%~15%。The thickness of the second gate oxide layer 223 is 3 nanometers to 10 nanometers. In this embodiment, the formation process of the second gate oxide layer 223 is a chemical oxidation process; the steps of the chemical oxidation process include: using an aqueous solution infused with ozone to expose the sidewalls and tops of the fins 201 The surface is oxidized, and a second gate oxide layer 223 is formed on the sidewall and top surface of the fin portion 201 . Wherein, in the aqueous solution fed with ozone, the concentration of ozone in water is 1%-15%.
请参考图15,在所述保护层212a表面形成填充满所述第一沟槽214(如图14所示)的第一栅极结构;在所述第二栅氧层223表面形成填充满所述第二沟槽221(如图14所示)的第二栅极结构。Please refer to FIG. 15 , a first gate structure filling the first trench 214 (as shown in FIG. 14 ) is formed on the surface of the protection layer 212a; The second gate structure of the second trench 221 (as shown in FIG. 14 ) is described.
所述第一栅极结构包括第一栅介质层215、以及位于第一栅介质层215上的第一栅极层216,所述第一栅极层216填充满所述第一沟槽214;所述第二栅极结构包括第二栅介质层224、以及位于第二栅介质层224上的第二栅极层225,所述第二栅极层225填充满所述第二沟槽221。The first gate structure includes a first gate dielectric layer 215 and a first gate layer 216 located on the first gate dielectric layer 215, and the first gate layer 216 fills the first trench 214; The second gate structure includes a second gate dielectric layer 224 and a second gate layer 225 on the second gate dielectric layer 224 , and the second gate layer 225 fills the second trench 221 .
所述第一栅极结构和第二栅极结构的形成步骤包括:在所述介质层206表面、第一沟槽214的内壁表面和第二沟槽221的内壁表面形成栅介质膜;在形成栅介质膜之后,形成填充满所述第一沟槽214和第二沟槽221的栅极膜;平坦化所述栅极膜和栅介质膜直至暴露出所述介质层206表面为止,在第一沟槽214内形成第一栅介质层215和第一栅极层216,在第二沟槽221内形成第二栅介质层224和第二栅极层225。The forming steps of the first gate structure and the second gate structure include: forming a gate dielectric film on the surface of the dielectric layer 206, the inner wall surface of the first trench 214 and the inner wall surface of the second trench 221; After the gate dielectric film, a gate film filling the first trench 214 and the second trench 221 is formed; the gate film and the gate dielectric film are planarized until the surface of the dielectric layer 206 is exposed. A first gate dielectric layer 215 and a first gate layer 216 are formed in a trench 214 , and a second gate dielectric layer 224 and a second gate layer 225 are formed in a second trench 221 .
所述第一栅介质层215和第二栅介质层224的材料为高k介质材料(介电系数大于3.9);所述高k介质材料包括氧化铪、氧化锆、氧化铪硅、氧化镧、氧化锆硅、氧化钛、氧化钽、氧化钡锶钛、氧化钡钛、氧化锶钛或氧化铝。所述栅介质膜的形成工艺为原子层沉积工艺。The material of the first gate dielectric layer 215 and the second gate dielectric layer 224 is a high-k dielectric material (dielectric coefficient greater than 3.9); the high-k dielectric material includes hafnium oxide, zirconium oxide, hafnium silicon oxide, lanthanum oxide, Zirconia silicon oxide, titanium oxide, tantalum oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide or aluminum oxide. The formation process of the gate dielectric film is an atomic layer deposition process.
所述第一栅极层216和第二栅极层225的材料包括铜、钨、铝或银;所述栅极膜的形成工艺包括化学气相沉积工艺、物理气相沉积工艺、原子层沉积工艺、电镀工艺或化学镀工艺。平坦化所述栅极膜和栅介质膜工艺为化学机械抛光工艺(CMP)。The materials of the first gate layer 216 and the second gate layer 225 include copper, tungsten, aluminum or silver; the formation process of the gate film includes chemical vapor deposition process, physical vapor deposition process, atomic layer deposition process, Electroplating process or electroless plating process. The process of planarizing the gate film and the gate dielectric film is chemical mechanical polishing (CMP).
在一实施例中,在形成所述栅极膜之前,还包括在所述栅介质膜表面形成功函数膜;在所述功函数膜表面形成栅极膜;在平坦化所述栅极膜之后,平坦化所述功函数膜直至暴露出所述介质层206表面为止,形成功函数层。在第一沟槽214和第二沟槽221内形成的功函数层的材料能够相同或不同。In one embodiment, before forming the gate film, it also includes forming a work function film on the surface of the gate dielectric film; forming a gate film on the surface of the work function film; after planarizing the gate film , planarizing the work function film until the surface of the dielectric layer 206 is exposed to form a work function layer. Materials of the work function layers formed in the first trench 214 and the second trench 221 can be the same or different.
在本实施例中,在形成所述栅介质膜之后,形成所述栅极膜之前,还包括进行退火工艺。所述退火工艺用于消除所述鳍部201内部和表面内的缺陷或杂质、以及第一栅氧层211、第二栅氧层223、第一栅介质层215和第二栅介质层224内的缺陷或杂质。而且,所述退火工艺还能够用于激活位于鳍部201内的源区和漏区内的杂质离子。In this embodiment, after forming the gate dielectric film and before forming the gate film, performing an annealing process is also included. The annealing process is used to eliminate defects or impurities inside and on the surface of the fin portion 201 , as well as inside the first gate oxide layer 211 , the second gate oxide layer 223 , the first gate dielectric layer 215 and the second gate dielectric layer 224 defects or impurities. Moreover, the annealing process can also be used to activate impurity ions in the source region and the drain region in the fin portion 201 .
综上,本实施例中,在外围区的鳍部侧壁和顶部表面形成第一栅氧层,并且在所述第一栅氧层表面形成保护层,而所述伪栅层形成于所述保护层表面。当后续形成介质层并去除所述伪栅层时,所述保护层能够用于保护第一栅氧层免受损伤,避免所述第一栅氧层产生经时击穿效应,从而提高所形成的鳍式晶体管对于短沟道效应的抑制能力,提高驱动电流,降低晶体管的功耗,抑制偏压温度不稳定效应的影响。而且,由于所述保护层的介电系数大于第一栅氧层的介电系数,从而能够在避免增大鳍式晶体管阈值电压的情况下,减少后续形成的第一栅极结构与鳍部之间的载流子隧穿现象。因此,所形成的鳍式场效应晶体管的性能改善、可靠性提高。To sum up, in this embodiment, a first gate oxide layer is formed on the sidewall and top surface of the fin in the peripheral region, and a protective layer is formed on the surface of the first gate oxide layer, and the dummy gate layer is formed on the Protective layer surface. When the dielectric layer is subsequently formed and the dummy gate layer is removed, the protection layer can be used to protect the first gate oxide layer from damage, avoiding the breakdown effect of the first gate oxide layer over time, thereby improving the formed The ability of the fin transistor to suppress the short channel effect increases the driving current, reduces the power consumption of the transistor, and suppresses the influence of the bias temperature instability effect. Moreover, since the dielectric coefficient of the protective layer is greater than that of the first gate oxide layer, the gap between the subsequently formed first gate structure and the fin can be reduced without increasing the threshold voltage of the fin transistor. carrier tunneling phenomenon. Therefore, the performance and reliability of the formed FinFET are improved.
虽然本发明披露如上,但本发明并非限定于此。任何本领域技术人员,在不脱离本发明的精神和范围内,均可作各种更动与修改,因此本发明的保护范围应当以权利要求所限定的范围为准。Although the present invention is disclosed above, the present invention is not limited thereto. Any person skilled in the art can make various changes and modifications without departing from the spirit and scope of the present invention, so the protection scope of the present invention should be based on the scope defined in the claims.
Claims (20)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201610006643.6A CN106952815A (en) | 2016-01-06 | 2016-01-06 | Method for forming fin transistors |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201610006643.6A CN106952815A (en) | 2016-01-06 | 2016-01-06 | Method for forming fin transistors |
Publications (1)
Publication Number | Publication Date |
---|---|
CN106952815A true CN106952815A (en) | 2017-07-14 |
Family
ID=59466198
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201610006643.6A Pending CN106952815A (en) | 2016-01-06 | 2016-01-06 | Method for forming fin transistors |
Country Status (1)
Country | Link |
---|---|
CN (1) | CN106952815A (en) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN109273530A (en) * | 2017-07-17 | 2019-01-25 | 台湾积体电路制造股份有限公司 | Semiconductor element structure and manufacturing method thereof |
CN109686779A (en) * | 2017-10-19 | 2019-04-26 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
CN109841526A (en) * | 2017-11-29 | 2019-06-04 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
CN114497216A (en) * | 2020-11-11 | 2022-05-13 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN104347410A (en) * | 2013-07-24 | 2015-02-11 | 中芯国际集成电路制造(上海)有限公司 | Fin type field effect transistor and formation method thereof |
CN104752218A (en) * | 2013-12-30 | 2015-07-01 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor device forming method |
CN104795332A (en) * | 2014-01-21 | 2015-07-22 | 中芯国际集成电路制造(上海)有限公司 | Fin-type field effect transistor forming method |
CN104979198A (en) * | 2014-04-02 | 2015-10-14 | 中芯国际集成电路制造(上海)有限公司 | Method for forming fin type field effect transistor |
CN105097533A (en) * | 2014-05-12 | 2015-11-25 | 中芯国际集成电路制造(上海)有限公司 | Forming method of semiconductor structure |
US20150372109A1 (en) * | 2014-06-18 | 2015-12-24 | International Business Machines Corporation | Replacement gate structure for enhancing conductivity |
-
2016
- 2016-01-06 CN CN201610006643.6A patent/CN106952815A/en active Pending
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN104347410A (en) * | 2013-07-24 | 2015-02-11 | 中芯国际集成电路制造(上海)有限公司 | Fin type field effect transistor and formation method thereof |
CN104752218A (en) * | 2013-12-30 | 2015-07-01 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor device forming method |
CN104795332A (en) * | 2014-01-21 | 2015-07-22 | 中芯国际集成电路制造(上海)有限公司 | Fin-type field effect transistor forming method |
CN104979198A (en) * | 2014-04-02 | 2015-10-14 | 中芯国际集成电路制造(上海)有限公司 | Method for forming fin type field effect transistor |
CN105097533A (en) * | 2014-05-12 | 2015-11-25 | 中芯国际集成电路制造(上海)有限公司 | Forming method of semiconductor structure |
US20150372109A1 (en) * | 2014-06-18 | 2015-12-24 | International Business Machines Corporation | Replacement gate structure for enhancing conductivity |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN109273530A (en) * | 2017-07-17 | 2019-01-25 | 台湾积体电路制造股份有限公司 | Semiconductor element structure and manufacturing method thereof |
CN109273530B (en) * | 2017-07-17 | 2022-06-14 | 台湾积体电路制造股份有限公司 | Semiconductor element structure and manufacturing method thereof |
CN109686779A (en) * | 2017-10-19 | 2019-04-26 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
CN109686779B (en) * | 2017-10-19 | 2022-05-13 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and method of forming the same |
CN109841526A (en) * | 2017-11-29 | 2019-06-04 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
CN109841526B (en) * | 2017-11-29 | 2021-12-14 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
CN114497216A (en) * | 2020-11-11 | 2022-05-13 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN104795331B (en) | The forming method of transistor | |
CN106684144B (en) | The manufacturing method of semiconductor structure | |
CN107039272B (en) | Method for forming fin type transistor | |
CN106952874B (en) | The forming method of multi-Vt fin transistor | |
CN106847685A (en) | The forming method of high-K metal gate transistor | |
CN106653691A (en) | Production method of semiconductor structure | |
CN106952816B (en) | Method of forming a fin transistor | |
CN106847893A (en) | The forming method of fin formula field effect transistor | |
US20240387187A1 (en) | Methods for reducing scratch defects in chemical mechanical planarization | |
CN104517901B (en) | The forming method of CMOS transistor | |
CN104752215B (en) | The forming method of transistor | |
CN104217935B (en) | The forming method of transistor | |
CN106952815A (en) | Method for forming fin transistors | |
CN104253047B (en) | The forming method of transistor | |
CN106328694B (en) | Method of forming a semiconductor structure | |
CN104681424A (en) | Forming method of transistor structure | |
CN106952911B (en) | The forming method of fin semiconductor devices | |
CN107045981A (en) | The forming method of semiconductor structure | |
CN111489972B (en) | Semiconductor structure and forming method thereof | |
CN107170685B (en) | Method for forming fin type transistor | |
CN108807377A (en) | Semiconductor devices and forming method thereof | |
CN107180760A (en) | Semiconductor structure and forming method thereof | |
CN106847696A (en) | The forming method of fin formula field effect transistor | |
CN107346740B (en) | Fin type field effect transistor and forming method thereof | |
CN106409765B (en) | Semiconductor structure and forming method thereof |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
RJ01 | Rejection of invention patent application after publication | ||
RJ01 | Rejection of invention patent application after publication |
Application publication date: 20170714 |