CN105590846A - Method for forming semiconductor structure - Google Patents
Method for forming semiconductor structure Download PDFInfo
- Publication number
- CN105590846A CN105590846A CN201610055781.3A CN201610055781A CN105590846A CN 105590846 A CN105590846 A CN 105590846A CN 201610055781 A CN201610055781 A CN 201610055781A CN 105590846 A CN105590846 A CN 105590846A
- Authority
- CN
- China
- Prior art keywords
- region
- gas
- selective
- etch
- semiconductor
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 84
- 238000000034 method Methods 0.000 title claims abstract description 67
- 239000000758 substrate Substances 0.000 claims abstract description 45
- 238000005530 etching Methods 0.000 claims abstract description 32
- 230000008569 process Effects 0.000 claims abstract description 27
- 239000000463 material Substances 0.000 claims abstract description 18
- 239000007789 gas Substances 0.000 claims description 73
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 30
- 229910052710 silicon Inorganic materials 0.000 claims description 30
- 239000010703 silicon Substances 0.000 claims description 30
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 claims description 26
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 claims description 26
- 229910000041 hydrogen chloride Inorganic materials 0.000 claims description 26
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 claims description 24
- 230000015572 biosynthetic process Effects 0.000 claims description 23
- 229910000078 germane Inorganic materials 0.000 claims description 14
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 9
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims description 8
- 229910052732 germanium Inorganic materials 0.000 claims description 8
- 229910052986 germanium hydride Inorganic materials 0.000 claims description 7
- 229910052799 carbon Inorganic materials 0.000 claims description 6
- 239000000460 chlorine Substances 0.000 claims description 5
- QUZPNFFHZPRKJD-UHFFFAOYSA-N germane Chemical compound [GeH4] QUZPNFFHZPRKJD-UHFFFAOYSA-N 0.000 claims description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 4
- 238000006243 chemical reaction Methods 0.000 claims description 4
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 claims description 3
- 239000000203 mixture Substances 0.000 claims description 3
- 230000008859 change Effects 0.000 claims description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims 3
- 229910052801 chlorine Inorganic materials 0.000 claims 3
- 150000001721 carbon Chemical class 0.000 claims 2
- 229910004012 SiCx Inorganic materials 0.000 claims 1
- 229910003828 SiH3 Inorganic materials 0.000 claims 1
- 230000000994 depressogenic effect Effects 0.000 claims 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 claims 1
- XOFYZVNMUHMLCC-ZPOLXVRWSA-N prednisone Chemical compound O=C1C=C[C@]2(C)[C@H]3C(=O)C[C@](C)([C@@](CC4)(O)C(=O)CO)[C@@H]4[C@@H]3CCC2=C1 XOFYZVNMUHMLCC-ZPOLXVRWSA-N 0.000 claims 1
- 230000000694 effects Effects 0.000 abstract description 28
- 230000002829 reductive effect Effects 0.000 abstract description 5
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 69
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 17
- 239000010410 layer Substances 0.000 description 15
- 125000006850 spacer group Chemical group 0.000 description 15
- 229910052751 metal Inorganic materials 0.000 description 9
- 239000002184 metal Substances 0.000 description 9
- 230000036961 partial effect Effects 0.000 description 9
- 229910021332 silicide Inorganic materials 0.000 description 8
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical group [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 7
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 6
- 238000011065 in-situ storage Methods 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 230000003068 static effect Effects 0.000 description 4
- 238000000137 annealing Methods 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 239000011229 interlayer Substances 0.000 description 3
- 238000002955 isolation Methods 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 238000002474 experimental method Methods 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 2
- 206010010144 Completed suicide Diseases 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000001627 detrimental effect Effects 0.000 description 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 229920001296 polysiloxane Polymers 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D62/00—Semiconductor bodies, or regions thereof, of devices having potential barriers
- H10D62/01—Manufacture or treatment
- H10D62/021—Forming source or drain recesses by etching e.g. recessing by etching and then refilling
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B10/00—Static random access memory [SRAM] devices
- H10B10/18—Peripheral circuit regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/791—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions
- H10D30/797—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions being in source or drain regions, e.g. SiGe source or drain
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0128—Manufacturing their channels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/013—Manufacturing their source or drain regions, e.g. silicided source or drain regions
- H10D84/0133—Manufacturing common source or drain regions between multiple IGFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/02—Manufacture or treatment characterised by using material-based technologies
- H10D84/03—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology
- H10D84/038—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology using silicon technology, e.g. SiGe
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/02636—Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
- H01L21/02639—Preparation of substrate for selective deposition
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
Description
本申请是申请日为2010年11月12日、申请号为201010547530.X、发明名称为“半导体结构的形成方法”的发明专利申请的分案申请。This application is a divisional application of an invention patent application with a filing date of November 12, 2010, an application number of 201010547530.X, and an invention title of "Method for Forming a Semiconductor Structure".
技术领域technical field
本发明涉及一种半导体结构的形成方法,特别涉及一种在形成金属-氧化物-半导体(MOS)元件的外延区时的选择性蚀刻法。The invention relates to a method for forming a semiconductor structure, in particular to a selective etching method when forming an epitaxial region of a metal-oxide-semiconductor (MOS) element.
背景技术Background technique
为了增强金属-氧化物-半导体元件(以下简称MOS元件)的性能,可于MOS元件的沟道区中导入应力物,以改善载流子迁移率(carriermobility)。大体而言,会想要于n型MOS元件(以下简称NMOS元件)的沟道区中,且在源极至漏极方向中导入一拉伸应力物,且于p型MOS(以下简称PMOS)元件的沟道区中,且在源极至漏极方向中导入一压缩应力物。In order to enhance the performance of metal-oxide-semiconductor devices (hereinafter referred to as MOS devices), stressors can be introduced into the channel region of the MOS devices to improve carrier mobility. Generally speaking, it is desirable to introduce a tensile stressor in the channel region of an n-type MOS device (hereinafter referred to as NMOS device) and in the direction from source to drain, and to introduce a tensile stressor in a p-type MOS device (hereinafter referred to as PMOS) In the channel region of the device, a compressive stressor is introduced in the source-to-drain direction.
对PMOS元件的沟道区中应用压缩应力物的一常用方法为于源极和漏极区中成长硅锗应力物。这种方法通常包括下列步骤:于一硅基板上形成一栅极堆叠结构,于上述栅极堆叠结构的侧壁上形成栅极间隙壁,于上述硅基板中形成凹陷且邻接于栅极间隙壁,且于上述凹陷中外延成长硅锗应力物。然后进行一退火步骤。由于硅锗的晶格常数大于硅的晶格常数,在退火步骤之后硅锗会伸展,且对各别MOS元件的沟道区施加一压缩应力,上述MOS元件的沟道区位于一源极硅锗应力物和漏极硅锗应力物之间。A common method for applying compressive stressors in the channel region of a PMOS device is to grow SiGe stressors in the source and drain regions. This method generally includes the following steps: forming a gate stack structure on a silicon substrate, forming a gate spacer on the sidewall of the gate stack structure, forming a recess in the silicon substrate adjacent to the gate spacer , and epitaxially grow silicon germanium stressors in the above-mentioned recesses. An annealing step is then performed. Since the lattice constant of silicon germanium is larger than that of silicon, silicon germanium stretches after the annealing step and applies a compressive stress to the channel region of the respective MOS device located in a source silicon between the germanium stressor and the drain silicon germanium stressor.
一芯片可具有拥有不同图案密度的不同区域。由于图案负载效应(patternloadingeffect),在不同区域成长的硅锗应力物会有不同成长速率。举例来说,图1显示在逻辑元件区300和静态存取存储元件(SRAM)区400中用于PMOS元件的硅锗区的形成方式。因为在静态存取存储元件(SRAM)区400中的PMOS元件的图案密度通常会高于逻辑元件区300中的PMOS元件的图案密度,且硅锗区410的尺寸通常会小于硅锗区310的尺寸,所以硅锗区410的成长速率会快于硅锗区310的成长速率。结果,位于基板320的顶面上方的硅锗区410部分的高度H2可明显高于位于基板320的顶面上方的硅锗区310部分的高度H1。举例来说,即使硅锗区310和410为同时形成,高度H2可约为20nm,且高度H1可仅约为5nm。因为硅锗区410具有大的高度H2和小的尺寸,所以硅锗区410可具有金字塔形(pyramid)的顶部,且上述顶部的斜率会位于(111)晶格平面上。这种具有金字塔形顶部的硅锗区在例如形成源极和漏极硅化物区之后续工艺步骤会产生重大的问题。A chip can have different regions with different pattern densities. Due to the pattern loading effect, SiGe stressors grown in different regions have different growth rates. As an example, FIG. 1 shows the formation of silicon germanium regions for PMOS devices in logic device region 300 and static access memory device (SRAM) region 400 . Because the pattern density of the PMOS elements in the static access memory element (SRAM) region 400 is usually higher than the pattern density of the PMOS elements in the logic element region 300, and the size of the SiGe region 410 is usually smaller than that of the SiGe region 310. size, so the growth rate of the SiGe region 410 is faster than that of the SiGe region 310 . As a result, the height H2 of the portion of the SiGe region 410 above the top surface of the substrate 320 may be significantly higher than the height H1 of the portion of the SiGe region 310 above the top surface of the substrate 320 . For example, even if SiGe regions 310 and 410 are formed at the same time, height H2 may be about 20 nm, and height H1 may only be about 5 nm. Since the SiGe region 410 has a large height H2 and a small size, the SiGe region 410 may have a pyramid-shaped top, and the slope of the top may lie on a (111) lattice plane. Such SiGe regions with pyramidal tops create significant problems in subsequent process steps such as the formation of source and drain silicide regions.
因此,在此技术领域中,有需要一种半导体结构的形成方法,以克服公知技术的缺点。Therefore, in this technical field, there is a need for a method for forming a semiconductor structure to overcome the disadvantages of the known techniques.
发明内容Contents of the invention
有鉴于此,本发明一实施例提供一种半导体结构的形成方法,包括于一半导体基板的上方形成一栅极堆叠结构;于上述半导体基板中形成一凹陷,且邻接于上述栅极堆叠结构;进行一选择性成长步骤,以于上述凹陷中成长一半导体材料,以形成一外延区;进行上述选择性成长步骤之后,对上述外延区进行一选择性回蚀刻步骤,其中使用包括用以成长上述半导体材料的一第一气体和用以蚀刻上述外延区的一第二气体的工艺气体进行上述选择性回蚀刻步骤。In view of this, an embodiment of the present invention provides a method for forming a semiconductor structure, including forming a gate stack structure above a semiconductor substrate; forming a recess in the semiconductor substrate adjacent to the gate stack structure; performing a selective growth step to grow a semiconductor material in the above-mentioned recess to form an epitaxial region; after performing the above-mentioned selective growth step, performing a selective etch-back step on the above-mentioned epitaxial region, wherein the A process gas of a first gas for semiconductor material and a second gas for etching the epitaxial region performs the selective etch-back step.
本发明其他实施例揭示如下。Other embodiments of the present invention are disclosed as follows.
本发明还一种半导体结构的形成方法,包括下列步骤:提供一半导体基板,其包括位于一第一元件区的一第一部分和位于一第二元件区的一第二部分;于该第一元件区中及该半导体基板的上方形成一第一栅极堆叠结构;于该第二元件区中及该半导体基板的上方形成一第二栅极堆叠结构;于该半导体基板中形成邻接于该第一栅极堆叠结构的一第一凹陷,且于该半导体基板中形成邻接于该第二栅极堆叠结构的一第二凹陷;进行一选择性成长步骤,以同时于该第一凹陷中成长一第一外延区,并于该第二凹陷中成长一第二外延区,且该第二外延区的成长速率大于该第一外延区的成长速率;以及进行一选择性回蚀刻步骤,以回蚀刻该第二外延区,其中以原位方式进行该选择性成长步骤和进行该选择性回蚀刻步骤。The present invention also provides a method for forming a semiconductor structure, which includes the following steps: providing a semiconductor substrate, which includes a first part located in a first element region and a second part located in a second element region; A first gate stack structure is formed in the region and above the semiconductor substrate; a second gate stack structure is formed in the second element region and above the semiconductor substrate; a second gate stack structure is formed in the semiconductor substrate adjacent to the first a first recess of the gate stack structure, and forming a second recess adjacent to the second gate stack structure in the semiconductor substrate; performing a selective growth step to simultaneously grow a first recess in the first recess an epitaxial region, and a second epitaxial region is grown in the second recess, and the growth rate of the second epitaxial region is greater than the growth rate of the first epitaxial region; and a selective etch back step is performed to etch back the A second epitaxial region, wherein the selective growing step and the selective etch-back step are performed in situ.
本发明又一种半导体结构的形成方法,包括下列步骤:提供一基板,其包括位于该基板的一表面的一半导体区;以及对该半导体区进行一选择性回蚀刻步骤,其进行该选择性回蚀刻步骤中使用包括用以于该半导体区上成长一半导体材料的一第一气体和用以蚀刻该半导体材料的一第二气体的工艺气体进行该选择性回蚀刻步骤,其中该第一气体和该第二气体择自由甲锗烷、氯化氢气体、二氯硅烷和上述组合所组成的族群。Another method for forming a semiconductor structure of the present invention includes the following steps: providing a substrate, which includes a semiconductor region located on a surface of the substrate; and performing a selective etching back step on the semiconductor region, which performs the selective The etch back step uses a process gas including a first gas for growing a semiconductor material on the semiconductor region and a second gas for etching the semiconductor material to perform the selective etch back step, wherein the first gas And the second gas is selected from the group consisting of germane, hydrogen chloride gas, dichlorosilane and combinations thereof.
此外,本发明还提供一种半导体结构的形成方法,包括下列步骤:提供一半导体基板,其包括一第一元件区和一第二元件区;于该第一元件区和该第二元件区的该半导体基板的上方形成一第一栅极堆叠结构和一第二栅极堆叠结构;分别于该第一元件区和该第二元件区的该半导体基板中形成一第一凹陷和一第二凹陷,且该第一凹陷和该第二凹陷邻接分別于该第一栅极堆叠结构和该第二栅极堆叠结构;进行一选择性成长步骤,以于该第一凹陷和该第二凹陷中成长一半导体材料,以同时且分别形成一第一外延区和一第二外延区,且该第二外延区的成长速率大于该第一外延区的成长速率;以及进行该选择性成长步骤之后,对该第一外延区和该第二外延区进行一选择性回蚀刻步骤,其中使用包括用以成长该半导体材料的一第一气体和用以蚀刻该第一外延区和该第二外延区的一第二气体的工艺气体进行该选择性回蚀刻步骤。In addition, the present invention also provides a method for forming a semiconductor structure, comprising the following steps: providing a semiconductor substrate including a first element region and a second element region; A first gate stack structure and a second gate stack structure are formed above the semiconductor substrate; a first recess and a second recess are respectively formed in the semiconductor substrate of the first element region and the second element region , and the first recess and the second recess are adjacent to the first gate stack structure and the second gate stack structure respectively; a selective growth step is performed to grow in the first recess and the second recess a semiconductor material to simultaneously and separately form a first epitaxial region and a second epitaxial region, and the growth rate of the second epitaxial region is greater than the growth rate of the first epitaxial region; and after performing the selective growth step, the The first epitaxial region and the second epitaxial region are subjected to a selective etch back step using a first gas for growing the semiconductor material and a gas for etching the first epitaxial region and the second epitaxial region The process gas of the second gas performs the selective etch back step.
本发明还提供一种半导体结构的形成方法,包括下列步骤:提供一基板,其包括位于该基板的一表面的一第一半导体区和一第二半导体区;以及对该第一半导体区和该第二半导体区进行一选择性回蚀刻步骤,该第二半导体区的蚀刻速率大于该第一半导体区的蚀刻速率,且进行该选择性回蚀刻步骤中使用包括用以于该第一半导体区和该第二半导体区上成长一半导体材料的一第一气体和用以蚀刻该半导体材料的一第二气体的工艺气体进行该选择性回蚀刻步骤,其中该第一气体和该第二气体择自由甲锗烷GeH4、氯化氢气体HCl、二氯硅烷DCS和上述组合所组成的族群。The present invention also provides a method for forming a semiconductor structure, including the following steps: providing a substrate, which includes a first semiconductor region and a second semiconductor region located on a surface of the substrate; The second semiconductor region is subjected to a selective etch back step, the etching rate of the second semiconductor region is greater than the etching rate of the first semiconductor region, and the selective etch back step is performed using a method comprising the first semiconductor region and the first semiconductor region. A process gas of a first gas for growing a semiconductor material on the second semiconductor region and a second gas for etching the semiconductor material perform the selective etch-back step, wherein the first gas and the second gas are selected from A group consisting of germane GeH4, hydrogen chloride gas HCl, dichlorosilane DCS and combinations of the above.
本发明实施例可借由选择性回蚀刻步骤减少图案负载效应,以达到形成更均一的外延区(例如硅锗应力物),且改善外延区的轮廓。可减少甚至消除外延区的琢面。另外,可以原位方式进行选择性成长步骤和进行选择性回蚀刻步骤,以最小化额外成本。The embodiment of the present invention can reduce the pattern loading effect by the selective etch back step, so as to form a more uniform epitaxial region (such as SiGe stressor) and improve the profile of the epitaxial region. Facets in the epitaxial region can be reduced or even eliminated. Additionally, the selective growth step and the selective etch-back step can be performed in-situ to minimize additional costs.
附图说明Description of drawings
图1为包括PMOS元件的公知集成电路结构的工艺剖面图,其中在不同元件区中的硅锗应力物因为图案负载效应而具有不同高度。1 is a process cross-sectional view of a conventional integrated circuit structure including PMOS devices, wherein SiGe stressors in different device regions have different heights due to pattern loading effects.
图2至图9为依据本发明实施例的半导体结构的形成方法的工艺剖面图,进行一选择性回蚀刻步骤以降低图案负载效应。2 to 9 are process cross-sectional views of a method for forming a semiconductor structure according to an embodiment of the present invention, in which a selective etch-back step is performed to reduce the pattern loading effect.
图10为硅锗的成长速率,其为蚀刻气体的气体分压与成长气体的气体分压重量的比值的函数。FIG. 10 shows the growth rate of silicon germanium as a function of the ratio of the gas partial pressure of the etching gas to the partial pressure of the growth gas.
其中,附图标记说明如下:Wherein, the reference signs are explained as follows:
1~晶片;1 ~ chip;
2~基板;2 ~ substrate;
2a~顶面;2a~top surface;
4~浅沟槽隔绝区;4~Shallow trench isolation area;
36~蚀刻停止层;36~etching stop layer;
100、200~元件区;100, 200~component area;
101、201~有源区;101, 201~active area;
102、202~栅极堆叠结构;102, 202~gate stack structure;
104、204~栅极介电质;104, 204~gate dielectric;
106、206~栅极;106, 206 ~ gate;
110、210~轻掺杂源/漏极区;110, 210~lightly doped source/drain regions;
116、216~栅极间隙壁;116, 216~gate spacer;
118、218~凹陷;118, 218 ~ depression;
120、220~外延区;120, 220 ~ epitaxial area;
130、230~含硅覆盖物;130, 230~Silicone-containing coverings;
134、234~硅化物区;134, 234~silicide area;
140、240~接触孔插塞;140, 240 ~ contact hole plug;
300~逻辑元件区;300~logic element area;
400~静态存取存储元件区;400~Static access storage element area;
310、410~硅锗区;310, 410 ~ silicon germanium area;
320~基板;320~substrate;
502~虚设栅极堆叠结构;502~dummy gate stack structure;
504~虚设栅极介电质;504~dummy gate dielectric;
506~虚设栅极;506~dummy grid;
516~虚设栅极间隙壁;516~dummy grid spacer;
W1、W2~宽度;W1, W2~width;
D~深度;D ~ depth;
H1、H1’、H2、H2’~高度;H1, H1', H2, H2'~height;
A、B、C、D~范围。A, B, C, D ~ range.
具体实施方式detailed description
以下以各实施例详细说明并伴随着附图说明的范例,做为本发明的参考依据。在附图或说明书描述中,相似或相同的部分皆使用相同的图号。且在附图中,实施例的形状或是厚度可扩大,并以简化或是方便标示。再者,附图中各元件的部分将以分别描述说明之,值得注意的是,图中未绘示或描述的元件,为本领域技术人员所知的形式。Hereinafter, each embodiment is described in detail and examples accompanied by accompanying drawings are used as a reference basis of the present invention. In the drawings or descriptions in the specification, the same reference numerals are used for similar or identical parts. And in the drawings, the shapes or thicknesses of the embodiments may be enlarged, and marked for simplicity or convenience. Furthermore, parts of the components in the drawings will be described separately. It should be noted that the components not shown or described in the drawings are forms known to those skilled in the art.
图2显示基板2,其为晶片1的一部分,基板2可包括位于元件区100中的一第一部分和位于元件区200中的一第二部分。在本发明一实施例中,元件区100可为一逻辑元件区,其可为例如一核心电路区、一输入/输出(I/O)电路区及/或类似的元件区。且元件区200可为一存储器电路区,其可包括例如静态存取存储器(以下简称SRAM)单元之存储器单元。因此,元件区200可为一SRAM区。在本发明其他实施例中,元件区100可为一区域,其元件(例如电晶体)密度低于元件区200的元件密度。元件区100中的有源区101的尺寸可大于元件区200中的有源区201的尺寸。举例来说,有源区101的长度(其为垂直于宽度W1的方向的有源区的尺寸)可为元件区200的各别长度的5至30倍。如果从俯视图看去,有源区101可接近于一长条物,其宽度W1小长条物的尺寸。另一方面,有源区201可为一正方形或者为具有接近的宽度(W2)和长度的一长方形。形成浅沟槽隔绝区(STIregion)4以隔绝元件区100和200。基板2可包括例如硅的块状半导体材料,或例如绝缘层上覆硅(SOI)结构的一复合结构。FIG. 2 shows the substrate 2 , which is a part of the wafer 1 . The substrate 2 may include a first portion located in the device area 100 and a second portion located in the device area 200 . In an embodiment of the present invention, the device area 100 may be a logic device area, which may be, for example, a core circuit area, an input/output (I/O) circuit area, and/or similar device areas. And the device area 200 may be a memory circuit area, which may include memory cells such as static access memory (hereinafter referred to as SRAM) cells. Therefore, the device area 200 can be a SRAM area. In other embodiments of the present invention, the device region 100 may be a region whose device (eg, transistor) density is lower than that of the device region 200 . The size of the active region 101 in the device region 100 may be larger than the size of the active region 201 in the device region 200 . For example, the length of the active region 101 (which is the dimension of the active region perpendicular to the direction of the width W1 ) may be 5 to 30 times the respective length of the device region 200 . If viewed from a top view, the active region 101 may be close to a strip, and its width W1 is smaller than the size of the strip. On the other hand, the active region 201 can be a square or a rectangle with a similar width (W2) and length. A shallow trench isolation region (STI region) 4 is formed to isolate the device regions 100 and 200 . The substrate 2 may comprise a bulk semiconductor material such as silicon, or a composite structure such as a silicon-on-insulator (SOI) structure.
于元件区100和基板2的上方形成包括栅极介电质104和栅极106的栅极堆叠结构102。于元件区200和基板2的上方形成包括栅极介电质204和栅极206的栅极堆叠结构202。栅极介电质104和204可包括氧化硅或例如介电常数大于7的的高介电常数(high-k)材料。栅极106和206可包括例如掺杂多晶硅、金属、金属硅化物或上述组合的常用导电材料。此外,虚设栅极堆叠结构502包括虚设栅极介电质504和虚设栅极506,其中虚设栅极506可为电性浮接(floating)。A gate stack structure 102 including a gate dielectric 104 and a gate 106 is formed over the device region 100 and the substrate 2 . A gate stack structure 202 including a gate dielectric 204 and a gate 206 is formed over the device region 200 and the substrate 2 . Gate dielectrics 104 and 204 may comprise silicon oxide or a high-k material such as a dielectric constant greater than 7. Gates 106 and 206 may comprise common conductive materials such as doped polysilicon, metal, metal suicide, or combinations thereof. In addition, the dummy gate stack structure 502 includes a dummy gate dielectric 504 and a dummy gate 506 , wherein the dummy gate 506 can be electrically floating.
请参考图3,可利用例如注入p型掺质的方式形成轻掺杂源/漏极(LDD)区110和210。栅极106和206可视为掩模以使轻掺杂源/漏极(LDD)区110和210的内侧壁大体上分别与栅极106和206的边缘对齐。Referring to FIG. 3 , lightly doped source/drain (LDD) regions 110 and 210 may be formed by, for example, implanting p-type dopants. The gates 106 and 206 may be considered as masks such that the inner sidewalls of the lightly doped source/drain (LDD) regions 110 and 210 are substantially aligned with the edges of the gates 106 and 206, respectively.
请参考图4,形成栅极间隙壁116、216和虚设栅极间隙壁516。在本发明一实施例中,每一个栅极间隙壁116和216可包括一衬垫氧化层位于上述衬垫氧化层上方的一氮化物层。在本发明其他实施例中,每一个栅极间隙壁116和216可包括一层或多层,每一个栅极间隙壁116和216可包括氧化物、氮化硅、氮氧化硅/或其他介电材料,可利用等离子体增强型化学气相沉积(PECVD)法、低压化学气相沉积(LPCVD)法、次常压化学气相沉积(SACVD)法或其他类似的方法的常用方法形成每一个栅极间隙壁116、216。栅极间隙壁116和216的形成方式可包括全面性形成栅极间隙壁层,且接着进行蚀刻步骤以移除上述栅极间隙壁层的水平部分,以使上述栅极间隙壁层的剩下的垂直部分形成栅极间隙壁116和216。Referring to FIG. 4 , gate spacers 116 , 216 and dummy gate spacers 516 are formed. In an embodiment of the present invention, each of the gate spacers 116 and 216 may include a nitride layer with a pad oxide layer above the pad oxide layer. In other embodiments of the present invention, each gate spacer 116 and 216 may include one or more layers, and each gate spacer 116 and 216 may include oxide, silicon nitride, silicon oxynitride/or other dielectric materials. Electrical material, each gate gap can be formed by conventional methods of plasma-enhanced chemical vapor deposition (PECVD), low-pressure chemical vapor deposition (LPCVD), sub-atmospheric chemical vapor deposition (SACVD), or other similar methods Walls 116,216. The formation of the gate spacers 116 and 216 may include forming the gate spacer layer over the entire surface, and then performing an etching step to remove the horizontal portion of the gate spacer layer, so that the remaining portion of the gate spacer layer Vertical portions of the gate spacers 116 and 216 are formed.
请参考图5,可利用各向同性或各向异性蚀刻基板2的方式形成凹陷118和218。凹陷118和218的深度D可介于至之间,然而也可使用其他的厚度。然而,本领域技术人员当可了解,说明书中所提到的尺寸仅做为实施例,如果使用不同的工艺的话可以改变上述尺寸。在本发明一实施例中,凹陷118的剖面图可为一矛形(spareshape)。在透视图中,每一个凹陷118和218的底部的具有一上下颠倒的金字塔形(upside-downpyramidshape)。然而,也可依据用于蚀刻工艺中的方法和工艺参数,而使凹陷具有其他的形状。Referring to FIG. 5 , the recesses 118 and 218 can be formed by etching the substrate 2 isotropically or anisotropically. Depth D of depressions 118 and 218 may be between to However, other thicknesses may also be used. However, those skilled in the art can understand that the dimensions mentioned in the description are only examples, and the above dimensions can be changed if different processes are used. In an embodiment of the invention, the cross-sectional view of the recess 118 may be a spare shape. In a perspective view, the bottom of each of the recesses 118 and 218 has an upside-down pyramid shape. However, other shapes of the recesses are also possible depending on the method and process parameters used in the etching process.
图6显示外延区的形成方式。可利用选择性外延成长步骤中,于凹陷118和218中成长例如硅锗的一半导体材料,以形成外延区120和220。上述半导体材料可具有较基板2大的晶格常数。当进行外延成长步骤时,可掺杂或可不掺杂想要的掺质。在经过一退火工艺之后,硅锗会试着恢复其晶格常数,因此会对最终PMOS元件沟道区导入压缩应力。在说明书中,硅锗外延区120和220可各别视为硅锗应力物120和220。Figure 6 shows how the epitaxial region is formed. Epitaxial regions 120 and 220 may be formed by growing a semiconductor material such as SiGe in recesses 118 and 218 using a selective epitaxial growth step. The aforementioned semiconductor material may have a larger lattice constant than that of the substrate 2 . When performing the epitaxial growth step, desired dopants may or may not be doped. After an annealing process, SiGe tries to recover its lattice constant, thereby introducing compressive stress to the channel region of the final PMOS device. In the description, the SiGe epitaxial regions 120 and 220 can be regarded as SiGe stressors 120 and 220 respectively.
用于成长硅锗的前驱气体可包括例如甲锗烷(GeH4,其提供锗)和二氯硅烷(DCS,其提供硅)的成长气体。另外可添加一含碳硅源气体(例如甲基硅甲烷((CH3)SiH3)或SiCxH4-x)及/或一含碳锗源气体(例如GeCH3或GeCxH4-x)。可导入择自氯化氢气体(HCl)、氢氟酸气体(HF)、氯气(Cl2)或上述组合的一蚀刻气体,用以移除成长于例如栅极间隙壁116和216和浅沟槽隔绝区(STIregion)4上的不想要的硅锗部分。在本发明其他实施例中,上述蚀刻气体可择由自CxFyHz、CxClyHz、SixFyHz和SixClyHz所组成的族群,其中x、y、z值表示各别元素的比例。上述蚀刻气体也可具有降低图案负载效应(localloadingeffect)的效果。因此,在外延成长步骤期间,成长和蚀刻两者会同时存在。然而,成长速率会大于蚀刻速率,因此净效应为成长。在本发明一实施例中,可于一腔体中使用低压化学气相沉积(LPCVD)法的方式进行选择性成长步骤,腔体中气体的总压力可介于约1托尔(torr)至200托尔(torr)之间,或介于约3托尔(torr)至50托尔(torr)之间。在选择性成长步骤期间,晶片1的温度例如可介于500℃至800℃之间。Precursor gases for growing SiGe may include growth gases such as germane ( GeH4 , which provides germanium) and dichlorosilane (DCS, which provides silicon). In addition, a carbon-containing silicon source gas (such as methylsilyl methane ((CH 3 )SiH 3 ) or SiC x H 4-x ) and/or a carbon-containing germanium source gas (such as GeCH 3 or GeC x H 4 -x ) can be added. x ). An etchant gas selected from hydrogen chloride gas (HCl), hydrofluoric acid gas (HF), chlorine gas (Cl 2 ), or a combination thereof may be introduced to remove growth on, for example, gate spacers 116 and 216 and STIs. Unwanted silicon germanium portion on region (STIregion) 4. In other embodiments of the present invention, the above-mentioned etching gas may be selected from the group consisting of CxFyHz , CxClyHz , SixFyHz and SixClyHz , wherein x , The values of y and z indicate the proportions of the respective elements. The above-mentioned etching gas may also have the effect of reducing pattern loading effect (local loading effect). Thus, during the epitaxial growth step, both growth and etching occur simultaneously. However, the growth rate will be greater than the etch rate, so the net effect is growth. In one embodiment of the present invention, the selective growth step can be performed in a chamber using a low-pressure chemical vapor deposition (LPCVD) method, and the total pressure of the gas in the chamber can be between about 1 torr (torr) to 200 Between torr (torr), or between about 3 torr (torr) to 50 torr (torr). During the selective growth step, the temperature of the wafer 1 may for example be between 500°C and 800°C.
如图5所示,因为图案负载效应会分别导致凹陷118和218的不同宽度W3和W4,W3大于W4。硅锗应力物220的(111)方向的晶格面(facet)会钉扎(pin)于外延区218中(如图6所示)。因此,硅锗应力物220会具有(111)方向的平面。此外,硅锗应力物220的成长速率低于硅锗应力物120。因此,如图6所示,位于基板2的顶面2a上方的硅锗应力物120的高度H1’会小于位于基板2的顶面2a上方的硅锗应力物220的高度H2’。位于基板2的顶面2a上方的硅锗应力物220可为一金字塔形或接近于一金字塔形,且上述的斜面具有(111)晶格的表面。高度H1’、高度H2’和非平面顶面轮廓的高度差,特别是硅锗应力物220,会导致后续元件工艺的复杂度,且会不利于元件性能表现。As shown in FIG. 5 , W3 is greater than W4 because pattern loading effects will result in different widths W3 and W4 of recesses 118 and 218 , respectively. The (111) facets of the SiGe stressor 220 are pinned in the epitaxial region 218 (as shown in FIG. 6 ). Therefore, the SiGe stressor 220 will have a (111)-oriented plane. In addition, the growth rate of the SiGe stressor 220 is lower than that of the SiGe stressor 120 . Therefore, as shown in FIG. 6 , the height H1' of the SiGe stressor 120 above the top surface 2a of the substrate 2 is smaller than the height H2' of the SiGe stressor 220 above the top surface 2a of the substrate 2 . The silicon germanium stressor 220 located on the top surface 2 a of the substrate 2 may be in a pyramid shape or close to a pyramid shape, and the above-mentioned slope has a surface of (111) lattice. The height difference between the height H1', the height H2' and the non-planar top surface profile, especially the SiGe stressor 220, will lead to the complexity of the subsequent device process, and will be detrimental to the performance of the device.
如图7所示,在本发明一实施例中,在硅锗应力物220的顶端高于基板2的顶面2a之后,可进行一选择性回蚀刻步骤,以回蚀刻硅锗应力物220。在本发明其他实施例中,当上述选择性回蚀刻步骤时间开始时,硅锗应力物220的顶端(参考图6)可对齐于基板2的顶面2a或低于基板2的顶面2a。在本发明一实施例中,当硅锗应力物220的顶部为近似金字塔形时,且其中上述硅锗应力物220的顶部高于基板2的顶面2a时,则开始进行上述选择性回蚀刻步骤。As shown in FIG. 7 , in one embodiment of the present invention, after the top of the SiGe stressor 220 is higher than the top surface 2 a of the substrate 2 , a selective etch back step may be performed to etch back the SiGe stressor 220 . In other embodiments of the present invention, when the selective etch back step starts, the top of the SiGe stressor 220 (refer to FIG. 6 ) can be aligned with or below the top surface 2 a of the substrate 2 . In one embodiment of the present invention, when the top of the SiGe stressor 220 is approximately pyramid-shaped, and wherein the top of the SiGe stressor 220 is higher than the top surface 2a of the substrate 2, the above selective etch back is started. step.
可以原位(in-situ)方式进行硅锗应力物120和220的选择性成长步骤和选择性回蚀刻步骤,意即在选择性成长工艺和选择性回蚀刻工艺之间不会破真空。此外,于腔体中的晶片1在选择性成长步骤完成时不会被取出腔体。此外,可利用进行调整例如工艺气体的成分和压力、晶片1的温度或类似方法等工艺条件,从进行选择性成长步骤转换至进行选择性回蚀刻步骤。The selective growth step and the selective etch-back step of the SiGe stressors 120 and 220 can be performed in-situ, ie without breaking the vacuum between the selective growth process and the selective etch-back process. Furthermore, the wafer 1 in the cavity will not be taken out of the cavity when the selective growth step is completed. Furthermore, switching from performing the selective growth step to performing the selective etch-back step can be performed by adjusting process conditions such as the composition and pressure of the process gas, the temperature of the wafer 1, or the like.
在本发明一实施例中,为了达到从进行该选择性成长步骤转换至进行选择性回蚀刻步骤,可增加例如氯化氢气体(HCl)的蚀刻气体的分压或流速,以增加蚀刻效应。同时,可持续导入用以成为硅锗的例如甲锗烷(GeH4)或二氯硅烷(DCS)的成长气体。另外,在选择性回蚀刻步骤期间,成长和蚀刻两者会同时存在。然而,蚀刻速率会大于成长速率,因此至少对于硅锗应力物220来说,净效应为蚀刻。再者,因为图案负载效应,对硅锗应力物120的蚀刻会弱于对硅锗应力物220的蚀刻,且因此对硅锗应力物120的净效应可为持续成长、回蚀刻或不成长也不回蚀刻,上述硅锗应力物120的净效应依据选择性回蚀刻步骤中的工艺条件而定。In an embodiment of the present invention, in order to switch from the selective growth step to the selective etch-back step, the partial pressure or flow rate of the etching gas such as hydrogen chloride gas (HCl) can be increased to increase the etching effect. At the same time, a growth gas such as germane (GeH 4 ) or dichlorosilane (DCS) for becoming silicon germanium is continuously introduced. Additionally, during the selective etch-back step, both growth and etch occur simultaneously. However, the etch rate will be greater than the growth rate, so at least for SiGe stressor 220 the net effect is etching. Furthermore, due to the pattern loading effect, etching of the SiGe stressor 120 will be weaker than etching of the SiGe stressor 220, and thus the net effect on the SiGe stressor 120 may be continued growth, etch back, or no growth. Without etch back, the net effect of the SiGe stressor 120 described above depends on the process conditions in the selective etch back step.
为了决定上述选择性回蚀刻步骤的最理想条件,可用一回蚀刻/成长比值(E/Gratio)来判断工艺条件。上述回蚀刻/成长比值(E/Gratio)为回蚀刻气体(例如氯化氢气体(HCl))的分压(partialpressure)对成长气体(例如甲锗烷(GeH4)和二氯硅烷(DCS))的重量分压(weightedpartialpressure)的比值。在本发明一实施例中,上述回蚀刻/成长比值可显示为:回蚀刻/成长比值(E/Gratio)=PHCl/(PDCS+100xPGeH4),其中、PHCl、PDCS和PGeH4分别为氯化氢气体(HCl)、甲锗烷(GeH4)和二氯硅烷(DCS)的分压。数值100表示甲锗烷(GeH4)的重量。可了解的是甲锗烷(GeH4)的成长效应远高于二氯硅烷(DCS)。换句话说,为了增加成长速率,导入更多的甲锗烷(GeH4)会比导入更多的二氯硅烷(DCS)更为有效。虽然可具有不同的最理想的重量,但是数值100表示甲锗烷(GeH4)的效应较二氯硅烷(DCS)更为明显。在本发明一实施例的回蚀刻/成长比值(E/Gratio)可介于约0.4至2.0之间。可以经过实验得知上述选择性回蚀刻步骤的最理想的回蚀刻/成长比值(E/Gratio)。In order to determine the optimal conditions for the above selective etch-back step, the process conditions can be judged by an etch-back/growth ratio (E/Gratio). The above etch back/growth ratio (E/Gratio) is the partial pressure of the etch back gas (such as hydrogen chloride gas (HCl)) to the growth gas (such as germane (GeH 4 ) and dichlorosilane (DCS)) The ratio of weighted partial pressure. In one embodiment of the present invention, the above etch back/growth ratio can be expressed as: etch back/growth ratio (E/Gratio)=P HCl /(P DCS +100xP GeH4 ), wherein, P HCl , P DCS and P GeH4 are the partial pressures of hydrogen chloride gas (HCl), germane (GeH 4 ) and dichlorosilane (DCS), respectively. The value 100 represents the weight of germane (GeH 4 ). It can be understood that the growth effect of germane (GeH 4 ) is much higher than that of dichlorosilane (DCS). In other words, introducing more germane (GeH 4 ) is more effective than introducing more dichlorosilane (DCS) in order to increase the growth rate. While there may be different optimal weights, a value of 100 indicates that germane (GeH 4 ) is more effective than dichlorosilane (DCS). In one embodiment of the present invention, the etch-back/growth ratio (E/Gratio) may be between about 0.4 and 2.0. The optimal etch-back/growth ratio (E/Gratio) of the above selective etch-back step can be obtained through experiments.
图10为回蚀刻/成长比值(E/Gratio)对硅锗的成长/蚀刻速率(growth/etchrate)的效应,其中X轴表示回蚀刻/成长比值(E/Gratio),Y轴表示硅锗的成长/蚀刻速率(growth/etchrate)。正值(Y轴)表示净效应为成长,其可包括范围A的带缺陷的外延成长范围(Epigrowthwithdefect)、范围B的标准外延成长范围(NormalEpigrowth)以及范围C的平衡外延成长范围(BalancedEpigrowth),而负值(Y轴)表示净效应为回蚀刻,其可包括范围D的选择性外延成长范围(SelectiveEpigrowth)。可了解的是当增加氯化氢气体(HCl)使回蚀刻/成长比值(E/Gratio)低的时候,相反的,可能会发生硅锗的成长速率增加而不是降低的情形。当回蚀刻/成长比值(E/Gratio)更为增加的时候,虽然净效应仍为成长,但是硅锗的成长速率会降低。当回蚀刻/成长比值(E/Gratio)更为增加的时候,回蚀刻的效应会胜过成长的效应,且净效应会变成回蚀刻。Figure 10 shows the effect of the etch back/growth ratio (E/Gratio) on the growth/etch rate (growth/etchrate) of silicon germanium, where the X-axis represents the etch back/growth ratio (E/Gratio), and the Y-axis represents the silicon germanium Growth/etch rate (growth/etchrate). A positive value (Y-axis) indicates that the net effect is growth, which may include epigrowth with defect in range A, normal epigrowth in range B, and balanced epigrowth in range C, A negative value (Y-axis) indicates that the net effect is etch back, which may include the range D of Selective Epigrowth. It is understood that when increasing HCl gas to lower the etch back/growth ratio (E/Gratio), conversely, an increase rather than a decrease in the growth rate of SiGe may occur. When the etch back/growth ratio (E/Gratio) is increased even more, the growth rate of SiGe will decrease although the net effect is still growth. As the etch back/growth ratio (E/Gratio) increases further, the effect of etch back outweighs the effect of growth, and the net effect becomes etch back.
可利用增加二氯硅烷(DCS)分压及/或降低甲锗烷(GeH4)、增加工艺气体的总压力或增加晶片1的温度等方式,从进行选择性成长步骤转换至进行选择性回蚀刻步骤,且达到最理想的回蚀刻条件。在本发明一实施例中,在选择性回蚀刻步骤期间,晶片1的温度可介于500℃至800℃之间,或可介于600℃至700℃之间。选择性回蚀刻步骤的持续时间可介于3秒至600秒之间,或可介于3秒至50秒之间。工艺气体的总压力可介于1托尔(torr)至200托尔(torr)之间。Switching from performing the selective growth step to performing the selective reversion step can be performed by increasing the partial pressure of dichlorosilane (DCS) and/or decreasing the germane (GeH 4 ), increasing the total pressure of the process gas, or increasing the temperature of the wafer 1 . Etching steps, and achieve the most ideal etch-back conditions. In one embodiment of the present invention, during the selective etch back step, the temperature of the wafer 1 may be between 500°C and 800°C, or may be between 600°C and 700°C. The duration of the selective etch back step may be between 3 seconds and 600 seconds, or may be between 3 seconds and 50 seconds. The total pressure of the process gas may be between 1 torr and 200 torr.
在选择性回蚀刻步骤期间,会发生想要的反向图案负载效应,其中硅锗应力物120的蚀刻速率会至少低于硅锗应力物220的蚀刻速率。因此,可消除不想要的金字塔形状的硅锗应力物220。最终形成的硅锗应力物220可具有较佳的轮廓,其可包括如图7所示的大体上平坦的顶面。因此,可以至少减少或可甚至于消除硅锗应力物120及/或硅锗应力物220的晶格面(facet)。在本发明一实施例的回蚀刻/成长比值(E/Gratio)可介于约0.4至2.0之间。可以经过实验得知上述选择性回蚀刻步骤的最理想的回蚀刻/成长比值(E/Gratio)。During the selective etch back step, a desired reverse pattern loading effect occurs, wherein the etch rate of the SiGe stressor 120 is at least lower than the etch rate of the SiGe stressor 220 . Therefore, the unwanted pyramid-shaped SiGe stressor 220 can be eliminated. The resulting silicon germanium stressor 220 may have a preferred profile, which may include a substantially flat top surface as shown in FIG. 7 . Therefore, the lattice facets of the SiGe stressor 120 and/or the SiGe stressor 220 can be at least reduced or even eliminated. In one embodiment of the present invention, the etch-back/growth ratio (E/Gratio) may be between about 0.4 and 2.0. The optimal etch-back/growth ratio (E/Gratio) of the above selective etch-back step can be obtained through experiments.
在本发明一实施例中,硅锗应力物120和220可以在一道成长-蚀刻循环(growth-etchcycle)中,先过成长(overgrown)再回蚀刻至想要的厚度,或利用渐进式改变(gradientchanging)气体组成达到所欲的反应气体浓度。在本发明其他实施例中,硅锗应力物120和220的形成方式可包括多道成长-蚀刻循环,以达到较佳的硅锗表面轮廓。上述额外的成长-蚀刻循环可实质上类似于第6、7图所示的成长-蚀刻循环,因而在此不做显示。In an embodiment of the present invention, the silicon germanium stressors 120 and 220 can be overgrown and then etched back to a desired thickness in a growth-etch cycle, or a gradual change ( gradientchanging) gas composition to achieve the desired reaction gas concentration. In other embodiments of the present invention, the formation of the SiGe stressors 120 and 220 may include multiple growth-etch cycles to achieve a better SiGe surface profile. The additional growth-etch cycle described above may be substantially similar to the growth-etch cycle shown in FIGS. 6 and 7 and thus is not shown here.
图8显示硅覆盖物或硅锗覆盖物130和230(此后也可视为硅/硅锗覆盖物或含硅覆盖物)的形成方式,可利用选择性外延成长步骤形成上述硅锗覆盖物130和230。当含硅覆盖物中包含锗时,在含硅覆盖物130和230中的锗原子百分比,会低于位于含硅覆盖物130和230各别下方的硅锗应力物120和220中的锗原子百分比。此外,在含硅覆盖物130和230中的锗原子百分比会低于百分之20。因为低电阻的硅化物会形成于硅上而不会形成于硅锗上,所以含硅覆盖物130和230有益于后续形成的源极和漏极硅化物区。用以形成的工艺气体可包括硅烷(SiH4)或氯化氢气体(HCl)。再者,在选择性外延成长含硅覆盖物130和230中,成长和蚀刻两者会同时存在,而净效应为成长。也会于含硅覆盖物130和230上形成琢面(facet)。因此,类似于硅锗应力物120和220的形成方式,在选择性外延成长含硅覆盖物130和230之后,可选择性进行一选择性回蚀刻,以降低图案负载效应,且改善含硅覆盖物130和230的轮廓。附图中绘示的虚线显示含硅覆盖物130和230的在选择性回蚀刻步骤开始时的轮廓,且使用实线显示含硅覆盖物130和230的在选择性回蚀刻步骤之后的轮廓。再者,可以原位(in-situ)方式进行选择性成长和选择性回蚀刻含硅覆盖物130和230。在选择性回蚀刻含硅覆盖物130和230中,成长和回蚀刻两者会同时存在,而净效应为回蚀刻。可利用例如增加氯化氢气体(HCl)分压及/或降低硅烷(SiH4)分压等调整工艺条件的方式,从选择性成长步骤转换至选择性回蚀刻步骤。Figure 8 shows the formation of silicon caps or silicon germanium caps 130 and 230 (hereinafter also referred to as silicon/silicon germanium caps or silicon-containing caps), which can be formed using a selective epitaxial growth step. and 230. When germanium is included in the silicon-containing caps, the atomic percentages of germanium in the silicon-containing caps 130 and 230 are lower than the germanium atoms in the silicon-germanium stressors 120 and 220 located below the silicon-containing caps 130 and 230, respectively. percentage. Additionally, the atomic percent germanium in the silicon-containing caps 130 and 230 may be less than 20 percent. The silicon-containing caps 130 and 230 benefit the subsequently formed source and drain silicide regions because low-resistance silicides are formed on silicon and not on silicon germanium. The process gas used for formation may include silane (SiH 4 ) or hydrogen chloride gas (HCl). Furthermore, in the selective epitaxial growth of silicon-containing caps 130 and 230, both growth and etching occur simultaneously, with the net effect being growth. Facets are also formed on the silicon-containing caps 130 and 230 . Therefore, similar to the formation of SiGe stressors 120 and 220, after the selective epitaxial growth of silicon-containing caps 130 and 230, a selective etch-back can be selectively performed to reduce the pattern loading effect and improve the silicon-containing caps. The outlines of objects 130 and 230. The outlines of the silicon-containing caps 130 and 230 at the beginning of the selective etch-back step are shown in dotted lines and the profiles of the silicon-containing caps 130 and 230 after the selective etch-back step are shown in solid lines. Furthermore, the selective growth and selective etching back of the silicon-containing caps 130 and 230 can be performed in-situ. In selective etch back of silicon-containing caps 130 and 230, both growth and etch back occur simultaneously with the net effect being etch back. The selective growth step can be switched to the selective etch-back step by adjusting process conditions such as increasing the partial pressure of hydrogen chloride gas (HCl) and/or reducing the partial pressure of silane (SiH 4 ).
图9显示硅化物区134、234、蚀刻停止层(ESL)36和接触孔插塞140、240的形成方式。可利用于元件且包括含硅覆盖物130、和230和栅极106、206暴露出来的表面上方沉积例如钛、钴、镍或类似材料的薄金属层。然后加热晶片1,其可导致与硅接触的金属发生硅化反应。在硅化反应发生之后,会于硅和金属之间形成一金属硅化物层。借由使用一蚀刻剂选择性移除未反应的金属,上述蚀刻剂会攻击金属但不会攻击硅化物。此外,不会形成与虚设栅极堆叠结构502连接的接触孔插塞。FIG. 9 shows how the silicide regions 134, 234, etch stop layer (ESL) 36 and contact hole plugs 140, 240 are formed. Thin metal layers such as titanium, cobalt, nickel or similar materials are deposited over the exposed surfaces of the components and include silicon-containing caps 130, and 230 and gates 106, 206. The wafer 1 is then heated, which causes a silicidation reaction of the metal in contact with the silicon. After the silicidation reaction occurs, a metal silicide layer is formed between the silicon and the metal. Unreacted metal is selectively removed by using an etchant that attacks the metal but not the silicide. In addition, contact hole plugs connected to the dummy gate stack structure 502 are not formed.
可全面性沉积蚀刻停止层(ESL)36。可利用等离子体增强型化学气相沉积(PECVD)法形成蚀刻停止层(ESL)36。然而,也可以使用例如低压化学气相沉积(LPCVD)法或热化学气相沉积(thermalCVD)法的其他化学气相沉积(CVD)法形成蚀刻停止层(ESL)36。接着,沉积层间介电层(ILD)38。上述层间介电层(ILD)38可包括硼磷硅玻璃(BPSG)或其他适当的材料。上述层间介电层(ILD)38提供MOS元件和其上方金属导线之间的隔绝物。之后,形成接触孔插塞140、240,上述接触孔插塞140、240提供穿过硅化物区134、234至源/漏极区和栅极的沟道。An etch stop layer (ESL) 36 may be blanket deposited. The etch stop layer (ESL) 36 may be formed using a plasma enhanced chemical vapor deposition (PECVD) method. However, the etch stop layer (ESL) 36 may also be formed using other chemical vapor deposition (CVD) methods such as a low pressure chemical vapor deposition (LPCVD) method or a thermal chemical vapor deposition (thermalCVD) method. Next, an interlayer dielectric (ILD) 38 is deposited. The interlayer dielectric (ILD) 38 may include borophosphosilicate glass (BPSG) or other suitable materials. The above-mentioned interlayer dielectric layer (ILD) 38 provides isolation between the MOS device and the metal wires above it. Thereafter, contact hole plugs 140, 240 are formed which provide channels through the silicide regions 134, 234 to the source/drain regions and the gate.
上述实施例中显示用于平面元件的硅锗应力物的成长方式。然而,上述实施例的教导也可应用在用于鳍状场效电晶体(FinFET)的硅锗应力物的成长方式。上述工艺可包括于一半导体鳍状物(图未显示)上形成一栅极堆叠结构,蚀刻未被栅极堆叠结构覆盖的半导体鳍状物的暴露部分,且进行一选择性成长步骤,之后进行一选择性回蚀刻步骤,以形成硅锗应力物。可从实施例的教导了解工艺的详细内容,因而在此不做叙述。另外,上述实施例的教导也可应用在用于MOS元件的应力物(例如碳化硅应力物)的成长方式。在实施例中讨论的选择性回蚀刻步骤,除了可用于CMOS元件的形成方式,也可用于例如太阳能电池、微机电系统(MEMS)元件的其他元件的形成方式。The foregoing embodiments show the growth of SiGe stressors for planar devices. However, the teachings of the above embodiments are also applicable to the growth of SiGe stressors for fin field effect transistors (FinFETs). The process may include forming a gate stack structure on a semiconductor fin (not shown), etching exposed portions of the semiconductor fin not covered by the gate stack structure, and performing a selective growth step, followed by A selective etch back step to form SiGe stressors. The detailed content of the process can be understood from the teaching of the embodiment, so it will not be described here. In addition, the teachings of the above embodiments can also be applied to the growth method of stressors (such as silicon carbide stressors) for MOS devices. The selective etch-back step discussed in the embodiments, in addition to the formation of CMOS devices, can also be used in the formation of other devices such as solar cells and micro-electromechanical systems (MEMS) devices.
在本发明实施例中,可借由选择性回蚀刻步骤减少图案负载效应,以达到形成更均一的外延区(例如硅锗应力物),且改善外延区的轮廓。可减少甚至消除外延区的琢面。另外,可以原位(in-situ)方式进行选择性成长步骤和进行选择性回蚀刻步骤,以最小化额外成本。In the embodiment of the present invention, the pattern loading effect can be reduced by the selective etch back step, so as to form a more uniform epitaxial region (such as SiGe stressor) and improve the profile of the epitaxial region. Facets in the epitaxial region can be reduced or even eliminated. In addition, the selective growth step and the selective etch-back step can be performed in-situ to minimize additional cost.
虽然本发明已以实施例揭示如上,然而其并非用以限定本发明,任何本领域技术人员,在不脱离本发明的精神和范围内,当可作些许的更动与润饰,因此本发明的保护范围当视随附的权利要求所界定的范围为准。Although the present invention has been disclosed above with the embodiments, it is not intended to limit the present invention. Anyone skilled in the art can make some changes and modifications without departing from the spirit and scope of the present invention. Therefore, the present invention The scope of protection should be determined by the scope defined by the appended claims.
Claims (14)
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/784,344 US9263339B2 (en) | 2010-05-20 | 2010-05-20 | Selective etching in the formation of epitaxy regions in MOS devices |
US12/784,344 | 2010-05-20 | ||
CN201010547530XA CN102254866A (en) | 2010-05-20 | 2010-11-12 | Method for forming semiconductor structure |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201010547530XA Division CN102254866A (en) | 2010-05-20 | 2010-11-12 | Method for forming semiconductor structure |
Publications (2)
Publication Number | Publication Date |
---|---|
CN105590846A true CN105590846A (en) | 2016-05-18 |
CN105590846B CN105590846B (en) | 2019-02-22 |
Family
ID=44972824
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201610055781.3A Expired - Fee Related CN105590846B (en) | 2010-05-20 | 2010-11-12 | Method for forming semiconductor structure |
CN201010547530XA Pending CN102254866A (en) | 2010-05-20 | 2010-11-12 | Method for forming semiconductor structure |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201010547530XA Pending CN102254866A (en) | 2010-05-20 | 2010-11-12 | Method for forming semiconductor structure |
Country Status (2)
Country | Link |
---|---|
US (2) | US9263339B2 (en) |
CN (2) | CN105590846B (en) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN107634007A (en) * | 2017-09-13 | 2018-01-26 | 京东方科技集团股份有限公司 | Dry etching method |
CN108573872A (en) * | 2017-03-07 | 2018-09-25 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structures and methods of forming them |
CN112017966A (en) * | 2019-05-31 | 2020-12-01 | 台湾积体电路制造股份有限公司 | Semiconductor device and method for manufacturing the same |
CN114334816A (en) * | 2020-09-30 | 2022-04-12 | 中芯南方集成电路制造有限公司 | Method of forming a semiconductor structure |
Families Citing this family (51)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8236660B2 (en) | 2010-04-21 | 2012-08-07 | International Business Machines Corporation | Monolayer dopant embedded stressor for advanced CMOS |
US9064688B2 (en) | 2010-05-20 | 2015-06-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Performing enhanced cleaning in the formation of MOS devices |
US9263339B2 (en) * | 2010-05-20 | 2016-02-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selective etching in the formation of epitaxy regions in MOS devices |
US8426278B2 (en) | 2010-06-09 | 2013-04-23 | GlobalFoundries, Inc. | Semiconductor devices having stressor regions and related fabrication methods |
US8299535B2 (en) * | 2010-06-25 | 2012-10-30 | International Business Machines Corporation | Delta monolayer dopants epitaxy for embedded source/drain silicide |
WO2012020290A2 (en) * | 2010-07-26 | 2012-02-16 | Stmicroelectronics S.R.L. | Process for filling deep trenches in a semiconductor material body, and semiconductor device resulting from the same process |
KR101797964B1 (en) * | 2010-10-01 | 2017-11-15 | 삼성전자주식회사 | Fabricating method of semiconductor device and the semiconductor device fabricated using the same method |
US8338279B2 (en) | 2011-03-30 | 2012-12-25 | International Business Machines Corporation | Reduced pattern loading for doped epitaxial process and semiconductor structure |
US8546208B2 (en) * | 2011-08-19 | 2013-10-01 | International Business Machines Corporation | Isolation region fabrication for replacement gate processing |
US8735991B2 (en) * | 2011-12-01 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | High gate density devices and methods |
US8629038B2 (en) * | 2012-01-05 | 2014-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs with vertical fins and methods for forming the same |
US9263342B2 (en) * | 2012-03-02 | 2016-02-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having a strained region |
KR101986534B1 (en) * | 2012-06-04 | 2019-06-07 | 삼성전자주식회사 | Semiconductor device having embedded strain-inducing pattern and method of forming the same |
US9105741B2 (en) * | 2012-09-13 | 2015-08-11 | International Business Machines Corporation | Method of replacement source/drain for 3D CMOS transistors |
US9142643B2 (en) | 2012-11-15 | 2015-09-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming epitaxial feature |
US9512519B2 (en) * | 2012-12-03 | 2016-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Atomic layer deposition apparatus and method |
US9831345B2 (en) | 2013-03-11 | 2017-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with rounded source/drain profile |
US9536771B2 (en) * | 2013-04-11 | 2017-01-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gap fill self planarization on post EPI |
CN104217952B (en) * | 2013-06-04 | 2017-05-10 | 中芯国际集成电路制造(上海)有限公司 | Manufacture method of semiconductor device |
CN104241355B (en) * | 2013-06-09 | 2017-06-13 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor devices and forming method thereof |
US9245955B2 (en) | 2013-06-28 | 2016-01-26 | Stmicroelectronics, Inc. | Embedded shape SiGe for strained channel transistors |
US9583483B2 (en) * | 2013-09-03 | 2017-02-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Source and drain stressors with recessed top surfaces |
US20150076624A1 (en) * | 2013-09-19 | 2015-03-19 | GlobalFoundries, Inc. | Integrated circuits having smooth metal gates and methods for fabricating same |
CN104681563B (en) * | 2013-11-28 | 2018-05-08 | 中国科学院微电子研究所 | Semiconductor device and method for manufacturing the same |
US9343412B2 (en) * | 2014-02-12 | 2016-05-17 | Taiwan Semiconductor Manufacturing Company Limited | Method of forming MOSFET structure |
CN104952796A (en) * | 2014-03-24 | 2015-09-30 | 中芯国际集成电路制造(上海)有限公司 | Manufacturing method for semiconductor device |
US9496149B2 (en) * | 2014-04-14 | 2016-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods for manufacturing the same |
CN105097806A (en) * | 2014-04-24 | 2015-11-25 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor device and electronic device |
US9799565B2 (en) | 2014-12-24 | 2017-10-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming semiconductor device structure with gate |
FR3036846B1 (en) * | 2015-05-29 | 2018-06-15 | Stmicroelectronics (Crolles 2) Sas | METHOD FOR LOCAL ISOLATION BETWEEN TRANSISTORS MADE ON A SOI SUBSTRATE, ESPECIALLY FDSOI, AND CORRESPONDING INTEGRATED CIRCUIT |
US9691695B2 (en) | 2015-08-31 | 2017-06-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Monolithic 3D integration inter-tier vias insertion scheme and associated layout structure |
CN105374665B (en) * | 2015-10-27 | 2019-01-22 | 上海华力微电子有限公司 | Fabrication method of cap layer of embedded epitaxial germanium silicon layer |
US10276715B2 (en) * | 2016-02-25 | 2019-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor and method for fabricating the same |
US11581866B2 (en) | 2016-03-11 | 2023-02-14 | Akoustis, Inc. | RF acoustic wave resonators integrated with high electron mobility transistors including a shared piezoelectric/buffer layer and methods of forming the same |
TWI686879B (en) * | 2016-05-05 | 2020-03-01 | 聯華電子股份有限公司 | Semiconductor device and method for fabricating the same |
JP6708015B2 (en) * | 2016-06-27 | 2020-06-10 | セイコーエプソン株式会社 | MEMS device, liquid ejecting head, liquid ejecting apparatus, and method for manufacturing MEMS device |
US10304957B2 (en) | 2016-09-13 | 2019-05-28 | Qualcomm Incorporated | FinFET with reduced series total resistance |
US10453943B2 (en) * | 2016-11-29 | 2019-10-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | FETS and methods of forming FETS |
US11476349B2 (en) | 2016-12-15 | 2022-10-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET structures and methods of forming the same |
CN108807178B (en) * | 2017-05-05 | 2022-08-23 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
JP7109165B2 (en) * | 2017-05-30 | 2022-07-29 | 東京エレクトロン株式会社 | Etching method |
CN113410177A (en) * | 2017-06-16 | 2021-09-17 | 台湾积体电路制造股份有限公司 | Semiconductor device and method for manufacturing the same |
US10991630B2 (en) * | 2018-09-27 | 2021-04-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
US11088150B2 (en) | 2019-01-28 | 2021-08-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
CN110010551A (en) * | 2019-03-04 | 2019-07-12 | 上海华力集成电路制造有限公司 | The method for forming silicon germanium extension layer |
KR20210029090A (en) * | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selective deposition using a sacrificial capping layer |
KR20220130120A (en) * | 2020-01-21 | 2022-09-26 | 어쿠스티스, 인크. | RF acoustic wave resonators integrated with high electron mobility transistors comprising a shared piezoelectric/buffer layer and methods of forming the same |
US12102010B2 (en) | 2020-03-05 | 2024-09-24 | Akoustis, Inc. | Methods of forming films including scandium at low temperatures using chemical vapor deposition to provide piezoelectric resonator devices and/or high electron mobility transistor devices |
US11935793B2 (en) * | 2020-05-29 | 2024-03-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual dopant source/drain regions and methods of forming same |
CN113013231A (en) * | 2021-02-24 | 2021-06-22 | 上海华力集成电路制造有限公司 | Method for improving device performance through selective epitaxy |
US20230120656A1 (en) * | 2021-10-20 | 2023-04-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Leakage reduction for multi-gate devices |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2008263114A (en) * | 2007-04-13 | 2008-10-30 | Sony Corp | Manufacturing method of semiconductor device, and semiconductor device |
US20100035419A1 (en) * | 2008-08-11 | 2010-02-11 | International Business Machines Corporation | PATTERN INDEPENDENT Si:C SELECTIVE EPITAXY |
US20100093147A1 (en) * | 2008-10-14 | 2010-04-15 | Chin-I Liao | Method for forming a semiconductor device |
Family Cites Families (44)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5089441A (en) | 1990-04-16 | 1992-02-18 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafers |
JP2001338988A (en) * | 2000-05-25 | 2001-12-07 | Hitachi Ltd | Semiconductor device and manufacturing method thereof |
JP4695824B2 (en) | 2003-03-07 | 2011-06-08 | 富士電機ホールディングス株式会社 | Manufacturing method of semiconductor wafer |
US7166528B2 (en) * | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
DE102004007127A1 (en) * | 2004-02-12 | 2005-09-22 | Zf Friedrichshafen Ag | Wheel suspension |
KR100547934B1 (en) | 2004-08-20 | 2006-01-31 | 삼성전자주식회사 | Transistors and manufacturing methods thereof |
KR100607409B1 (en) | 2004-08-23 | 2006-08-02 | 삼성전자주식회사 | Substrate etching method and semiconductor device manufacturing method using the same |
US7078722B2 (en) * | 2004-09-20 | 2006-07-18 | International Business Machines Corporation | NFET and PFET devices and methods of fabricating same |
US20060091467A1 (en) * | 2004-10-29 | 2006-05-04 | Doyle Brian S | Resonant tunneling device using metal oxide semiconductor processing |
JP4369359B2 (en) * | 2004-12-28 | 2009-11-18 | 富士通マイクロエレクトロニクス株式会社 | Semiconductor device |
US7195985B2 (en) | 2005-01-04 | 2007-03-27 | Intel Corporation | CMOS transistor junction regions formed by a CVD etching and deposition sequence |
JP4361880B2 (en) | 2005-01-11 | 2009-11-11 | 富士通マイクロエレクトロニクス株式会社 | Manufacturing method of semiconductor integrated circuit device |
DE102005041225B3 (en) | 2005-08-31 | 2007-04-26 | Advanced Micro Devices, Inc., Sunnyvale | Method for producing recessed, deformed drain / source regions in NMOS and PMOS transistors |
KR100630767B1 (en) | 2005-09-08 | 2006-10-04 | 삼성전자주식회사 | Method of manufacturing MOS transistor having epitaxial region |
US8255843B2 (en) | 2005-11-14 | 2012-08-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing strained-silicon semiconductor device |
KR100707882B1 (en) * | 2005-12-14 | 2007-04-13 | 삼성전자주식회사 | Selective epitaxial growth method |
US7816217B2 (en) | 2005-12-22 | 2010-10-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-step epitaxial process for depositing Si/SiGe |
US7579248B2 (en) | 2006-02-13 | 2009-08-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Resolving pattern-loading issues of SiGe stressor |
US8017487B2 (en) | 2006-04-05 | 2011-09-13 | Globalfoundries Singapore Pte. Ltd. | Method to control source/drain stressor profiles for stress engineering |
US7651948B2 (en) | 2006-06-30 | 2010-01-26 | Applied Materials, Inc. | Pre-cleaning of substrates in epitaxy chambers |
JP5076388B2 (en) * | 2006-07-28 | 2012-11-21 | 富士通セミコンダクター株式会社 | Semiconductor device and manufacturing method thereof |
US20080233722A1 (en) | 2007-03-23 | 2008-09-25 | United Microelectronics Corp. | Method of forming selective area compound semiconductor epitaxial layer |
US7732285B2 (en) | 2007-03-28 | 2010-06-08 | Intel Corporation | Semiconductor device having self-aligned epitaxial source and drain extensions |
US20080237634A1 (en) | 2007-03-30 | 2008-10-02 | International Business Machines Corporation | Crystallographic recess etch for embedded semiconductor region |
US7553717B2 (en) | 2007-05-11 | 2009-06-30 | Texas Instruments Incorporated | Recess etch for epitaxial SiGe |
US7759199B2 (en) * | 2007-09-19 | 2010-07-20 | Asm America, Inc. | Stressor for engineered strain on channel |
US7964910B2 (en) * | 2007-10-17 | 2011-06-21 | International Business Machines Corporation | Planar field effect transistor structure having an angled crystallographic etch-defined source/drain recess and a method of forming the transistor structure |
KR101409374B1 (en) | 2008-04-10 | 2014-06-19 | 삼성전자 주식회사 | Method for manufacturing semiconductor integrated circuit device and semiconductor integrated circuit device manufactured thereby |
US7687862B2 (en) | 2008-05-13 | 2010-03-30 | Infineon Technologies Ag | Semiconductor devices with active regions of different heights |
JP5315922B2 (en) | 2008-10-27 | 2013-10-16 | 富士通セミコンダクター株式会社 | Manufacturing method of semiconductor device |
US7902009B2 (en) | 2008-12-11 | 2011-03-08 | Intel Corporation | Graded high germanium compound films for strained semiconductor devices |
SG162717A1 (en) * | 2008-12-29 | 2010-07-29 | Chartered Semiconductor Mfg | Methods for reducing loading effects during film formation |
US7994015B2 (en) | 2009-04-21 | 2011-08-09 | Applied Materials, Inc. | NMOS transistor devices and methods for fabricating same |
US7951657B2 (en) | 2009-05-21 | 2011-05-31 | International Business Machines Corporation | Method of forming a planar field effect transistor with embedded and faceted source/drain stressors on a silicon-on-insulator (S0I) wafer, a planar field effect transistor structure and a design structure for the planar field effect transistor |
US8299564B1 (en) | 2009-09-14 | 2012-10-30 | Xilinx, Inc. | Diffusion regions having different depths |
US8343872B2 (en) | 2009-11-06 | 2013-01-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming strained structures with compound profiles in semiconductor devices |
US8367528B2 (en) * | 2009-11-17 | 2013-02-05 | Asm America, Inc. | Cyclical epitaxial deposition and etch |
US8263451B2 (en) * | 2010-02-26 | 2012-09-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Epitaxy profile engineering for FinFETs |
US8828850B2 (en) | 2010-05-20 | 2014-09-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Reducing variation by using combination epitaxy growth |
US9263339B2 (en) | 2010-05-20 | 2016-02-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selective etching in the formation of epitaxy regions in MOS devices |
US9064688B2 (en) | 2010-05-20 | 2015-06-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Performing enhanced cleaning in the formation of MOS devices |
US8647953B2 (en) | 2011-11-17 | 2014-02-11 | United Microelectronics Corp. | Method for fabricating first and second epitaxial cap layers |
KR20130073621A (en) | 2011-12-23 | 2013-07-03 | 삼성전자주식회사 | Method for controlling flexible display in portable terminal and apparatus thereof |
US9831345B2 (en) * | 2013-03-11 | 2017-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with rounded source/drain profile |
-
2010
- 2010-05-20 US US12/784,344 patent/US9263339B2/en not_active Expired - Fee Related
- 2010-11-12 CN CN201610055781.3A patent/CN105590846B/en not_active Expired - Fee Related
- 2010-11-12 CN CN201010547530XA patent/CN102254866A/en active Pending
-
2016
- 2016-02-15 US US15/043,922 patent/US9653574B2/en active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2008263114A (en) * | 2007-04-13 | 2008-10-30 | Sony Corp | Manufacturing method of semiconductor device, and semiconductor device |
US20100035419A1 (en) * | 2008-08-11 | 2010-02-11 | International Business Machines Corporation | PATTERN INDEPENDENT Si:C SELECTIVE EPITAXY |
US20100093147A1 (en) * | 2008-10-14 | 2010-04-15 | Chin-I Liao | Method for forming a semiconductor device |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN108573872A (en) * | 2017-03-07 | 2018-09-25 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structures and methods of forming them |
CN108573872B (en) * | 2017-03-07 | 2021-05-04 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and method of forming the same |
CN107634007A (en) * | 2017-09-13 | 2018-01-26 | 京东方科技集团股份有限公司 | Dry etching method |
US10468271B2 (en) | 2017-09-13 | 2019-11-05 | Boe Technology Group Co., Ltd. | Dry etching method |
CN107634007B (en) * | 2017-09-13 | 2019-12-31 | 京东方科技集团股份有限公司 | dry etching method |
CN112017966A (en) * | 2019-05-31 | 2020-12-01 | 台湾积体电路制造股份有限公司 | Semiconductor device and method for manufacturing the same |
CN114334816A (en) * | 2020-09-30 | 2022-04-12 | 中芯南方集成电路制造有限公司 | Method of forming a semiconductor structure |
Also Published As
Publication number | Publication date |
---|---|
US20160163827A1 (en) | 2016-06-09 |
CN105590846B (en) | 2019-02-22 |
US20110287600A1 (en) | 2011-11-24 |
CN102254866A (en) | 2011-11-23 |
US9263339B2 (en) | 2016-02-16 |
US9653574B2 (en) | 2017-05-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9653574B2 (en) | Selective etching in the formation of epitaxy regions in MOS devices | |
US9425287B2 (en) | Reducing variation by using combination epitaxy growth | |
US7579248B2 (en) | Resolving pattern-loading issues of SiGe stressor | |
US9515187B2 (en) | Controlling the shape of source/drain regions in FinFETs | |
CN102074461B (en) | Semiconductor device and manufacturing method thereof | |
US9064688B2 (en) | Performing enhanced cleaning in the formation of MOS devices | |
CN102208443B (en) | Semiconductor element and method of forming the same | |
JP5283233B2 (en) | Stress enhanced MOS transistor and method of manufacturing the same | |
US7494884B2 (en) | SiGe selective growth without a hard mask | |
CN104377199B (en) | It is embedded in the germanium block piece in MOS device | |
US20040262683A1 (en) | PMOS transistor strain optimization with raised junction regions | |
US20080067557A1 (en) | MOS devices with partial stressor channel | |
CN104425566B (en) | Source/drain stressor with recessed top surface | |
US20140193960A1 (en) | Fabrication method of semiconductor device and fabrication method of dynamic threshold transistor | |
CN104299971A (en) | MOS devices with non-uniform p-type impurity profile | |
CN101312191A (en) | Semiconductor structures and methods of forming them | |
CN102292811A (en) | Method for fabricating metal oxide semiconductor device with epitaxially grown stress-induced source and drain regions | |
KR20190003297A (en) | Method of forming shaped source/drain epitaxial layers of a semiconductor device | |
US20240404885A1 (en) | Embedded stressors in epitaxy source/drain regions | |
US11610890B2 (en) | Epitaxy regions extending below STI regions and profiles thereof | |
CN108074870B (en) | Transistor and method of forming the same | |
CN104253090B (en) | The forming method of CMOS transistor | |
CN113113408A (en) | Semiconductor device with a plurality of semiconductor chips | |
US9847393B2 (en) | Semiconductor device | |
US20230317791A1 (en) | Semiconductor device and manufacturing method thereof |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20190222 |