CN1052566C - 制造半导体器件的方法 - Google Patents
制造半导体器件的方法 Download PDFInfo
- Publication number
- CN1052566C CN1052566C CN94115974A CN94115974A CN1052566C CN 1052566 C CN1052566 C CN 1052566C CN 94115974 A CN94115974 A CN 94115974A CN 94115974 A CN94115974 A CN 94115974A CN 1052566 C CN1052566 C CN 1052566C
- Authority
- CN
- China
- Prior art keywords
- laser
- film
- substrate
- chamber
- equipment
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 65
- 238000000034 method Methods 0.000 title claims abstract description 42
- 238000012545 processing Methods 0.000 title abstract description 59
- 238000004519 manufacturing process Methods 0.000 claims abstract description 11
- 239000000758 substrate Substances 0.000 claims description 162
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 67
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 53
- 239000012298 atmosphere Substances 0.000 claims description 43
- 230000001678 irradiating effect Effects 0.000 claims description 20
- 229910021417 amorphous silicon Inorganic materials 0.000 claims description 17
- 238000000137 annealing Methods 0.000 claims description 13
- 239000000463 material Substances 0.000 claims description 12
- 230000001590 oxidative effect Effects 0.000 claims description 11
- 239000001257 hydrogen Substances 0.000 claims description 7
- 229910052739 hydrogen Inorganic materials 0.000 claims description 7
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 5
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 5
- 239000012299 nitrogen atmosphere Substances 0.000 claims description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 4
- 229920005591 polysilicon Polymers 0.000 claims description 4
- 238000005530 etching Methods 0.000 abstract description 22
- 230000015572 biosynthetic process Effects 0.000 abstract description 9
- 230000008569 process Effects 0.000 abstract description 6
- 230000003749 cleanliness Effects 0.000 abstract 1
- 239000010408 film Substances 0.000 description 185
- 238000002360 preparation method Methods 0.000 description 41
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 37
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 37
- 239000010703 silicon Substances 0.000 description 36
- 229910052710 silicon Inorganic materials 0.000 description 35
- 238000002425 crystallisation Methods 0.000 description 33
- 230000008025 crystallization Effects 0.000 description 32
- 239000007789 gas Substances 0.000 description 27
- 238000005224 laser annealing Methods 0.000 description 27
- 238000000151 deposition Methods 0.000 description 23
- 239000010410 layer Substances 0.000 description 23
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 21
- 230000005855 radiation Effects 0.000 description 21
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 20
- 230000008021 deposition Effects 0.000 description 20
- 229910052760 oxygen Inorganic materials 0.000 description 20
- 239000001301 oxygen Substances 0.000 description 20
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 17
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 16
- 239000013078 crystal Substances 0.000 description 15
- 239000012535 impurity Substances 0.000 description 14
- 150000002500 ions Chemical class 0.000 description 14
- 238000013532 laser treatment Methods 0.000 description 13
- 238000011282 treatment Methods 0.000 description 11
- 229910052759 nickel Inorganic materials 0.000 description 10
- 230000003647 oxidation Effects 0.000 description 10
- 238000007254 oxidation reaction Methods 0.000 description 10
- 229910052796 boron Inorganic materials 0.000 description 9
- 238000005516 engineering process Methods 0.000 description 9
- 238000004151 rapid thermal annealing Methods 0.000 description 9
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 8
- 239000011521 glass Substances 0.000 description 8
- 229910052757 nitrogen Inorganic materials 0.000 description 8
- 239000001272 nitrous oxide Substances 0.000 description 8
- 229920002120 photoresistant polymer Polymers 0.000 description 8
- 238000010438 heat treatment Methods 0.000 description 7
- 150000004767 nitrides Chemical class 0.000 description 7
- 238000004544 sputter deposition Methods 0.000 description 7
- 239000010409 thin film Substances 0.000 description 7
- 229910052782 aluminium Inorganic materials 0.000 description 6
- 239000004411 aluminium Substances 0.000 description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- -1 boron ion Chemical class 0.000 description 6
- 150000001768 cations Chemical class 0.000 description 6
- 238000005260 corrosion Methods 0.000 description 6
- 230000007797 corrosion Effects 0.000 description 6
- 238000010586 diagram Methods 0.000 description 6
- 238000002513 implantation Methods 0.000 description 6
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 6
- 239000000203 mixture Substances 0.000 description 6
- 239000000377 silicon dioxide Substances 0.000 description 6
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 5
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 5
- 238000005121 nitriding Methods 0.000 description 5
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 4
- XSTXAVWGXDQKEL-UHFFFAOYSA-N Trichloroethylene Chemical group ClC=C(Cl)Cl XSTXAVWGXDQKEL-UHFFFAOYSA-N 0.000 description 4
- 229910021529 ammonia Inorganic materials 0.000 description 4
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 239000012212 insulator Substances 0.000 description 4
- 238000002156 mixing Methods 0.000 description 4
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 4
- 229910052698 phosphorus Inorganic materials 0.000 description 4
- 239000011574 phosphorus Substances 0.000 description 4
- 229910000077 silane Inorganic materials 0.000 description 4
- 230000005540 biological transmission Effects 0.000 description 3
- 230000005669 field effect Effects 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 238000005468 ion implantation Methods 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- UBOXGVDOUJQMTN-UHFFFAOYSA-N trichloroethylene Natural products ClCC(Cl)Cl UBOXGVDOUJQMTN-UHFFFAOYSA-N 0.000 description 3
- 239000011800 void material Substances 0.000 description 3
- MGWGWNFMUOTEHG-UHFFFAOYSA-N 4-(3,5-dimethylphenyl)-1,3-thiazol-2-amine Chemical compound CC1=CC(C)=CC(C=2N=C(N)SC=2)=C1 MGWGWNFMUOTEHG-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 2
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 238000010923 batch production Methods 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 239000011651 chromium Substances 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 2
- 239000003792 electrolyte Substances 0.000 description 2
- GPRLSGONYQIRFK-UHFFFAOYSA-N hydron Chemical compound [H+] GPRLSGONYQIRFK-UHFFFAOYSA-N 0.000 description 2
- 230000006698 induction Effects 0.000 description 2
- 238000002347 injection Methods 0.000 description 2
- 239000007924 injection Substances 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 238000000608 laser ablation Methods 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 239000011733 molybdenum Substances 0.000 description 2
- JCXJVPUVTGWSNB-UHFFFAOYSA-N nitrogen dioxide Inorganic materials O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 description 2
- MWUXSHHQAYIFBG-UHFFFAOYSA-N nitrogen oxide Inorganic materials O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 2
- 125000004437 phosphorous atom Chemical group 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 230000006641 stabilisation Effects 0.000 description 2
- 238000011105 stabilization Methods 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- RPAJSBKBKSSMLJ-DFWYDOINSA-N (2s)-2-aminopentanedioic acid;hydrochloride Chemical compound Cl.OC(=O)[C@@H](N)CCC(O)=O RPAJSBKBKSSMLJ-DFWYDOINSA-N 0.000 description 1
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 229910000599 Cr alloy Inorganic materials 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 1
- 229910001182 Mo alloy Inorganic materials 0.000 description 1
- 229910000676 Si alloy Inorganic materials 0.000 description 1
- 229910001362 Ta alloys Inorganic materials 0.000 description 1
- 229910001080 W alloy Inorganic materials 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000002178 crystalline material Substances 0.000 description 1
- 238000006356 dehydrogenation reaction Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 238000000605 extraction Methods 0.000 description 1
- 230000002349 favourable effect Effects 0.000 description 1
- 239000012467 final product Substances 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 1
- 229910000041 hydrogen chloride Inorganic materials 0.000 description 1
- 238000005286 illumination Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000003475 lamination Methods 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 150000002815 nickel Chemical class 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 230000000630 rising effect Effects 0.000 description 1
- 239000010979 ruby Substances 0.000 description 1
- 229910001750 ruby Inorganic materials 0.000 description 1
- 229910052706 scandium Inorganic materials 0.000 description 1
- SIXSYDAISGFNSX-UHFFFAOYSA-N scandium atom Chemical compound [Sc] SIXSYDAISGFNSX-UHFFFAOYSA-N 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 239000002689 soil Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000005477 sputtering target Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000013517 stratification Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- 238000013519 translation Methods 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 238000007738 vacuum evaporation Methods 0.000 description 1
- 238000009489 vacuum treatment Methods 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 238000001947 vapour-phase growth Methods 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
- H01L21/67213—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/031—Manufacture or treatment of FETs having insulated gates [IGFET] of thin-film transistors [TFT]
- H10D30/0312—Manufacture or treatment of FETs having insulated gates [IGFET] of thin-film transistors [TFT] characterised by the gate electrodes
- H10D30/0314—Manufacture or treatment of FETs having insulated gates [IGFET] of thin-film transistors [TFT] characterised by the gate electrodes of lateral top-gate TFTs comprising only a single gate
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/031—Manufacture or treatment of FETs having insulated gates [IGFET] of thin-film transistors [TFT]
- H10D30/0321—Manufacture or treatment of FETs having insulated gates [IGFET] of thin-film transistors [TFT] comprising silicon, e.g. amorphous silicon or polysilicon
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D86/00—Integrated devices formed in or on insulating or conducting substrates, e.g. formed in silicon-on-insulator [SOI] substrates or on stainless steel or glass substrates
- H10D86/01—Manufacture or treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/268—Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Thin Film Transistor (AREA)
- Recrystallisation Techniques (AREA)
Abstract
一种多室系统,在半导体器件如半导体集成电路的制造中,提供一种高清洁度的处理。该系统包括多个真空设备(如膜形成设备、腐蚀设备、热处理设备和预备室),用于制造半导体器件。这些真空设备中至少一个是采用激光的。
Description
本发明涉及制造半导体器件如各种晶体管和集成电路的方法和设备。
近年来,采用激光来制造半导体器件的方法和设备得已开发。这些方法和设备的例子包括激光刻蚀或激光刻划,以此对薄膜进行刻蚀及刻图,激光退火,以此用激光辐照来改变膜或其表面的结晶状态,激光掺杂,以此在含杂质的环境中用激光照射来把杂质扩散进膜或其表面。
在这些使用激光的传统半导体制造方法中,首先把已由其它膜形成设备或刻蚀设备处理过的基片放置于激光处理设备之内的位置。该设备内被抽真空并且加热基片,然后用激光辐照处理基片。因此,生产率很纸。
本发明的目的是提供一种生产率得以改善的半导体器件的制造方法。
本发明的另一目的是提供一种能改善生产率的半导体器件的制造设备。
根据本发明的设备包括一个多室系统,其组成是膜形成设备(如等离子CVD设备、溅射设备、热CVD设备或真空蒸发设备),刻蚀设备,掺杂设备(如等离子掺杂设备或离子注入设备),热处理设备(如热扩散设备或热晶化设备),真空设备如预备室,以及激光处理设备(如激光刻蚀设备、激光退火设备或激光掺条设备)。新的设备在完全不使每个基片暴露于大气的条件下完成处理。在该系统中,可在非常短的时间内完成抽真空。此外,传送基片时可免受污染。
而且,也可使用红外线辐照而不是激光辐照的各种退火方法。例如,对形成于玻璃基片之上的非晶硅膜进行加热,然后用红外辐射照射来使其晶化。结果,可进一步提高结晶度。硅薄膜比玻璃基片更易于吸收红外辐射。仅使硅薄膜被加热而不会使玻璃基片加热多少。这是有利的。而且,可以认为,所获得的效果等同于1000℃以上进行热退火所产生的效果。
采用这种红外辐射照射的退火可在几分钟内完成。因此,这被称为快速热退火(RTA)。这种退火可在半导体层上形成绝缘膜之后便利地进行。在这种情形,可降低半导体层与绝缘膜之间的界面能级,以致可提高界面特性。例如,在形成用于绝缘栅场效应晶体管的有源层(在此形成了沟道形成层)之后,形成成为栅绝缘膜的氧化硅膜。接着进行快速热退火处理。按此方式,可以改善沟道与栅绝缘膜之间的界面处及其周围的特性,这对绝缘栅场效应晶体管是重要的因素。
根据本发明的另一要点的半导体处理系统包括:
至少一个处理设备,用于辐照激光或其强度与激光相同的其它光(如红外光);
至少一个采用气相淀积法的真空膜形成设备(可抽真空的室,例如等离子CVD设备、低压CVD(LPCVD)设备、大气压CVD(APCVD)设备、溅射膜形成设备(溅射设备)等)。
其中,在用于照射激光或其强度与激光相同的其它光的处理设备中,把激光或强光照射在形成于基片之上的非单晶半导体膜,例如非晶半导体膜、多晶半导体膜、微晶半导体膜。
其中,把所述基片从用于照射激光或其强度与激光相同的其它光的所述处理设备传送到另一个可抽真空的室,而不暴露于外部空气,并完成预定的处理;
在氧化气氛如氧气氛或在氮化(渗氮)气氛如氨气氛中,进行所述的激光或其强度与激光相同的其它光的照射,由此改善非单晶硅半导膜的结晶特性,进行其表面的氧化或在表面上形成氧化膜(在氧化气氛的情形)、或者进行其表面的氮化或在表面上形成氮化膜(在氮化气氛的情形)。本半导体处理系统具有把工件从光处理室传送到易抽真空的室的装置,而不使工件暴露于空气,反之亦然。
用于照射激光或其强度与激光相同的其它光的处理设备必须具有照射激光或其强度与激光相同的其它光的功能,具有引入所需气体的装置,并具有降低气压的排气装置。准分子激光器激光、各种YAG激光、红宝石激光等可用作激光。作为非相干光源而不是激光、也可使用稀有气体灯光如氙灯、氮灯或卤素灯等。从红外光到紫外光的宽范围波长可用作光源波长。为了防止基片温度升高,最好以脉冲方式进行光照射。期望的脉冲宽度为1μsec以下。
作为真空膜形成设备,等离子CVD设备、低压CVD(LPCVD)设备、大气压CVD(APCVD)设备或溅射膜形成设备(溅射设备)均可使用。
除了作为真空处理设备的上述设备之外,还可连接充有各种气氛的加热处理设备(热处理室)、离子注入设备、刻蚀室、把基片送入和送出的设备。最好这些设备中的每一个具有用于每种所需气体的气体引入系统和气体排出系统。最好这些设备与一个专门传送基片的公共传送室相连。
传送基片而不使其暴露于外部空气,从而使被处理的物体--工件(例如基片上的硅膜)在各道工序不被污染。
根据本发明的方法包括:
在氮化气氛或氧化气氛中对非单晶半导体膜照射激光或其强度与激光相同的其它光,由此使非单晶半导体表面氮化或氧化,改善所述非单晶半导体膜的结晶特性;以及
在氧化膜或氮化膜上淀积绝缘膜。
采用等离子CVD法或低压热CVD法淀积的非晶硅膜,可用作非单晶半导体膜。通过对非晶半导体膜在650℃以下退火,结晶的多晶或微晶半导体膜可供使用。为了在非单晶半导体膜上形成氮化膜、氧化膜或氮氧化膜,以及为了改善非单晶半导体膜的结晶特性,在氮化或氧化气氛中照射激光或其强度与激光相同的其它光。氧化气氛为包含充分进行氧化作用的气氛。这是包含一氧化二氮(N2O)和二氧化氮等的气氛,或者这些气体与氧等混合的气氛。因此,这种气氛不同于简单包含小量这些气体的气氛。该气氛中可包括氯气、三氯乙烯、(三氯乙烯,TCE,CHCL=CCL2),反(式)-1,2-二氯乙烯(CHCL=CHCL)。这种气体可加束氧化效果。
对具有结晶特性的硅膜照射激光或其强度与激光相同的其它光,可在硅表面上形成氧化硅薄膜。特别是在照射紫外光时,可增强化学反应并可使用良好的氧化硅膜。
在照射激光强光之后,通过采用淀积法如等离子CVD法等形成绝缘膜如氧化硅,可在形成于半导体表面之上的具有良好界面特性的氧化膜或氮化膜上,形成具有所需厚度的绝缘膜。
通过在氮化或氧化气氛中,对半导体特别是硅半导体照射激光或其强度与激光相同的其它光,可在半导体表面上形成具有良好界面态的氮化膜或氧化膜。特别是使用硅作为半导体,并对非单晶硅膜照射激光或其强度与激光相同的其它光,可在非单晶半导体膜表面上,形成具有良好界面态的氮化硅膜、氧化硅膜或氮氧化硅膜,并可改善非单晶半导体膜的结晶特性。特别是,按此方式形成的氧化硅膜具有小的静电性和低的界面态密度(Qss),这与通常在干氧中、1000至1200℃下的热氧化所得的氧化硅膜相同。因此,这种氧化硅膜作为绝缘栅型器件如TFT的栅绝缘膜是适宜的。
通过在上述的氧化膜上形成绝缘膜如氧化硅膜,可在半导体膜上形成具有足够厚度和良好界面特性的栅绝缘膜作为TFT的栅绝缘膜。亦即,在本发明中,栅绝缘膜包括至少两层,与半导体接触的薄氧化膜已由激光等照射而氧化的半导体构成。因而栅绝缘膜的界面特性是良好的。然而,从介电强度等方面考虑,对于用作栅缘膜仅此是不够的。最好栅绝缘膜这样制备,在薄氧化膜上采用汽相生长方法,形成具有所需厚度的绝缘膜。
此类淀积工艺最好全部都在确实与空气分隔的环境中进行。在激光等照射处理之后,如果半导体表面一旦暴露于空气,则表面会被污染,由激光等照射所获得的良好的氧化膜等会被损坏。因此,在由激光等照射和汽相淀积法形成绝缘膜期间,绝对不能进行使半导体表面暴露于空气的处理。所以在本发明中,需要用于在这些设备之间传送基片的专用装置。
本发明的其它目的和特征通过以下说明将可了解。
图1是本发明的多室系统的示意图;
图2是本发明的另一多室系统的示意图;
图3是本发明的又一多室系统的示意图;
图4是本发明的另一个多室系统的示意图;
图5(A)至5(F)是制造本发明半导体器件依次进行的系列操作的截面图。
图6是本发明的又一多室系统的示意图;
图7(A)至7(D)显示了实施例5的制造工序;
图8(A)至8(E)显示了实施例6的制造工序;
图9(A)至9(E)显示了实施例7的制造工序;
图10(A)至10(C)显示了传统的栅绝缘膜与本发明的栅绝缘膜之间的不同;
图11显示了本发明的多室系统。
实施例1
参看图1,这里显示了根据本发明的多室系统。该多室系统包括等离子CVD膜形成设备和激光处理设备(如激光退火设备)。在这两个设备之间形成预备室。等离子CVD设备具有室1,其上装有进气阀7和排气阀8。激光退火设备具有室2,其上装有进气阀18和排气阀19。这些阀允许所需气体进出这些室。而且,这些阀可使每个室内的气压保持在适当的值。
室1还安装有电极4和5。待处理的基片或样品置于电极5之上。频率例如为13.56MHz的RF电源与电极4连接。把足够的气体如单[甲]硅烷或乙硅烷导入室内,使电极之间感应放电。按此方式,在基片6上形成膜。如果需要,可加热基片。其中,单[甲]硅烷用作上述气体,基片保持在300℃以下,在基片上形成非晶硅膜。
由于这种非晶硅膜不具有优异的电性能,所以用激光退火使硅晶化,以此改善性能。室2安装有窗口14。由激光器11发射出的激光经反射镜12和透镜13穿过窗口14,并照射在放置于样品支架15上的基片17上。利用加热器16把基片17加热至300-500℃,最好300-400℃。对于以高再现性进行晶化的处理来说,该加热处理是必不可少的。
样品支架15在承载基片17的同时可如图中所示那样向右缓慢地移动。这样,用激光即可处理基片的整个表面。假设基片为300mm×400mm。把激光束的截面整形为2mm×350mm的矩形,即可用激光处理基片的整个表面。如果支加架移动速度为20mm/秒,处理一块基片的时间为400/20=20秒。
其上通过等离子CVD设备1形成3非晶硅膜的基片随后被传送到激光处理设备2。形成膜之后,把膜形成设备1的内部抽至足够高的真空度。而且,由9指示的预备室也抽至足够高的真空度。打开设置在膜形成室1与预备室之间的门,把基片平移进入预备室。之后关闭门。再次将反应气体引入膜形成设备之中,开始膜的生长。
此时,激光处理设备2的内部被抽至足够高的真空度。预备室9内早已保持在足够高的真空度。打开预备室与激光处理设备之间的门,然后把基片从预备室传送至激光处理设备。接着关闭门,用加热器16把样品支架15加热至适当温度。温度稳定之后,把置于激光处理设备中的基片精确对准,进行激光处理。
此时,如果激光处理设备处理一块基片(包括放置基片、对准和取出基片的操作)所需时间基本上等同于等离子CVD设备形成膜(包括放置基片和内部抽真空的操作)所需时间,则在由等离子CVD设备完成的处理与由激光处理设备进行的处理之间无需等待时间。如果由激光处理一块基片所用时间是由等离子CVD设备形成膜所用时间的一半,别可由等离子CVD设备在一次操作中在两块基片上形成膜。此情形中,膜制成后,两块基片被移至预备室。其中之一被传送至处理基片的激光处理设备中。另一块保存在预备室中。在第一块处理之后,对保存在预先准备室中的另一块进行处理。实施例2
参看图2,这里显示了本发明的又一多室系统。该系统包括等离子掺杂设备与激光处理设备(如激光退火设备)的组合。在这两个设备之间形成预备室。
等离子掺杂设备和激光退火设备分别具有室21和22。这些室被设计成能引入所需气体且能排出无用气体。而且,能使每个室内的气压保持在适当的值。
室21还装有阳极电极24和栅电极25。从高压电源23把可高达100KV的高压施加于阳极。由RF放电在栅电极周围产生等离子体并含有正离子26。这些离子26被上述高压向样品支架28加速。结果,被加速的正离子被注入置于样品支架28之上的基片或样品27。
这种离子注入使形成在基片上的结晶材料如单晶硅或结晶硅的状态改变成为非晶或几乎非晶态。结果电性能被破坏。因此,通过激光退火使基片晶化,改善性能。室22设有窗34。从激光器31发射的激光经反射镜32和透镜33穿过窗34,并照射到置于样品支架36之上的基片35上。基片可由加热器37来加热。样品支架在承载基片的同时能象图中所示那样缓慢地向右移动。结果,用激光可处理基片的整个表面。按与实施例1相同的方式,借助预备室29把由等离子掺杂设备21掺杂的基片移进激光处理设备22。
本例中,掺杂设备使用等离子源的离子注入。显然,可用离子注入机来代替掺杂设备,根据其质量来分离离子并将其注入基片。实施例3
参看图3,这里显示了本发明的又一多室系统。该系统包括等离子掺杂设备、干式腐蚀设备和激光处理设备(如激光退火设备)的组合。在这三个设备中任何相邻的两个之间都形成预备室。
等离子掺杂设备、腐蚀设备和激光退火设备分别具有室41、42和43。这些室被设计成能引入所需气体并能排出无用气体。而且,每个室内的气压可保持在适当值。
室41还安装有阳电极45和栅电极46。来自高压电源44的将可达100KV的高压施加于阳极。由RF放电在栅电极周围产生等离子体,并含有正离子47。由上述高压使这些离子47向样品支架49加速。结果,被加速的正离子如硼离子或磷离子被注入置于样品支架49之上的基片或样品48。
例如,假设在绝缘基片48上形成结晶硅层,并在结晶硅层上形成氧化硅层。而且假设形成了薄膜晶体管的栅电极。采用这种掺杂方法,把所需杂质注入氧化硅层和硅层中。由于是通过如氧化硅的材料进行掺杂的,所以这种方法适于以高生产率来制备半导体器件。
正如实施例2已说明的,这种离子注入破坏了结晶度,因而利用激光退火或其它方法来改善结晶度。杂质也被注入氧化硅。其中适于批量生产的UV准分子激光器激光被用作激光退火,例如KrF激光器发射248nm的波长,Xecl激光器发射308nm的波长,XeF激光器发射305nm的波长,由此产生了问题。特别是,纯氧化硅对波长超过200nm的UV光是透明的,但含杂质的氧化硅对这种UV光吸收量相当可观。结果,激光能量大部分被氧化硅膜吸收。这导致不能有效地利用激光能量来改善结晶度。为解决此问题,对氧化硅膜进行腐蚀,以使激光辐射能被那些需改善结晶度的膜有效地吸收。为此目的设置了腐蚀设备42。
腐蚀设备42装有电极53和54。RF电源52与电极53连接。基片55置于电极54上。例如,在四氟化碳的环境中,由来自RF电源的电能在电报之间产生放电,则基片上的氧化硅膜可被腐蚀。
激光处理设备43基本上与实施例1和2所述的激光处理设备相同。室43安装有窗61。由激光器58发射的激光经反射镜59和透镜60穿过窗61,照射在置于可动样品支架64之上的基片62上。基片可用加热器63来加热。
按与实施例1相同的方式,借助预备室50把已由等离子掺杂设备41掺杂的基片传送至腐蚀设备42。在腐蚀处理结束之后,借助预备室54把基片移进激光处理设备43。
结合图5(A)-(F),对使用这种多室系统来制备薄膜晶体管(TFT)的例子予以说明。采用溅射或等离子CVD,在Corning 7059制成的玻璃基片101上形成厚20至200nm的氧化硅膜102构成底层。然后,采用LPCVD、等离子CVD、溅射或其它类似方法淀积非晶硅作为100-200nm厚膜。在氮或真空的环境中,对叠层在550-650℃加热48小时,使非晶硅膜晶化。
把结晶硅膜刻图制成N型区103和P型区104。形成厚50至150nm的氧化硅膜105作为栅氧化膜。然后,用以下材料制成栅电极106和107,即铝、钽、铬、钨、钼、硅、其中某些的合金或者多层导电互连材料(图1(A)。
仅在N型区103上形成掩模材料108如光刻胶。用图3所示的等离子掺杂设备41注入硼离子。以20至65KeV,典型地为65KeV的加速电压对硼离子加速。剂量为6×1015原子/cm2。通过此掺杂处理形成P型区109(图5(B))。
在掺杂工艺结束之后,基片被传送至腐蚀设备42内,在此,在氧气环境中采用放电除去掩模材料108。通常,在剥离液中剥离掩模材料如光刻胶可获得高效率。但是,这要考虑到基片移进和移出真空设备,由腐蚀设备抛光对于图3所示的各室系统将给出高效率。而且,可获得高的生产量。
再把基片送回掺杂设备41,注入磷原子。以20至85KeV、典型地为80KeV的加速电压使磷原子加速。剂量为4×1015原子/cm2。利用这种掺杂工艺形成N型区110(图5(C))。
然后,把基片再传送进腐蚀设备42,把氧化硅膜105腐蚀掉。如前所述,氧化硅膜中包含大量的磷和硼。激光被氧化硅膜强烈地吸收。这使得无法有效地进行激光退火(图5(D))。
腐蚀了氧化硅膜105之后,把基片传送进激光处理设备43,进行激光退火。激光退火采用KrF激光器发射的激光辐射,脉冲宽度为20ns,重复频率为200Hz。显然,也可采用其它类型的激光。激光束的每个脉冲的能量密度为200至400mJ/cm2,最好为250至300mJ/cm2。可根据其它条件如剂量和硅膜厚度来调整该能量密度(图5(E))。
完成激光退火后,取出基片,形成层间绝缘膜111和金属互连--电极112。当然,可在图3的多室系统中增加膜形成室,用于连续形成层间绝缘膜,通过这些步骤,形成了N沟道和P沟道TFTs。
图3中,各个真空设备是串联连接的。例如,真空设备也可按图4所示并联连接,其中室71用于放入和取出基片,借助门72、74、76、78,激光处理设备73、等离子掺杂设备75和腐蚀设备77分别与公用的预备室79连接。
由机械手80把基片81-84送进预备室和其它室。该系统可按需要进行扩展。批量生产时,可提高灵活性(如可增加膜形成步骤和腐蚀步骤负载量,及用于因膜形成时间延长而引起的生产节拍调整的灵活性)。实施例4
参看图6,等离子掺杂设备具有室41。腐蚀设备具有室42。采用红外辐射的快束热退火(RTA)在室601中进行。室41通过预备室50与室42连接。室42通过预备室56与室601连接。应该注意,在图3和6中,相同的部件由相同的参考标号代表。
进行快速热退火的室601包括发射红外光的光源或灯泡602,构成光源室的室603和透射红外光的石英窗606。室601还装有进气系统(未示出),用来引入惰性气体和所需气体,并装有排气系统(未示出)。
基片604置于基片支架605上,并由用于传送基片的机械手或自动手传送进各个室。基片可以单独传送也可与基片支架一起传送。
在惰性气体如氮的环境中进行快速热退火是公知的。作为替换,也可在氨(NH3)、一氧化二氮(N2O)或氧气的环境中进行退火。
现在说明采用图6所示设备的例子。图5展示了制造TFT步骤的顺序。在此仅讨论右侧TFT的制造。此时,在有源层104上形成氧化硅膜。然后把基片传送至室601,进行快速热退火。室601内充满惰性气体,并用灯泡602发射的红外光照射。这一步骤改善了有源层103与氧化硅膜105之间的界面特性。具体地讲,可降低沟道形成区与栅绝缘膜之间界面处的界面能级。
室601内被抽真空。其片被传送至预备室56,其内部基本保持与室601内气压相同的真空度。借助保持在相同真空度的室42和预备室50,把基片传送进室41,进行等离子掺杂。进行这些传送基片的步骤时,重要的是不能使基片暴露于外部空气。
在掺杂设备的室内进行所需的离子注入步骤。然后把基片移进腐蚀设备的室42,同时保特真空度。进行干式腐蚀工艺除去暴露的氧化膜105。把基片传送进室601,进行快速热退火,激活注入的杂质。此时,无氧化膜105的存在对有效实现快速热退火是重要的。特别是,离子注入时积聚的杂质存在于氧化硅膜105之内,并且这种杂质吸收红外光。
至此所进的设备结构可由照射激光辐射的室与进行快速热退火的室的组合来代替。而且,可把所需的多个室组合起来。实施例5
参看图1,这里展示了本发明的多室系统。该多室系统包括等离子CVD膜形成设备和激光处理设备(如激光退火设备)。在这两个设备之间形成预备室。等离子CVD设备具有安装了进气阀门7和排气阀门8的室1。激光退火设备具有安装了进气阀门18的排气阀门19的室2。这些阀门允许所需气体进入和排出这些室。而且,这些阀门可使每个室内气压保持适当的值。
室1还安装有电极4和5。待处理的基片或样品6置于电极5上。频率为如13.56MHz的RF电源3与电极4连接。把足够的气体如甲硅烷或乙硅烷和氧气、一氧化二氮等导入室,使电报之间感应放电。按此方式,在基片6上形成膜。如果需要,可加热基片。
室2设有窗14。来自激光设备11的激光经反射镜12和透镜13通过窗口照射在样品支架15上的基片17上。用加热器16把基片加热至200至500℃,最好是300至400℃。对于实现具有良好的再现性的晶化来说该加热是必不可少的。
在室2中,通过激光退火改善结晶特性,来极力改善性能。这里,通过使激光退火设备的室内为氧气氛,可同时进行对非晶硅膜等的非单晶硅膜的结晶特性的改善和在表面上形成氧化膜。按同一方法,如果在氮化气氛如氨气氛中进行激光退火,则形成氮化膜。在一氧化二氮气氛中或者氧与的氨的混合气氛中,形成氮氧化膜。
在承载基片的同时,样品支架可如图所示缓慢地向右移动。以此,可由激光处理基片的整个表面。假设基片为300mm×400mm。使激光束截面整形为2mm×350mm的矩形,即可用激光处理基片的整个表面。如果支架移动速度为20mm/秒,则处理一块基片所需时间为400/20=20秒。
在基片上形成岛状的非晶硅膜或多晶硅膜,对该基片按以下顺序进行处理。首先,把预备室抽真空至10-5至1乇。另一方面,把激光处理设备的室2也抽真空至相同水平。把预备室与激光处理设备之间的门打开,把基片从预备室传送至激光处理设备。传送之后,关闭该门,向室2引入适当压强的气体。用加热器16把样品支架15加热至适当温度。当温度稳定后,并且基片在激光处理设备中的精确对准完成之后,进行激光退火。利用激光照射,使硅的结晶特性得以改善。这里,气压为1至1000乇,氧或氧化氮(例如一氧化二氮(N2O)、二氧化氮(NO2)等)的分压为10%以上。结果,在激光照射的同时,在硅膜表面上形成氧化硅薄膜。
然后,把室2抽真空,使其压强为10-5至1乇。再把基片放于预备室9,并传送至真空度与预备室相同的等离子CVD淀积室1。在此淀积工艺期间,可在室1中进行其它基片的处理。淀积完成后,淀积设备1的内部被抽真空至10-5至1乇。把淀积室1与预备室之间的门打开,将基片传送至真空度相同的预备室。然后使预备室压强与大气压相同,并把基片取到空气中。
如果在激光设备中对基片的上述处理所用的时间,例如对基片进行放置、对准和取出所用的时间与在等离子CVD设备中做上述淀积、包括基片的放置和抽真空所用的时间大致相同,则可无需等待时间由激光处理设备至等离子CVD设备对基片进行处理。如果激光处理基片的时间是等离CVD淀积时间的一半,则可同时在等离子CVD中淀积两块基片。这时,首先把两块基片存在预备室。把这两块基片之一传送至激光处理设备进行处理。另一块留在预备室内。第一块基片处理后,再对存在预备室的另一块进行处理。两块基片都处理之后,把它传送至等离子CVD设备同时淀积。
将对采用该设备形成TFT的工艺做概括地说明。工艺示于图7。
首先,在Corning 7059等的玻璃基片401上形成氧化硅底膜402。氧化硅膜402的优选厚度为1000至5000,以便防止来自玻璃基片401的离子污染。其适宜的厚度为2000。
之后,淀积非晶硅膜。其厚度最好为300至1000。这里选为500。通过400至500℃、例如450℃的退火,从膜中除去氢。将该非晶硅膜加工成岛形,制成岛形区403。利用图1中设备的激光处理设备2,对如此处理的基片照射激光,把岛形的非晶硅膜403转变为结晶硅膜。
使用KrF准分子激光作为激光。激光照射的条件为,能量密度是350至450mJ/cm2,每一位置照射2至20次,基片温度为200至400℃。激光照射气氛为1个气压的氧/氩气氛。氧分压为20%。
作为该激光照射的结果,在岛形区403的表面上形成厚几+的氧化硅薄膜404(图7(A))。
然后,把基片传送至图1的等离子CVD设备。在这里,形成氧化硅膜。在把基片从激光处理设备传送至等离子CVD淀积设备期间,基片绝不会接触象水等的大气成分。
在等离子CVD设备1中,由硅烷和一氧化二氮形成1000至1500、例如1200的氧化硅膜405。最好在材料气体中混合少量的三氯乙烯或氯化氢,因为硅膜中可移动的离子也被除去了。该氧化硅膜405还起到TFT的栅绝缘膜的作用(图7(B))。
随后,由以下材料形成栅电极406和408,即铝、钽、铬、钨、钼、硅、或这些金属的合金或者多层连接的材料等。通过在电解液中对栅电极施以电流,在栅电极的表面及其周围形成阳极氧化膜407和409。阳极氧化膜的厚度为1000至2500。该阳极氧化膜可降低由后续的离子掺杂、激光退火或淀积层间绝缘体的处理所引起的对栅电极的损害。
采用公知的离子掺杂方法和互补MOS(CM05)技术,形成P型区410、412和N型区413、415。结果,形成P沟道TFT(PTFT)的沟道区411和N沟道TFT(NTFT)的沟道区414。利用激光照射,使那些被离子掺杂损害的区的结晶特性好转。此激光照射也是由图1的激光处理设备完成的。此时,激光能量不必象图7(A)的工艺所用的那么强。作为激光照射的条件,基片温度为室温,激光能量密度为250至350mJ/cm2。其它条件与图7(A)的工艺相同(图7(C))。
之后,把基片传送至图1(A)的等离子CVD设备。形成氧化硅膜416作为层间绝缘体。氧化硅膜的厚度为3000至8000,例如5000。
随后,在岛形区开接触孔,并淀积3000至8000。例如5000的铝膜。通过刻蚀,形成布线--电极417、418和419。通过在铝与岛形区之间设置厚500至1500、例如1000的氮化钛膜,可获得良好的接触特性(图7(D))。
本例形成的TFT具有良好的性能。例如,可稳定地获得的场效应迁移率,对于NTFT为200至300cm2/Vs,对于PTFT为100至250cm2/Vs。实施例6
参看图3,这里显示了本发明的另一多室系统。该系统包括等离子掺杂设备(或称屡离子掺杂设备)、等离子CVD设备(也是干式腐蚀设备)和激光处理设备(如激光退火设备)的组合。在这三个设备中的任何相邻的两个之间形成预备室。
等离子掺杂设备、腐蚀设备和激光退火设备分别有室41、42和43。这些室被设计成能引入所需气体并能排出无用气体。而且,每个室内气压可保持在适当的值。
室41还装有阳极电极45和栅电极46。由高压电源44把可高达100KV的高压施加于阳极。通过RF放电可在栅电极周围产生等离子体并含有正离子47。由上述高压把这些离子47向样品支架49加速。结果,把加速的正离子如硼离子、磷离子、氢离子等注入位于样品支架49之上的基片或样品48。
例如,假定在绝缘基片48上形成结晶硅层,并在结晶硅层上形成氧化硅层。而且,假设形成了薄膜晶体管的栅电极。采用该掺杂方法,把所需杂质注入氧化硅层和硅层。这种方法称为穿透掺杂,适于高生产量地形成半导体器件,因为是通过如氧化硅的材料来进行掺杂的。
等离子CVD设备也是腐蚀设备42装有电极53和54。RF电源52与电极53连接。基片55置于电极54上。作为一个例子,如果在四氟化碳的环境中,由来自RF电源的电能在电极之间产生放电,则可腐蚀基片上的氧化硅膜。如果在氧气环境中产生RF放电,别有机物质如光刻胶被氧化--除去,并进行抛光。如果在单[甲]硅烷和氧气的环境中产生RF放电,则可淀积氧化硅膜。
激光处理设备43与实施例5所述的激光处理设备基本相同。室43安装有窗口61。由激光器58发射的激光经反射镜59和透镜60穿过窗口61,并照射在置于可动样品支架64之上的基片62上。可用加热器63加热基片。作为所用的激光,适用于批量生产的紫外光的准分子激光器激光,例如,KrF激光(波长248nm)、Xecl激光(308nm)或XeF激光(350nm)是期望的。备用室50和56设置于等离子掺杂设备41、等离子CVD设备42和激光处理室43之间。
由于该系统有多个室,所以可进行各种处理。例如,首先,在等离子掺杂处理设备41中,把适量的氢离子注入形成基片上的非晶或多晶硅岛形膜。接着,在激光处理设备43中,在氧气或一氧化二氮的气氛中,照射激光。改善岛形硅区的结晶特性,在其表面上形成氧化硅薄膜。之后,将基片传送至等离子CVD设备42,淀积作为栅绝缘膜的绝缘膜如氧化硅。氢离子的注入工艺是可省略的。
该设备也可用于包括源/漏的掺杂工艺的处理。首先,用光刻胶涂覆基片。在等离子掺杂设备41中,仅在待形成P型(或N型)TFT的区掺杂P型(或N型)杂质。之后,把基片传送至等离子CVD设备42。通过在氧气氛中进行RF放电,即通过抛光除去光刻胶。结果,暴露出基片的整个表面。
再把基片返回等离子掺杂设备41,掺入N型(或P型)杂质。在此掺杂中,N型(或P型)杂质掺入在先前的掺杂工艺中用光刻胶覆盖的区。该区成为N型(或P型)。另一方面,N型(或P型)杂质也掺入在先前的工艺中已掺入P型杂质的区。通过使第一次掺杂的剂量比后面掺杂降低,可使该区保持为P型(成N型)。
之后,把基片传送至激光处理设备43,并用激光退火,以使己掺杂的杂质激活,如同实施例5。
参看图8,说明采用这种多室系统制造薄膜晶体管(TFT)的例子。采用溅射或等离子CVD,在由Corning 7059制成的玻璃基片201上,形成厚200至2000的氧化硅膜202构成底层。然后,采用LPCVD、等离子CVD、溅射或其它类似方法,淀积非晶硅作为300至1000厚膜。在氮气环境或真空中把叠层加热至550-650℃持续4至48小时,使非晶硅膜晶化。这里,如果在膜中混入少量的镍,则可降低晶化温度,并且可缩短晶化时间。
对结晶硅膜进行刻图,形成岛区203。之后,把基片放入图3所示的设备。利用激光处理设备照射激光,岛形硅膜203的结晶特性得以改善。与实施例5不同,岛形硅区中的晶化已进展至一定程度。但晶界仍有大量的微小的非晶单元。 对此非晶单元进行彻底晶化,可显著改善TFT的性能。
采用KrF准分子激光器激光。作为激光照射条件,能量密度为350至450mJ/cm2,每一位置的照射次数为2至20,基片温度为200至400℃。在一个气压的一氧化二氮气氛中进行激光照射。
作为这种激光照射的结果,在岛形区203的表面上形成厚几十的氧化硅薄膜204。之后,把基片传送至图3系统中的等离子CVD设备42。在那里淀积厚1000至1500、例如1200的氧化硅膜205(图8(B))。
随后,用含0.1至0.3重量%钪的铝制成栅电极206和208。在电解液中对栅电极通以电流,在栅电极表面及其周围形成阳极氧化膜207和209。阳极氧化膜的厚度为1000至2500。
用光刻胶掩蔽图8中岛形区203的右部,将其置于图3的设备中。由等离子掺杂设备41掺入0.5至5×1015/cm2的硼。结果,在岛形区203的左部形成P型区210和212,还形成了PTFT的沟道区211。接着,把基片传送至等离子CVD设备42。通过氧等离子抛光除去光刻胶。再把基片传送至等离子掺杂设备41,掺杂磷。期望的掺杂剂量为0.1至2×1015/cm2,并且要小于先前硼的掺杂量。按此方法,制NTFT的N型区213、215和沟道区214(图8(C))。
把基片传送至激光处理设备43,对掺入的杂质进行激活。作为激光退火条件,基片温度为室温,光能量密度为250至350mJ/cm2(图8(D))。
把基片传送至图3的等离子CVD设备42,形成氧化硅膜216作为层间绝缘体。氧化硅膜的厚度为3000至8000,例如5000。之后,从图3的设备中取出基片。在岛形区开了接触孔后,淀积厚1000的氮化钛膜,再淀积厚3000至8000、例如5000的铝膜。对其进行刻蚀,形成布浅--电极217、218和219。按此方法,制成CMOS型TFT电路(图8(E))。实施例7
图4给出本发明的具有多室结构的系统的示意图。图4系统的详细图见图11。
图3系统包括一字式连接的三个设备的多室,本例的设备是星形的多室。亦即,本例具有室71,包括装载--卸载机71;室73,包括用于对基片进行各种加热处理(如在氢气氛中退火)的加热室;室75,包括用于照射激光的激光处理室;室77,包括通过溅射法淀积氧化硅膜的淀积室;以及公用预备室(传送室)79。预备室与各室之间由门72、74、76和78相互连接。利用设置在公用预备室79的机械手80,如图4中81至84所示,使基片在各室之间移动。
装载--卸载机可采用盒对盒(C对C)方法。在C对C法中,当多个基片置于装载--卸载装置的盒中时,由机械手把基片一个接一个地自动传送,并且已完成处理的基片被自动送回盒。
以下说明采用图4系统形成TFT(薄膜晶体管)的例子。结合图9说明此例。首先,玻璃基片301采用Corning 7059基片。在620至660℃下对此基片退火1至4小时之后,以0.1至1.0℃/分,最好是0.1至0.3℃/分逐渐冷却该基片。当温度降至450至590℃时取出基片。
在基片上形成底膜302,并采用等离子CVD法形成300至800厚的非晶硅膜303。利用厚1000的氧化硅掩模304,在305所指的区上通过溅射形成厚20至50的镍膜。该镍膜可能不是连续的膜。不仅可用溅射法,而且可用旋涂法。这里,添加的镍具有帮助晶化的作用。
随后,在氮气氛中,在500至620℃,例如550℃下进行8小时热退火。使硅膜303晶化。晶化开始于镍膜与硅膜接触的区305。晶体生长平行于基片进行,如图中箭头所示(图9(A))。
通过对硅膜303刻蚀,形成岛形的有源层区306和307。这里,在已直接引入镍的区和晶体生长端的区内,镍以高浓度存在。已注意到,这些区中的镍浓度比其它晶化区要高出近一个数量级。因而,本例中,要避开这些高浓度镍的区来形成有源层区306和307,把具有高浓度镍的区除去。在有少量镍的区内形成TFT的有源层。本例中有源层区中的镍浓度大约是1017至1019cm-3。
将按此方法处理的基片从装载--卸载机71放入图4所示的系统。把基片放入装载--卸载机71之后,所有室都抽真空。打开门72,用机械臂把基片传送至公用预备(传送室)79。接着,关闭门72,打开门74,把基片传送至热处理室73。气氛为氢气或氮气,基片加热至200至400℃。
然后打开门74和门76,把基片传送至激光处理室75。关闭门76,使激光处理室75成为常压下的氧气氛。尽管这里采用氧气氛,但也可采用氧化气氛。
激光照射条件与实施例6相同。在有源层306和307的表面上,获得厚50至150的氧化硅膜308。由先前热退火而晶化的区内硅膜的结晶特性得到进一步改善(图9(B))。
按此方法,在照射激光前在热处理室内预加热基片,可节省从基片放入激光处理室到基片温度升高的时间。
完成激光照射后,排出激光处理室75中的气体,使其成高真空状态。打开门76,用机械手把基片传送至公用预备室79。关闭门76。打开门74,把基片传送至热处理室73。
完成该传送后,关闭门74。使热处理室73成常压下的氢气氛。在热处理室73中、在350℃下进行30分钟的氢气热处理。
在此氢气热处理中,存在于氧化膜308与有源层306、307之间的界面处以及接近界面处的悬空键被中和。因此可降低态并且可实现非常期望的界面特性。
完成成热处理后,使热处理室73成为高真空态。打开门74,用机械手80把基片传送至公用预备室79。完成传送之后关闭门74,再打开门78,把基片传送至淀积室77。完成传送之后,关闭门78。在淀积室77内用溅射法淀积氧化硅膜309。溅射靶为高纯人造石英。淀积的氧化硅膜309厚1000。该氧化硅膜已与其下面的氧化膜308成为一体,可自由地控制膜厚,并且在与基底的界面特性上也是突出的。因而,可淀积包含TFT的栅绝缘膜的氧化硅膜309。也可形成氮化硅膜来代替氧化硅膜309。
在淀积工艺期间,按此方式形成的栅绝缘膜不会暴露于空气。因此,界面表面不会被污染,并且可获得适当的界面特性。特别是在氧气气氛或氧化气氛由激光照射形成的氧化膜308,在与构成有源层306、307的硅膜的界面特性是突出的,并具有结晶特性。可获得具有低界面浓度的TFT的极好的栅绝缘膜。
在淀积室77中淀积氧化硅膜309后,在热处理室73中进行进一步的氢气热退火。
在淀积室77中淀积氧化硅膜309之后,使淀积室77成为高真空态。打开门78、把基片传送至公用预备室79。关闭门78。接着,打开门72,把基片传送至装载--卸载机71。关闭门72,把基片从装载--卸载机71取出于系统。
采用溅射方法,淀积厚5000的主要含铝的膜。通过象实施例6那样刻蚀的和阳极氧化,形成栅电极部分310和311。
象实施例5和6那样,注入磷和硼,以自对准方式形成TFT的源和漏区。
掺杂磷和硼之后,用激光或其强度与激光相同的其它光照射,以便激活源和漏区。采用等离子CVD方法,形成大约厚5000A的氧化硅膜312作为层间绝缘体。在氧化硅膜312中开孔之后,形成源和漏电极--布线313、314和315。在氢气氛中、350℃下完成氢气热处理,制成CMOS型TFT。
当以在氮化气氛中代替氧化气氛施加激光时,则获得氮化硅膜308。
在本发明中,激光处理设备与相关的真空设备如膜形成设备、腐蚀设备和掺杂设备组合以便组成系统。该系统能有效地提供改进的生产率。特别地,在氧气氛或氧化气氛中,用激光照射非晶或多晶膜,可以改善膜的结晶特性,同时可在其表面上形成氧化膜。之后,通过淀积绝缘膜如氧化硅而不暴露于外部空气,可在具有结晶特性的硅膜上形成在界面态优异的栅绝缘膜。
另一方面,可以防止栅电极的台阶部位与岛形区电短路。亦即,如图10(A)所示,在通常的TFT工艺中,当形成岛形区时,采用过腐蚀在硅膜边缘上造成空隙。特别是基底的氧化硅膜是软的情形(腐蚀率是大的),这是显著的。在用已有的PVD法或CVD法形成栅绝缘膜的情形下,由于这些空隙不能良好地掩盖,所以由裂缝等发生短路,产生峰值电流(图10(B))。
然而,在本发明中,围绕硅膜形成微细的氧化膜或氮化膜,该膜具有均匀的厚度且无针孔等,这是由于照射激光或其强度与激光相同的基它光的结果。即使产生上述裂缝,实用中也不会有什么问题,在栅电极与岛形区之间不会发生短路。
Claims (12)
1.一种制造半导体器件的方法,包括下列步骤:
在基片上形成半导体膜;
用光照射半导体膜以便使半导体膜晶体化;
在光照射后,在半导体膜上形成由氧化硅和氮化硅中选出的一种材料构成的绝缘膜;
其特征在于:所述半导体膜在氧化气氛中用光照射,从而半导体膜的表面通过照射而氧化。
2.根据权利要求1所述的方法,其特征在于,半导体膜包括非晶硅。
3.根据权利要求1所述的方法,其特征在于,半导体膜包括多晶硅。
4.根据权利要求1所述的方法,其特征在于,绝缘膜是在所述光照射之后,不使半导体膜暴露于空气中而形成的。
5.根据权利要求1所述的方法,其特征在于,所述光为激光。
6.根据权利要求1所述的方法,其特征在于,在形成绝缘膜之前,在氢气氛中使半导体膜退火。
7.一种制造半导体器件的方法,包括下列步骤:
在基片上形成半导体膜;
用光照射半导体膜以便使半导体膜晶体化;
在光照射后,在半导体膜上形成由氧化硅和氮化硅中选出的一种材料构成的绝缘膜;
其特征在于,所述半导体膜在氮气氛中用光照射,半导体的膜的表面通过照射而氮化。
8.根据权利要求7所述的方法,其特征在于,所述半导体膜包括非晶硅。
9.根据权利要求7所述的方法,其特征在于,所述半导体膜包括多晶硅。
10.根据权利要求7所述的方法,其特征在于,所述绝缘膜的形成是在光照射之后,不使半导体膜暴露于空气中而进行的。
11.根据权利要求7所述的方法,其特征在于,所述光是激光。
12.根据权利要求7所述的方法,其特征在于,进一步包括在绝缘膜形成之前,在氢气氛中使半导体膜退火的步骤。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP301172/93 | 1993-11-05 | ||
JP30117293A JP3165304B2 (ja) | 1992-12-04 | 1993-11-05 | 半導体装置の作製方法及び半導体処理装置 |
JP100642/94 | 1994-04-13 | ||
JP10064294A JP3165324B2 (ja) | 1994-04-13 | 1994-04-13 | 半導体装置の作製方法 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB981060641A Division CN100367461C (zh) | 1993-11-05 | 1994-07-02 | 一种制造薄膜晶体管和电子器件的方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1104371A CN1104371A (zh) | 1995-06-28 |
CN1052566C true CN1052566C (zh) | 2000-05-17 |
Family
ID=26441632
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN94115974A Expired - Lifetime CN1052566C (zh) | 1993-11-05 | 1994-07-02 | 制造半导体器件的方法 |
CNB981060641A Expired - Fee Related CN100367461C (zh) | 1993-11-05 | 1994-07-02 | 一种制造薄膜晶体管和电子器件的方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB981060641A Expired - Fee Related CN100367461C (zh) | 1993-11-05 | 1994-07-02 | 一种制造薄膜晶体管和电子器件的方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US6329229B1 (zh) |
KR (1) | KR100230485B1 (zh) |
CN (2) | CN1052566C (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN100375230C (zh) * | 2003-06-02 | 2008-03-12 | 周星工程股份有限公司 | 用于群集系统的传送室 |
Families Citing this family (48)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7097712B1 (en) | 1992-12-04 | 2006-08-29 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus for processing a semiconductor |
US6897100B2 (en) | 1993-11-05 | 2005-05-24 | Semiconductor Energy Laboratory Co., Ltd. | Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device |
US6300176B1 (en) * | 1994-07-22 | 2001-10-09 | Semiconductor Energy Laboratory Co., Ltd. | Laser processing method |
TW345705B (en) * | 1994-07-28 | 1998-11-21 | Handotai Energy Kenkyusho Kk | Laser processing method |
JP3469337B2 (ja) | 1994-12-16 | 2003-11-25 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
US6933182B1 (en) * | 1995-04-20 | 2005-08-23 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device and manufacturing system thereof |
US6790714B2 (en) * | 1995-07-03 | 2004-09-14 | Sanyo Electric Co., Ltd. | Semiconductor device, display device and method of fabricating the same |
KR100581154B1 (ko) * | 1996-05-15 | 2006-10-19 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 이온도핑장치및도핑방법 |
US7118996B1 (en) | 1996-05-15 | 2006-10-10 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus and method for doping |
US6246070B1 (en) * | 1998-08-21 | 2001-06-12 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device provided with semiconductor circuit made of semiconductor element and method of fabricating the same |
KR100394068B1 (ko) * | 1998-11-06 | 2003-09-19 | 엘지.필립스 엘시디 주식회사 | 불순물 도핑장치 |
JP2000208775A (ja) * | 1999-01-18 | 2000-07-28 | Furontekku:Kk | 半導体装置とその製造方法 |
US7245018B1 (en) * | 1999-06-22 | 2007-07-17 | Semiconductor Energy Laboratory Co., Ltd. | Wiring material, semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof |
US6863733B1 (en) * | 1999-07-15 | 2005-03-08 | Nec Corporation | Apparatus for fabricating thin-film semiconductor device |
TW495995B (en) * | 2000-04-04 | 2002-07-21 | Matsushita Electric Ind Co Ltd | Method and apparatus for producing thin film, and thin film transistor and method of producing same |
WO2001078045A1 (fr) * | 2000-04-11 | 2001-10-18 | Sony Corporation | Procede de fabrication d'un ecran plat |
JP4588167B2 (ja) * | 2000-05-12 | 2010-11-24 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
TWI256976B (en) * | 2000-08-04 | 2006-06-21 | Hannstar Display Corp | Method of patterning an ITO layer |
JP4663139B2 (ja) | 2001-02-16 | 2011-03-30 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
US6692999B2 (en) * | 2001-06-26 | 2004-02-17 | Fujitsu Limited | Polysilicon film forming method |
US6559411B2 (en) * | 2001-08-10 | 2003-05-06 | First Solar, Llc | Method and apparatus for laser scribing glass sheet substrate coatings |
JP3842159B2 (ja) * | 2002-03-26 | 2006-11-08 | 株式会社半導体エネルギー研究所 | ドーピング装置 |
TWI288443B (en) * | 2002-05-17 | 2007-10-11 | Semiconductor Energy Lab | SiN film, semiconductor device, and the manufacturing method thereof |
TWI336905B (en) * | 2002-05-17 | 2011-02-01 | Semiconductor Energy Lab | Evaporation method, evaporation device and method of fabricating light emitting device |
US20040035360A1 (en) * | 2002-05-17 | 2004-02-26 | Semiconductor Energy Laboratory Co., Ltd. | Manufacturing apparatus |
JP2004071696A (ja) * | 2002-08-02 | 2004-03-04 | Semiconductor Energy Lab Co Ltd | 半導体装置及びその作製方法 |
US7605023B2 (en) * | 2002-08-29 | 2009-10-20 | Semiconductor Energy Laboratory Co., Ltd. | Manufacturing method for a semiconductor device and heat treatment method therefor |
US6759284B2 (en) * | 2002-09-06 | 2004-07-06 | Industrial Technology Research Institute | Method for polysilicon crystallization by simultaneous laser and rapid thermal annealing |
JP4627961B2 (ja) * | 2002-09-20 | 2011-02-09 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
TW575926B (en) * | 2002-11-28 | 2004-02-11 | Au Optronics Corp | Method of forming polysilicon layer and manufacturing method of polysilicon thin film transistor using the same |
JP3929939B2 (ja) * | 2003-06-25 | 2007-06-13 | 株式会社東芝 | 処理装置、製造装置、処理方法及び電子装置の製造方法 |
KR100816258B1 (ko) * | 2003-12-11 | 2008-03-21 | 동부일렉트로닉스 주식회사 | 물리 증착법 챔버의 할로겐 램프 장착구조 |
WO2006017510A2 (en) * | 2004-08-02 | 2006-02-16 | J.P. Sercel Associates, Inc. | System and method for laser machining |
DE102004058521A1 (de) * | 2004-12-04 | 2006-06-14 | Aixtron Ag | Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter |
KR101133090B1 (ko) * | 2005-03-30 | 2012-04-04 | 파나소닉 주식회사 | 불순물 도입 장치 및 불순물 도입 방법 |
US20080197493A1 (en) * | 2007-02-16 | 2008-08-21 | Stefan Geyer | Integrated circuit including conductive bumps |
JP2010192467A (ja) * | 2007-06-28 | 2010-09-02 | Tokyo Electron Ltd | 被処理体の成膜方法及び処理システム |
US8314009B2 (en) * | 2007-09-14 | 2012-11-20 | Semiconductor Energy Laboratory Co., Ltd. | Method for manufacturing SOI substrate and method for manufacturing semiconductor device |
JP5658928B2 (ja) * | 2010-07-02 | 2015-01-28 | 株式会社Ihi | 多室型熱処理装置 |
KR101160641B1 (ko) * | 2010-07-05 | 2012-06-28 | (유)에스엔티 | 태양전지의 선택적 에미터 형성방법 및 장치 |
CN102623311A (zh) * | 2011-01-31 | 2012-08-01 | 北京泰龙电子技术有限公司 | 一种制备金属氮化物阻挡层的装置及其使用方法 |
CN102201493A (zh) * | 2011-04-02 | 2011-09-28 | 周明 | 一种高速精密晶硅激光刻蚀的装备和工艺方法 |
KR101358865B1 (ko) | 2011-12-14 | 2014-02-06 | 주성엔지니어링(주) | 모니터링 장치 및 이를 이용한 모니터링 방법 |
KR101919122B1 (ko) * | 2014-08-12 | 2018-11-15 | 주식회사 제우스 | 공정 분리형 기판 처리장치 및 처리방법 |
KR102298008B1 (ko) * | 2015-02-09 | 2021-09-06 | 삼성디스플레이 주식회사 | 레이저빔 어닐링 장치 및 이를 이용한 디스플레이 장치 제조방법 |
KR101782367B1 (ko) * | 2016-01-20 | 2017-09-28 | 삼성디스플레이 주식회사 | 하향식 기판 에칭장치 |
JP7003905B2 (ja) * | 2018-12-27 | 2022-01-21 | 株式会社Sumco | 気相成長装置 |
CN110047781B (zh) * | 2019-03-14 | 2021-08-24 | 云谷(固安)科技有限公司 | 激光退火设备及激光退火方法 |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5194398A (en) * | 1989-06-28 | 1993-03-16 | Mitsui Toatsu Chemicals, Inc. | Semiconductor film and process for its production |
Family Cites Families (126)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
UST954009I4 (en) | 1973-03-24 | 1977-01-04 | International Business Machines Corporation | Method for the thermal oxidation of silicon with added chlorine |
JPS5326584A (en) | 1976-08-25 | 1978-03-11 | Hitachi Ltd | Prod uction of mis semiconductor device |
FR2394173A1 (fr) | 1977-06-06 | 1979-01-05 | Thomson Csf | Procede de fabrication de dispositifs electroniques qui comportent une couche mince de silicium amorphe et dispositif electronique obtenu par un tel procede |
US4151007A (en) * | 1977-10-11 | 1979-04-24 | Bell Telephone Laboratories, Incorporated | Hydrogen annealing process for stabilizing metal-oxide-semiconductor structures |
US4113514A (en) * | 1978-01-16 | 1978-09-12 | Rca Corporation | Method of passivating a semiconductor device by treatment with atomic hydrogen |
US4226898A (en) | 1978-03-16 | 1980-10-07 | Energy Conversion Devices, Inc. | Amorphous semiconductors equivalent to crystalline semiconductors produced by a glow discharge process |
DE2932569C2 (de) * | 1979-08-10 | 1983-04-07 | Siemens Ag, 1000 Berlin Und 8000 Muenchen | Verfahren zur Reduzierung der Dichte der schnellen Oberflächenzustände bei MOS-Bauelementen |
JPS6029295B2 (ja) | 1979-08-16 | 1985-07-10 | 舜平 山崎 | 非単結晶被膜形成法 |
JPS5662328A (en) | 1979-10-26 | 1981-05-28 | Agency Of Ind Science & Technol | Manufacturing of insulation membrane and insulation membrane-semiconductor interface |
NL8006339A (nl) | 1979-11-21 | 1981-06-16 | Hitachi Ltd | Halfgeleiderinrichting en werkwijze voor de vervaar- diging daarvan. |
US4370175A (en) | 1979-12-03 | 1983-01-25 | Bernard B. Katz | Method of annealing implanted semiconductors by lasers |
JPS56108231A (en) | 1980-02-01 | 1981-08-27 | Ushio Inc | Annealing method of semiconductor wafer |
US5091334A (en) | 1980-03-03 | 1992-02-25 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device |
US4322253A (en) | 1980-04-30 | 1982-03-30 | Rca Corporation | Method of making selective crystalline silicon regions containing entrapped hydrogen by laser treatment |
US4313783A (en) | 1980-05-19 | 1982-02-02 | Branson International Plasma Corporation | Computer controlled system for processing semiconductor wafers |
JPS56165371A (en) * | 1980-05-26 | 1981-12-18 | Shunpei Yamazaki | Semiconductor device |
US4405435A (en) | 1980-08-27 | 1983-09-20 | Hitachi, Ltd. | Apparatus for performing continuous treatment in vacuum |
FR2498813A1 (fr) | 1981-01-27 | 1982-07-30 | Instruments Sa | Installation de traitement de materiaux pour la production de semi-conducteurs |
JPS57194518A (en) | 1981-05-27 | 1982-11-30 | Toshiba Corp | Manufacture of polycrystalline silicon |
US4402762A (en) | 1981-06-02 | 1983-09-06 | John Puthenveetil K | Method of making highly stable modified amorphous silicon and germanium films |
NL188550C (nl) | 1981-07-02 | 1992-07-16 | Suwa Seikosha Kk | Werkwijze voor het vervaardigen van een halfgeleidersubstraat. |
JPS5821319A (ja) | 1981-07-30 | 1983-02-08 | Fujitsu Ltd | レ−ザアニ−ル方法 |
US4388145A (en) | 1981-10-29 | 1983-06-14 | Xerox Corporation | Laser annealing for growth of single crystal semiconductor areas |
US4475027A (en) | 1981-11-17 | 1984-10-02 | Allied Corporation | Optical beam homogenizer |
US4557036A (en) | 1982-03-31 | 1985-12-10 | Nippon Telegraph & Telephone Public Corp. | Semiconductor device and process for manufacturing the same |
JPS58204527A (ja) | 1982-05-24 | 1983-11-29 | Semiconductor Energy Lab Co Ltd | 繊維構造を有する半導体およびその作製方法 |
US4582720A (en) | 1982-09-20 | 1986-04-15 | Semiconductor Energy Laboratory Co., Ltd. | Method and apparatus for forming non-single-crystal layer |
JPS59132674A (ja) * | 1983-01-19 | 1984-07-30 | Seiko Epson Corp | 半導体装置の製造方法 |
US4592799A (en) | 1983-05-09 | 1986-06-03 | Sony Corporation | Method of recrystallizing a polycrystalline, amorphous or small grain material |
JPS59208743A (ja) | 1983-05-13 | 1984-11-27 | Oki Electric Ind Co Ltd | 半導体装置の製造方法 |
JPS59222922A (ja) | 1983-06-01 | 1984-12-14 | Nippon Telegr & Teleph Corp <Ntt> | 気相成長装置 |
JPS6042817A (ja) | 1983-08-19 | 1985-03-07 | Mitsui Toatsu Chem Inc | 水素化アモルフアスシリコン膜の価電子制御方法 |
JPS6066471A (ja) | 1983-09-21 | 1985-04-16 | Seiko Epson Corp | 薄膜トランジスタの製造方法 |
GB8332394D0 (en) | 1983-12-05 | 1984-01-11 | Pilkington Brothers Plc | Coating apparatus |
US4523370A (en) | 1983-12-05 | 1985-06-18 | Ncr Corporation | Process for fabricating a bipolar transistor with a thin base and an abrupt base-collector junction |
US5259881A (en) | 1991-05-17 | 1993-11-09 | Materials Research Corporation | Wafer processing cluster tool batch preheating and degassing apparatus |
US4698486A (en) | 1984-02-28 | 1987-10-06 | Tamarack Scientific Co., Inc. | Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc. |
US4727044A (en) * | 1984-05-18 | 1988-02-23 | Semiconductor Energy Laboratory Co., Ltd. | Method of making a thin film transistor with laser recrystallized source and drain |
US4595601A (en) | 1984-05-25 | 1986-06-17 | Kabushiki Kaisha Toshiba | Method of selectively forming an insulation layer |
US4640223A (en) | 1984-07-24 | 1987-02-03 | Dozier Alfred R | Chemical vapor deposition reactor |
JPS61132959A (ja) | 1984-12-03 | 1986-06-20 | Fujitsu Ltd | フラツシユ定着方法 |
US4590091A (en) | 1984-12-17 | 1986-05-20 | Hughes Aircraft Company | Photochemical process for substrate surface preparation |
JPS61196515A (ja) | 1985-02-26 | 1986-08-30 | Mitsubishi Electric Corp | 帯域溶融型半導体製造装置 |
EP0211634B1 (en) | 1985-08-02 | 1994-03-23 | Sel Semiconductor Energy Laboratory Co., Ltd. | Method and apparatus for manufacturing semiconductor devices |
JPS6254448A (ja) | 1985-08-02 | 1987-03-10 | Semiconductor Energy Lab Co Ltd | 半導体装置測定方法 |
US5171710A (en) | 1985-08-02 | 1992-12-15 | Semiconductor Energy Laboratory Co., Ltd. | Method for photo annealing non-single crystalline semiconductor films |
US5296405A (en) | 1985-08-02 | 1994-03-22 | Semiconductor Energy Laboratory Co.., Ltd. | Method for photo annealing non-single crystalline semiconductor films |
JPS6254423A (ja) | 1985-08-23 | 1987-03-10 | Semiconductor Energy Lab Co Ltd | 半導体装置作製方法 |
US5753542A (en) | 1985-08-02 | 1998-05-19 | Semiconductor Energy Laboratory Co., Ltd. | Method for crystallizing semiconductor material without exposing it to air |
US4719123A (en) | 1985-08-05 | 1988-01-12 | Sanyo Electric Co., Ltd. | Method for fabricating periodically multilayered film |
US4675978A (en) * | 1985-09-09 | 1987-06-30 | Rca Corporation | Method for fabricating a radiation hardened oxide having two portions |
US4663829A (en) * | 1985-10-11 | 1987-05-12 | Energy Conversion Devices, Inc. | Process and apparatus for continuous production of lightweight arrays of photovoltaic cells |
JPH0810668B2 (ja) | 1985-10-31 | 1996-01-31 | 旭硝子株式会社 | 多結晶シリコン膜の製造方法 |
KR910003169B1 (ko) * | 1985-11-12 | 1991-05-20 | 가부시끼가이샤 한도다이 에네르기 겐뀨소 | 반도체 장치 제조 방법 및 장치 |
JPS62271418A (ja) * | 1986-05-20 | 1987-11-25 | Matsushita Electric Ind Co Ltd | 非晶質シリコン半導体素子の製造方法 |
US4951601A (en) | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
US5292393A (en) | 1986-12-19 | 1994-03-08 | Applied Materials, Inc. | Multichamber integrated process system |
JPS63160336A (ja) | 1986-12-24 | 1988-07-04 | Mitsubishi Electric Corp | 半導体装置の製造装置 |
JPS63250178A (ja) | 1987-04-07 | 1988-10-18 | Seiko Instr & Electronics Ltd | 薄膜半導体装置の製造方法 |
KR930003857B1 (ko) * | 1987-08-05 | 1993-05-14 | 마쯔시다덴기산교 가부시기가이샤 | 플라즈마 도우핑방법 |
JPS6457615A (en) | 1987-08-28 | 1989-03-03 | Nippon Telegraph & Telephone | Manufacture of thin film type semiconductor device |
JPS6476715A (en) | 1987-09-17 | 1989-03-22 | Nec Corp | Manufacture of polycrystalline semiconductor thin film |
JPS6476737A (en) | 1987-09-18 | 1989-03-22 | Nec Corp | Manufacture of semiconductor integrated circuit device |
JPH01128446A (ja) | 1987-11-11 | 1989-05-22 | Nec Corp | 薄膜形成方法 |
JPH01179410A (ja) | 1988-01-07 | 1989-07-17 | Nikon Corp | Cvdによる薄膜の製造方法及びそれに使用される装置 |
US5174881A (en) * | 1988-05-12 | 1992-12-29 | Mitsubishi Denki Kabushiki Kaisha | Apparatus for forming a thin film on surface of semiconductor substrate |
US4988642A (en) * | 1988-05-25 | 1991-01-29 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device, manufacturing method, and system |
JPH01319944A (ja) * | 1988-06-21 | 1989-12-26 | Mitsubishi Electric Corp | 半導体基板表面に薄膜を形成する方法およびその装置 |
JP2632558B2 (ja) | 1988-09-08 | 1997-07-23 | 株式会社日立製作所 | レーザビーム照射装置および照射方法 |
JPH0281424A (ja) | 1988-09-17 | 1990-03-22 | Fuji Electric Co Ltd | 多結晶シリコン薄膜製造方法 |
US5200017A (en) * | 1989-02-27 | 1993-04-06 | Hitachi, Ltd. | Sample processing method and apparatus |
NL8900544A (nl) | 1989-03-06 | 1990-10-01 | Asm Europ | Behandelingsstelsel, behandelingsvat en werkwijze voor het behandelen van een substraat. |
JPH02239615A (ja) | 1989-03-13 | 1990-09-21 | Nec Corp | シリコン膜形成装置 |
JPH02255292A (ja) | 1989-03-28 | 1990-10-16 | Fuji Electric Co Ltd | レーザ加工装置 |
JPH02257619A (ja) | 1989-03-30 | 1990-10-18 | Sony Corp | 連続処理方法および連続処理装置 |
US5186718A (en) * | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
JPH0324717A (ja) | 1989-06-22 | 1991-02-01 | Kyocera Corp | 単結晶薄膜の製造方法 |
JPH0382121A (ja) | 1989-08-25 | 1991-04-08 | Nec Corp | ドライエッチングの後処理方法 |
US5043299B1 (en) | 1989-12-01 | 1997-02-25 | Applied Materials Inc | Process for selective deposition of tungsten on semiconductor wafer |
JP3014111B2 (ja) * | 1990-02-01 | 2000-02-28 | 科学技術振興事業団 | 大気圧グロープラズマエッチング方法 |
US5478780A (en) | 1990-03-30 | 1995-12-26 | Siemens Aktiengesellschaft | Method and apparatus for producing conductive layers or structures for VLSI circuits |
JP3033120B2 (ja) | 1990-04-02 | 2000-04-17 | セイコーエプソン株式会社 | 半導体薄膜の製造方法 |
JP2917388B2 (ja) | 1990-04-05 | 1999-07-12 | セイコーエプソン株式会社 | 半導体装置の製造方法 |
US5310410A (en) * | 1990-04-06 | 1994-05-10 | Sputtered Films, Inc. | Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus |
JPH03293719A (ja) | 1990-04-11 | 1991-12-25 | Seiko Epson Corp | 結晶性半導体薄膜の製造方法 |
EP0706088A1 (en) | 1990-05-09 | 1996-04-10 | Canon Kabushiki Kaisha | Photomask for use in etching patterns |
CA2018120C (en) | 1990-06-01 | 1995-01-24 | Alden Heppner | Rock picking machine |
US5147826A (en) * | 1990-08-06 | 1992-09-15 | The Pennsylvania Research Corporation | Low temperature crystallization and pattering of amorphous silicon films |
JPH04102375A (ja) | 1990-08-22 | 1992-04-03 | Ricoh Co Ltd | 薄膜トランジスタ |
JPH04120732A (ja) | 1990-09-12 | 1992-04-21 | Hitachi Ltd | 固体素子及びその製造方法 |
JPH04152624A (ja) | 1990-10-17 | 1992-05-26 | Seiko Epson Corp | 薄膜半導体装置の製造方法 |
SG63578A1 (en) | 1990-11-16 | 1999-03-30 | Seiko Epson Corp | Thin film semiconductor device process for fabricating the same and silicon film |
KR920010885A (ko) | 1990-11-30 | 1992-06-27 | 카나이 쯔또무 | 박막반도체와 그 제조방법 및 제조장치 및 화상처리장치 |
JP3121005B2 (ja) | 1990-11-30 | 2000-12-25 | 株式会社日立製作所 | 薄膜半導体装置とその製造方法及び製造装置並びに画像処理装置 |
US5286296A (en) | 1991-01-10 | 1994-02-15 | Sony Corporation | Multi-chamber wafer process equipment having plural, physically communicating transfer means |
JP3057770B2 (ja) | 1991-01-25 | 2000-07-04 | セイコーエプソン株式会社 | 薄膜トランジスタの製造方法 |
US5468987A (en) * | 1991-03-06 | 1995-11-21 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method for forming the same |
JP2794678B2 (ja) | 1991-08-26 | 1998-09-10 | 株式会社 半導体エネルギー研究所 | 絶縁ゲイト型半導体装置およびその作製方法 |
JPH0824104B2 (ja) | 1991-03-18 | 1996-03-06 | 株式会社半導体エネルギー研究所 | 半導体材料およびその作製方法 |
JP2975151B2 (ja) * | 1991-03-28 | 1999-11-10 | キヤノン株式会社 | 半導体素子の連続的製造装置 |
JPH0812847B2 (ja) * | 1991-04-22 | 1996-02-07 | 株式会社半導体プロセス研究所 | 半導体製造装置及び半導体装置の製造方法 |
JP3213338B2 (ja) | 1991-05-15 | 2001-10-02 | 株式会社リコー | 薄膜半導体装置の製法 |
US5324360A (en) * | 1991-05-21 | 1994-06-28 | Canon Kabushiki Kaisha | Method for producing non-monocrystalline semiconductor device and apparatus therefor |
JP2880322B2 (ja) * | 1991-05-24 | 1999-04-05 | キヤノン株式会社 | 堆積膜の形成方法 |
JPH05182923A (ja) * | 1991-05-28 | 1993-07-23 | Semiconductor Energy Lab Co Ltd | レーザーアニール方法 |
US5578520A (en) * | 1991-05-28 | 1996-11-26 | Semiconductor Energy Laboratory Co., Ltd. | Method for annealing a semiconductor |
KR970009976B1 (ko) * | 1991-08-26 | 1997-06-19 | 아메리칸 텔리폰 앤드 텔레그라프 캄파니 | 증착된 반도체상에 형성된 개선된 유전체 |
JP2564725B2 (ja) * | 1991-12-24 | 1996-12-18 | 株式会社半導体エネルギー研究所 | Mos型トランジスタの作製方法 |
US5424244A (en) | 1992-03-26 | 1995-06-13 | Semiconductor Energy Laboratory Co., Ltd. | Process for laser processing and apparatus for use in the same |
US5534072A (en) | 1992-06-24 | 1996-07-09 | Anelva Corporation | Integrated module multi-chamber CVD processing system and its method for processing subtrates |
JPH06124913A (ja) | 1992-06-26 | 1994-05-06 | Semiconductor Energy Lab Co Ltd | レーザー処理方法 |
US5294571A (en) * | 1992-07-22 | 1994-03-15 | Vlsi Technology, Inc. | Rapid thermal oxidation of silicon in an ozone ambient |
US5322807A (en) * | 1992-08-19 | 1994-06-21 | At&T Bell Laboratories | Method of making thin film transistors including recrystallization and high pressure oxidation |
DE69327028T2 (de) * | 1992-09-25 | 2000-05-31 | Sony Corp., Tokio/Tokyo | Flüssigkristall-Anzeigevorrichtung |
KR950005485B1 (ko) * | 1992-09-29 | 1995-05-24 | 주식회사금성사 | 박막트랜지스터 및 그 제조방법 |
US5643801A (en) | 1992-11-06 | 1997-07-01 | Semiconductor Energy Laboratory Co., Ltd. | Laser processing method and alignment |
JP3165304B2 (ja) | 1992-12-04 | 2001-05-14 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法及び半導体処理装置 |
JPH06232069A (ja) | 1993-02-04 | 1994-08-19 | Semiconductor Energy Lab Co Ltd | 半導体装置の作製方法 |
EP0612102B1 (en) | 1993-02-15 | 2001-09-26 | Semiconductor Energy Laboratory Co., Ltd. | Process for the fabrication of a crystallised semiconductor layer |
US5620095A (en) | 1993-06-11 | 1997-04-15 | Minnesota Mining And Manufacturing Company | Orthopedic casting material and hermetic package |
US5663077A (en) | 1993-07-27 | 1997-09-02 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films |
US5581092A (en) | 1993-09-07 | 1996-12-03 | Semiconductor Energy Laboratory Co., Ltd. | Gate insulated semiconductor device |
JPH07135323A (ja) | 1993-10-20 | 1995-05-23 | Semiconductor Energy Lab Co Ltd | 薄膜状半導体集積回路およびその作製方法 |
JPH07176745A (ja) | 1993-12-17 | 1995-07-14 | Semiconductor Energy Lab Co Ltd | 半導体素子 |
JP3335757B2 (ja) | 1994-03-17 | 2002-10-21 | 株式会社半導体エネルギー研究所 | 陽極酸化方法 |
US5840600A (en) * | 1994-08-31 | 1998-11-24 | Semiconductor Energy Laboratory Co., Ltd. | Method for producing semiconductor device and apparatus for treating semiconductor device |
-
1994
- 1994-07-02 CN CN94115974A patent/CN1052566C/zh not_active Expired - Lifetime
- 1994-07-02 CN CNB981060641A patent/CN100367461C/zh not_active Expired - Fee Related
- 1994-07-04 KR KR1019940015944A patent/KR100230485B1/ko not_active IP Right Cessation
-
1997
- 1997-11-03 US US08/962,841 patent/US6329229B1/en not_active Expired - Lifetime
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5194398A (en) * | 1989-06-28 | 1993-03-16 | Mitsui Toatsu Chemicals, Inc. | Semiconductor film and process for its production |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN100375230C (zh) * | 2003-06-02 | 2008-03-12 | 周星工程股份有限公司 | 用于群集系统的传送室 |
Also Published As
Publication number | Publication date |
---|---|
CN1193809A (zh) | 1998-09-23 |
CN100367461C (zh) | 2008-02-06 |
KR100230485B1 (ko) | 1999-11-15 |
KR950015543A (ko) | 1995-06-17 |
US6329229B1 (en) | 2001-12-11 |
CN1104371A (zh) | 1995-06-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1052566C (zh) | 制造半导体器件的方法 | |
CN1052569C (zh) | 制造半导体器件的方法 | |
CN1160759C (zh) | 半导体器件及其制造方法 | |
CN1123934C (zh) | 半导体制造工艺和半导体器件制造工艺 | |
CN1078386C (zh) | 制造半导体器件的方法 | |
CN1274009C (zh) | 薄膜半导体器件的制造方法 | |
CN1078014C (zh) | 半导体器件及其制造方法 | |
US6576534B1 (en) | Method for forming a semiconductor | |
CN1652351A (zh) | 具有薄膜晶体管的半导体器件的制造方法 | |
CN1108004A (zh) | 晶体管及其制造方法 | |
CN1235269C (zh) | 半导体器件以及半导体器件的制造方法 | |
CN1855362A (zh) | 热处理设备和制造半导体器件的方法 | |
CN1881550A (zh) | 一种制造薄膜晶体管和电子器件的方法 | |
CN1134600A (zh) | 制造薄膜晶体管的方法及设备 | |
JP2860894B2 (ja) | 半導体装置作製方法 | |
JP2648783B2 (ja) | 液晶表示パネル用絶縁ゲート型電界効果半導体装置 | |
JP3125989B2 (ja) | 絶縁ゲート型電界効果半導体装置の作製方法 | |
JPH09115853A (ja) | レーザー照射装置およびレーザー照射方法 | |
CN1967791A (zh) | 半导体器件的制造方法 | |
JPH09115855A (ja) | レーザー照射方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
C17 | Cessation of patent right | ||
CX01 | Expiry of patent term |
Expiration termination date: 20140702 Granted publication date: 20000517 |