[go: up one dir, main page]

CN104241109A - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
CN104241109A
CN104241109A CN201310239431.9A CN201310239431A CN104241109A CN 104241109 A CN104241109 A CN 104241109A CN 201310239431 A CN201310239431 A CN 201310239431A CN 104241109 A CN104241109 A CN 104241109A
Authority
CN
China
Prior art keywords
gate
layer
contact hole
etch stop
dummy gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201310239431.9A
Other languages
Chinese (zh)
Inventor
韩秋华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201310239431.9A priority Critical patent/CN104241109A/en
Publication of CN104241109A publication Critical patent/CN104241109A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/0123Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
    • H10D84/0126Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
    • H10D84/0165Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
    • H10D84/0181Manufacturing their gate insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/0123Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
    • H10D84/0126Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
    • H10D84/0165Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
    • H10D84/0184Manufacturing their gate sidewall spacers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/02Manufacture or treatment characterised by using material-based technologies
    • H10D84/03Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology
    • H10D84/038Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology using silicon technology, e.g. SiGe

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明公开了一种制作半导体器件的方法,包括下列步骤,提供半导体衬底,在所述半导体上依次形成栅氧化层和虚拟栅极;在所述栅氧化层和所述虚拟栅极的两侧形成栅极间隙壁;在所述虚拟栅极、所述栅极间隙壁和所述半导体衬底上形成接触孔刻蚀停止层;在所述接触孔刻蚀停止层上形成层间介电层;执行平坦化工艺,以露出所述虚拟栅极、所述栅极间隙壁和所述接触孔刻蚀停止层;对露出的所述栅极间隙壁、所述接触孔刻蚀停止层和所述层间介电层进行碳注入。根据本发明的方法通过采用全面碳注入处理半导体器件以解决在刻蚀去除虚拟栅极和栅氧化层的过程中损耗接触孔刻蚀停止层和栅极间隙壁结构的问题,以避免产生金属残余物,提高半导体器件的整体性能,提高半导体的良品率。

The invention discloses a method for manufacturing a semiconductor device, which includes the following steps: providing a semiconductor substrate, forming a gate oxide layer and a dummy gate in sequence on the semiconductor; forming a gate spacer; forming a contact hole etch stop layer on the dummy gate, the gate spacer and the semiconductor substrate; forming an interlayer dielectric on the contact hole etch stop layer layer; performing a planarization process to expose the dummy gate, the gate spacer and the contact hole etch stop layer; the exposed gate spacer, the contact hole etch stop layer and The interlayer dielectric layer is implanted with carbon. The method according to the present invention solves the problem of loss of contact hole etch stop layer and gate spacer structure in the process of etching and removing dummy gate and gate oxide layer by using full-scale carbon implantation to process semiconductor device, so as to avoid generation of metal residue It can improve the overall performance of semiconductor devices and improve the yield rate of semiconductors.

Description

一种制作半导体器件的方法A method of manufacturing a semiconductor device

技术领域technical field

本发明涉及半导体制造工艺,尤其涉及一种去除虚拟栅极的方法。The invention relates to a semiconductor manufacturing process, in particular to a method for removing a dummy gate.

背景技术Background technique

随着半导体集成电路(IC)工业技术日益的成熟,超大规模的集成电路的迅速发展,元器件尺寸越来越小,芯片的集成度越来越高。因器件的高密度,小尺寸的要求对半导体工艺影响也日益突出。IC集成度不断的增大需要器件尺寸持续按比例缩小,然而电器的工作电压有时维持不变,使得实际金属氧化物半导体(MOS)器件产生较高的电源消耗。多晶硅和二氧化硅通常被用于形成MOS晶体管的栅极和层间介质。With the increasing maturity of semiconductor integrated circuit (IC) industrial technology and the rapid development of ultra-large-scale integrated circuits, the size of components is getting smaller and smaller, and the integration level of chips is getting higher and higher. Due to the high density of devices, the requirement of small size has an increasingly prominent impact on semiconductor technology. The continuous increase of IC integration requires the continuous scaling down of device size, but the operating voltage of electrical appliances sometimes remains unchanged, resulting in higher power consumption of actual metal oxide semiconductor (MOS) devices. Polysilicon and silicon dioxide are commonly used to form gates and interlayer dielectrics of MOS transistors.

随着栅极尺寸缩短至几十纳米,栅氧化物层的厚度降至3nm以下,引发了栅极电阻过大、栅极泄漏增大以及多晶硅栅极出现空乏现象等问题。因此,人们又将目光重新投向金属栅极技术,采用金属栅极材料代替传统的多晶硅材料,高k电介质代替氧化层材料,即采用高k电介质/金属栅极(HK/MG)结构代替栅氧化层/虚拟多晶硅栅极结构,以避免由多晶硅虚拟栅极引起的多晶硅耗尽效应、掺杂硼原子扩散和较高的栅极漏电流等问题。同时,N-MOS和P-MOS的功能不同,因此需要的不同结构的栅极。As the gate size shrinks to tens of nanometers, the thickness of the gate oxide layer drops below 3nm, causing problems such as excessive gate resistance, increased gate leakage, and depletion of polysilicon gates. Therefore, people have turned their attention to metal gate technology again, using metal gate materials instead of traditional polysilicon materials, high-k dielectrics instead of oxide layer materials, that is, using high-k dielectric/metal gate (HK/MG) structures instead of gate oxides. layer/dummy polysilicon gate structure to avoid polysilicon depletion effect caused by polysilicon dummy gate, diffusion of doped boron atoms and higher gate leakage current. At the same time, N-MOS and P-MOS have different functions, so gates with different structures are required.

金属栅极技术包括先形成栅(Gate-first)工艺和后形成栅(Gate-last)工艺。Gate-last工艺特点是在对硅片进行漏/源区离子注入操作以及随后的高温退火步骤完成之后再形成金属栅极,其中去除多晶硅虚拟栅极(DPGR)和栅极氧化层是关键步骤之一。通常选用干法刻蚀去除多晶硅虚拟栅极,因为干法刻蚀比湿法刻蚀的效率高,刻蚀速率的变化不受掺杂的影响,采用稀释的氢氟酸(DHF)去除栅氧化层以形成界面层(interfaciallayer),然而在采用稀释的氢氟酸去除栅氧化层的过程中会很容易使接触孔刻蚀停止层(CESL)和栅极间隙壁(spacer)发生凹陷(recess),这将导致金属残余物的形成。The metal gate technology includes a gate-first process and a gate-last process. The characteristic of the Gate-last process is that the metal gate is formed after the drain/source region ion implantation operation and the subsequent high-temperature annealing step are completed on the silicon wafer, and the removal of the polysilicon dummy gate (DPGR) and gate oxide layer is one of the key steps. one. Dry etching is usually used to remove polysilicon dummy gates, because dry etching is more efficient than wet etching, and the change of etching rate is not affected by doping. Diluted hydrofluoric acid (DHF) is used to remove gate oxide. layer to form the interface layer (interfacial layer), however, the contact hole etch stop layer (CESL) and the gate spacer (spacer) are easily recessed during the process of removing the gate oxide layer with dilute hydrofluoric acid , which will lead to the formation of metal residues.

现有技术中公开了一种采用SiCoNi预清工艺去除栅氧化层的方法,即采用SiCoNi预清工艺代替稀释的氢氟酸清洗去除栅极氧化层,如图1A所示,提供半导体衬底(未示出)。在半导体衬底上依次形成栅氧化层100、多晶硅虚拟栅极101,栅氧化层100和多晶硅虚拟栅极101的两侧形成栅极间隙壁102A、102B,材料可以选择为氮化硅,形成方式可以是化学气相沉积(CVD)法。在半导体衬底、多晶硅虚拟栅极101和栅极间隙壁102A、102B上形成接触孔刻蚀停止层103。接着,在接触孔刻蚀停止层103上形成层间介电层(ILD)104。然后,采用化学机械研磨(CMP)工艺去除多余的层间介电层和接触孔刻蚀停止层,以露出多晶硅虚拟栅极101、栅极间隙壁102A、102B和接触孔刻蚀停止层103,并且多晶硅虚拟栅极101,栅极间隙壁102A、102B,接触孔刻蚀停止层103和层间介电层104的顶部齐平。In the prior art, a method for removing the gate oxide layer using the SiCoNi pre-cleaning process is disclosed, that is, the SiCoNi pre-cleaning process is used to replace the diluted hydrofluoric acid to clean and remove the gate oxide layer. As shown in FIG. 1A, a semiconductor substrate ( not shown). A gate oxide layer 100 and a polysilicon dummy gate 101 are sequentially formed on the semiconductor substrate, and gate spacers 102A and 102B are formed on both sides of the gate oxide layer 100 and the polysilicon dummy gate 101. The material can be selected as silicon nitride, and the formation method It may be a chemical vapor deposition (CVD) method. A contact hole etch stop layer 103 is formed on the semiconductor substrate, the polysilicon dummy gate 101 and the gate spacers 102A, 102B. Next, an interlayer dielectric layer (ILD) 104 is formed on the contact hole etch stop layer 103 . Then, the redundant interlayer dielectric layer and the contact hole etch stop layer are removed by chemical mechanical polishing (CMP) to expose the polysilicon dummy gate 101, the gate spacers 102A, 102B and the contact hole etch stop layer 103, And the tops of the polysilicon dummy gate 101 , the gate spacers 102A, 102B, the contact hole etch stop layer 103 and the interlayer dielectric layer 104 are flush.

如图1B所示,刻蚀去除多晶硅虚拟栅极101,以形成沟槽105,露出栅氧化层100。可以采用干法刻蚀或者湿法刻蚀进行刻蚀。优选采用干法刻蚀。As shown in FIG. 1B , the polysilicon dummy gate 101 is etched away to form a trench 105 to expose the gate oxide layer 100 . Etching may be performed by dry etching or wet etching. Dry etching is preferred.

如图1C所示,采用SiCoNi预清工艺去除所述栅氧化层100,以形成沟槽106。其中,SiCoNi预清工艺包括两个步骤:NF3/NH3远程等离子体刻蚀和原位退火,且这两步都在同一腔体内完成,将半导体衬底放入反应室内以去除所述栅极氧化层100。或者采用稀释的氢氟酸去除所述栅氧化层100,以形成沟槽106。As shown in FIG. 1C , the gate oxide layer 100 is removed by a SiCoNi pre-cleaning process to form trenches 106 . Among them, the SiCoNi pre-cleaning process includes two steps: NF 3 /NH 3 remote plasma etching and in-situ annealing, and these two steps are completed in the same chamber, and the semiconductor substrate is placed in the reaction chamber to remove the gate. Polar oxide layer 100. Alternatively, dilute hydrofluoric acid is used to remove the gate oxide layer 100 to form trenches 106 .

制作具有金属栅极结构的互补金属氧化物半导体器件的工艺中,去除多晶硅虚拟栅极和栅氧化层的工艺是后续形成金属栅极结构的关键步骤。然而,根据现有技术采用稀释的氢氟酸或者SiCoNi预清工艺去除栅极氧化层时,都会对半导体结构产生损伤。具体的,采用稀释的氢氟酸去除栅氧化层时会损耗掉大部分的接触孔刻蚀停止层和栅极间隙壁,采用SiCoNi预清工艺去除栅极氧化层时会损耗掉部分的接触孔刻蚀停止层。根据现有技术在去除栅氧化层的工艺过程中,所采用的去除工艺都会损耗半导体结构中接触孔刻蚀停止层和栅极间隙壁结构,这将会导致金属残余物的形成。In the process of manufacturing a complementary metal oxide semiconductor device with a metal gate structure, the process of removing the polysilicon dummy gate and the gate oxide layer is a key step in the subsequent formation of the metal gate structure. However, when the gate oxide layer is removed by dilute hydrofluoric acid or SiCoNi pre-cleaning process according to the prior art, the semiconductor structure will be damaged. Specifically, when using diluted hydrofluoric acid to remove the gate oxide layer, most of the contact hole etch stop layer and gate spacer will be lost, and when the SiCoNi pre-cleaning process is used to remove the gate oxide layer, part of the contact holes will be lost etch stop layer. According to the prior art, during the process of removing the gate oxide layer, the removal process used will consume the contact hole etch stop layer and the gate spacer structure in the semiconductor structure, which will lead to the formation of metal residues.

因此,需要一种新的方法,以避免在刻蚀去除栅氧化层时对栅极间隙壁结构和接触孔刻蚀停止层的损耗,以提高器件的整体的性能和半导体器件的良品率。Therefore, a new method is needed to avoid the loss of the gate spacer structure and the contact hole etching stop layer when the gate oxide layer is etched away, so as to improve the overall performance of the device and the yield rate of the semiconductor device.

发明内容Contents of the invention

在发明内容部分中引入了一系列简化形式的概念,这将在具体实施方式部分中进一步详细说明。本发明的发明内容部分并不意味着要试图限定出所要求保护的技术方案的关键特征和必要技术特征,更不意味着试图确定所要求保护的技术方案的保护范围。A series of concepts in simplified form are introduced in the Summary of the Invention, which will be further detailed in the Detailed Description. The summary of the invention in the present invention does not mean to limit the key features and essential technical features of the claimed technical solution, nor does it mean to try to determine the protection scope of the claimed technical solution.

为了解决现有技术中存在的问题,本发明提出了一种制作半导体器件的方法,包括下列步骤,提供半导体衬底,在所述半导体上依次形成栅氧化层和虚拟栅极;在所述栅氧化层和所述虚拟栅极的两侧形成栅极间隙壁;在所述虚拟栅极、所述栅极间隙壁和所述半导体衬底上形成接触孔刻蚀停止层;在所述接触孔刻蚀停止层上形成层间介电层;执行平坦化工艺,以露出所述虚拟栅极、所述栅极间隙壁和所述接触孔刻蚀停止层;对露出的所述栅极间隙壁、所述接触孔刻蚀停止层和所述层间介电层进行碳注入。In order to solve the problems existing in the prior art, the present invention proposes a method for manufacturing a semiconductor device, comprising the following steps, providing a semiconductor substrate, forming a gate oxide layer and a dummy gate in sequence on the semiconductor; forming a gate spacer on both sides of the oxide layer and the dummy gate; forming a contact hole etch stop layer on the dummy gate, the gate spacer and the semiconductor substrate; forming an interlayer dielectric layer on the etch stop layer; performing a planarization process to expose the dummy gate, the gate spacer and the contact hole etch stop layer; for the exposed gate spacer , performing carbon implantation on the contact hole etch stop layer and the interlayer dielectric layer.

优选地,采用全面碳注入工艺执行所述碳注入步骤。Preferably, the carbon implantation step is performed using a comprehensive carbon implantation process.

优选地,碳注入的离子束能量为10KV~50KV。Preferably, the ion beam energy of carbon implantation is 10KV-50KV.

优选地,碳注入的离子剂量为1e14~1e20原子/cm2Preferably, the ion dose of carbon implantation is 1e 14 to 1e 20 atoms/cm 2 .

优选地,还包括在碳注入之后去除所述虚拟栅极的步骤。Preferably, it also includes the step of removing the dummy gate after the carbon implantation.

优选地,还包括在去除所述虚拟栅极之后去除所述栅氧化层以形成第一沟槽的步骤。Preferably, the method further includes a step of removing the gate oxide layer to form a first trench after removing the dummy gate.

优选地,采用稀释的氢氟酸去除所述栅氧化层。Preferably, the gate oxide layer is removed using diluted hydrofluoric acid.

优选地,还包括在去除所述栅氧化层之后在所述第一沟槽的底部形成界面层以形成第二沟槽的步骤。Preferably, the method further includes the step of forming an interface layer at the bottom of the first trench to form a second trench after removing the gate oxide layer.

优选地,还包括在所述形成界面层之后在所述第二沟槽中填充高k介质层和金属栅极的步骤。Preferably, the method further includes the step of filling the second trench with a high-k dielectric layer and a metal gate after the formation of the interface layer.

综上所示,本发明的方法通过采用全面碳注入处理半导体器件以解决在刻蚀去除虚拟栅极和栅氧化层的过程中损耗接触孔刻蚀停止层和栅极间隙壁结构的问题,以避免产生金属残余物,提高半导体器件的整体性能,提高半导体的良品率。In summary, the method of the present invention solves the problem of loss of contact hole etch stop layer and gate spacer structure in the process of etching and removing dummy gate and gate oxide layer by using comprehensive carbon implantation to process semiconductor devices, so as to Avoid the generation of metal residues, improve the overall performance of semiconductor devices, and improve the yield of semiconductors.

附图说明Description of drawings

本发明的下列附图在此作为本发明的一部分用于理解本发明。附图中示出了本发明的实施例及其描述,用来解释本发明的原理。在附图中,The following drawings of the invention are hereby included as part of the invention for understanding the invention. The accompanying drawings illustrate embodiments of the invention and description thereof to explain principles of the invention. In the attached picture,

图1A-1C为根据现有技术刻蚀去除虚拟栅极和栅氧化层的相关步骤所获得的器件的剖面结构示意图;1A-1C are schematic cross-sectional structure diagrams of a device obtained by etching and removing a dummy gate and a gate oxide layer according to related steps in the prior art;

图2A-2G为根据本发明一个实施方式刻蚀去除虚拟栅极和栅氧化层的相关步骤所获得的器件的剖面结构示意图;2A-2G are schematic cross-sectional structure diagrams of devices obtained by etching and removing the dummy gate and gate oxide related steps according to an embodiment of the present invention;

图3为根据本发明一个实施方式刻蚀去除虚拟栅极和栅氧化层的工艺流程图。FIG. 3 is a process flow diagram of etching and removing a dummy gate and a gate oxide layer according to an embodiment of the present invention.

具体实施方式Detailed ways

在下文的描述中,给出了大量具体的细节以便提供对本发明更为彻底的理解。然而,对于本领域技术人员来说显而易见的是,本发明可以无需一个或多个这些细节而得以实施。在其他的例子中,为了避免与本发明发生混淆,对于本领域公知的一些技术特征未进行描述。In the following description, numerous specific details are given in order to provide a more thorough understanding of the present invention. It will be apparent, however, to one skilled in the art that the present invention may be practiced without one or more of these details. In other examples, some technical features known in the art are not described in order to avoid confusion with the present invention.

为了彻底了解本发明,将在下列的描述中提出详细的步骤,以便说明本发明是如何采用碳注入工艺以解决刻蚀去除半导体器件中的虚拟栅极和栅氧化层时对接触孔刻蚀停止层和栅极间隙壁结构的损耗问题。显然本发明的较佳实施例详细的描述如下,然而去除这些详细描述外,本发明还可以具有其他实施方式。In order to thoroughly understand the present invention, detailed steps will be proposed in the following description, so as to illustrate how the present invention uses carbon implantation process to solve the problem of the contact hole etching stop when etching and removing the dummy gate and gate oxide layer in the semiconductor device. Layer and gate spacer structure loss issues. Apparently, the preferred embodiments of the present invention are described in detail as follows, however, the present invention may also have other implementations apart from these detailed descriptions.

为了克服传统去除虚拟栅极和栅氧化层时对接触孔刻蚀停止层和栅极间隙壁结构的损耗,本发明提出采用碳注入工艺处理半导体衬底。参照图2A至图2G,示出根据本发明一个方面的实施例制作PMOS的相关步骤的剖视图。In order to overcome the loss of the contact hole etch stop layer and the gate spacer structure during traditional removal of the dummy gate and gate oxide layer, the present invention proposes to process the semiconductor substrate with a carbon implantation process. Referring to FIG. 2A to FIG. 2G , there are shown cross-sectional views of related steps of fabricating a PMOS according to an embodiment of an aspect of the present invention.

如图2A所示,提供半导体衬底,所述半导体衬底可包括任何半导体材料,此半导体材料可包括但不限于:Si、SiC、SiGe、SiGeC、Ge合金、GeAs、InAs、InP,以及其它Ⅲ-Ⅴ或Ⅱ-Ⅵ族化合物半导体。半导体衬底包括各种隔离结构,例如浅沟槽隔离(STI)。半导体衬底还可以包括有机半导体或者如Si/SiGe、绝缘体上硅(SOI)、或者绝缘体上SiGe(SGOI)的分层半导体。在半导体衬底上形成PMOS区域,该PMOS区域具有形成在均匀掺杂的沟道区上的虚拟栅极结构200,所述虚拟栅极结构200包括栅氧化层201和虚拟栅极202。栅氧化层201可以通过热氧化、化学气相沉积(CVD)或氧氮化工艺形成。栅极氧化层201可以包括如下的任何传统电介质:SiO2、SiON、SiON2、以及包括钙钛矿型氧化物的其它类似氧化物。其中,栅氧化层201的材料优选用氮氧化硅,形成方式采用化学气相沉积法。虚拟栅极202的材料可以为多晶硅或者氮化硅或者无定型碳,其中,虚拟栅极202的材料优选未掺杂的多晶硅。多晶硅虚拟栅极202的形成方法可选用低压化学气相淀积(LPCVD)工艺。形成所述多晶硅的工艺条件包括:反应气体为硅烷(SiH4),所述硅烷的流量范围可为100~200立方厘米/分钟(sccm),如150sccm;反应腔内温度范围可为700~750摄氏度;反应腔内压力可为250~350毫毫米汞柱(mTorr),如300mTorr;所述反应气体中还可包括缓冲气体,所述缓冲气体可为氦气或氮气,所述氦气和氮气的流量范围可为5~20升/分钟(slm),如8slm、10slm或15slm。在栅氧化层201和虚拟栅极202两侧形成的栅极间隙壁203A、203B。栅极间隙壁的材料可以为氧化硅、氮化硅、氮氧化硅中一种或者他们组合构成。作为本实施例的一个优化实施方式,所述间隙壁为氧化硅、氮化硅共同组成。接着,在半导体衬底、虚拟栅极202和栅极间隙壁203A、203B上形成接触孔刻蚀停止层204。接触孔刻蚀停止层204的材料可以为氮化硅、碳化硅、氮氧化硅或者氮化碳化硅。然后,在接触孔刻蚀停止层204上形成层间介电层205,层间介电层205可以使用例如氧化硅、碳氟化合物(CF)、掺碳氧化硅(SiOC)、或碳氮化硅(SiCN)等。或者,也可以使用在碳氟化合物(CF)上形成了SiCN薄膜的膜等。可以采用热化学气相沉积方法、等离子体工艺。采用化学机械研磨(CMP)去除多余的层间介电层和接触孔刻蚀停止层,以使虚拟栅极202、栅极间隙壁203A、203B、接触孔刻蚀停止层204和层间介电层205的顶部齐平。As shown in FIG. 2A, a semiconductor substrate is provided, and the semiconductor substrate may include any semiconductor material, which may include but not limited to: Si, SiC, SiGe, SiGeC, Ge alloys, GeAs, InAs, InP, and others III-V or II-VI compound semiconductors. Semiconductor substrates include various isolation structures such as shallow trench isolation (STI). The semiconductor substrate may also include an organic semiconductor or a layered semiconductor such as Si/SiGe, silicon-on-insulator (SOI), or SiGe-on-insulator (SGOI). A PMOS region is formed on a semiconductor substrate, the PMOS region has a dummy gate structure 200 formed on a uniformly doped channel region, and the dummy gate structure 200 includes a gate oxide layer 201 and a dummy gate 202 . The gate oxide layer 201 can be formed by thermal oxidation, chemical vapor deposition (CVD) or oxynitride process. Gate oxide layer 201 may comprise any conventional dielectric such as SiO2 , SiON, SiON2, and other similar oxides including perovskite-type oxides. Wherein, the gate oxide layer 201 is preferably made of silicon oxynitride, and is formed by chemical vapor deposition. The material of the dummy gate 202 may be polysilicon, silicon nitride or amorphous carbon, wherein the material of the dummy gate 202 is preferably undoped polysilicon. The method for forming the polysilicon dummy gate 202 may be a low pressure chemical vapor deposition (LPCVD) process. The process conditions for forming the polysilicon include: the reaction gas is silane (SiH 4 ), the flow range of the silane may be 100-200 cubic centimeters per minute (sccm), such as 150 sccm; the temperature range in the reaction chamber may be 700-750 Celsius; the pressure in the reaction chamber can be 250 to 350 millimeters of mercury (mTorr), such as 300mTorr; a buffer gas can also be included in the reaction gas, and the buffer gas can be helium or nitrogen, and the helium and nitrogen The flow rate range can be 5-20 liters per minute (slm), such as 8slm, 10slm or 15slm. Gate spacers 203A, 203B are formed on both sides of the gate oxide layer 201 and the dummy gate 202 . The material of the gate spacer can be one of silicon oxide, silicon nitride, silicon oxynitride or a combination thereof. As an optimized implementation of this embodiment, the spacer is composed of silicon oxide and silicon nitride. Next, a contact hole etch stop layer 204 is formed on the semiconductor substrate, the dummy gate 202 and the gate spacers 203A, 203B. The material of the contact hole etching stop layer 204 may be silicon nitride, silicon carbide, silicon oxynitride or silicon carbide nitride. Then, an interlayer dielectric layer 205 is formed on the contact hole etch stop layer 204. The interlayer dielectric layer 205 can be made of, for example, silicon oxide, fluorocarbon (CF), carbon-doped silicon oxide (SiOC), or carbon nitride Silicon (SiCN), etc. Alternatively, a thin film of SiCN formed on a fluorocarbon (CF) or the like may be used. Thermal chemical vapor deposition methods, plasma processes can be used. Use chemical mechanical polishing (CMP) to remove the redundant interlayer dielectric layer and the contact hole etch stop layer, so that the dummy gate 202, the gate spacers 203A, 203B, the contact hole etch stop layer 204 and the interlayer dielectric The top of layer 205 is flush.

如图2B所示,对上述半导体结构进行全面碳注入(Blanket CarbonImplantation)以在栅极间隙壁203A、203B、接触孔刻蚀停止层204和层间介电层205上形成掺碳层206。具体的,对虚拟栅极202、栅极间隙壁203A、203B、接触孔刻蚀停止层204和层间介电层205进行全面碳注入,在栅极间隙壁203A、203B、接触孔刻蚀停止层204和层间介电层205中形成掺碳层206。全面碳注入的工艺为:注入离子束能量为10KV~50KV,离子剂量为1e14~1e20原子/cm2。将碳等离子体注入到栅极间隙壁203A、203B、接触孔刻蚀停止层204和层间介电层205的材料中,在栅极间隙壁203A、203B、接触孔刻蚀停止层204和层间介电层205中形成掺碳层。As shown in FIG. 2B , Blanket Carbon Implantation is performed on the semiconductor structure to form a carbon doped layer 206 on the gate spacers 203A, 203B, the contact hole etch stop layer 204 and the interlayer dielectric layer 205 . Specifically, the dummy gate 202, the gate spacers 203A, 203B, the contact hole etch stop layer 204 and the interlayer dielectric layer 205 are fully carbon-implanted, and the gate spacers 203A, 203B, the contact hole etch stop Carbon doped layer 206 is formed in layer 204 and interlayer dielectric layer 205 . The process of comprehensive carbon implantation is: implantation ion beam energy is 10KV-50KV, ion dose is 1e 14-1e 20 atoms/cm 2 . Carbon plasma is implanted into the materials of the gate spacers 203A, 203B, the contact hole etch stop layer 204 and the interlayer dielectric layer 205, and the gate spacers 203A, 203B, the contact hole etch stop layer 204 and the layer A carbon-doped layer is formed in the inter-dielectric layer 205 .

如图2C所示,刻蚀去除PMOS区域中的虚拟栅极202,在虚拟栅极202的原有位置形成沟槽结构207。可以采用干法刻蚀去除虚拟栅极202,干法蚀刻工艺包括但不限于:反应离子蚀刻(RIE)、离子束蚀刻、等离子体蚀刻或者激光切割。例如采用等离子体刻蚀,刻蚀气体可以采用基于氧气(O2-based)的气体,可以减少层间介电层201的损耗。具体的,采用较低的射频能量并能产生低压和高密度的等离子体气体来实现多晶硅的干法刻蚀。作为一个实例,采用等离子体刻蚀工艺,采用的刻蚀气体为基于氧气(O2-based)的气体,刻蚀气体的流量范围可为50立方厘米/分钟(sccm)~150立方厘米/分钟(sccm),反应室内压力可为5毫托(mTorr)~20毫托(mTorr)。其中,干法刻蚀的刻蚀气体还可以是溴化氢气体、四氟化碳气体或者三氟化氮气体。As shown in FIG. 2C , the dummy gate 202 in the PMOS region is removed by etching, and a trench structure 207 is formed at the original position of the dummy gate 202 . The dummy gate 202 can be removed by dry etching, and the dry etching process includes but not limited to: reactive ion etching (RIE), ion beam etching, plasma etching or laser cutting. For example, by using plasma etching, the etching gas may be an oxygen-based (O 2 -based) gas, which can reduce the loss of the interlayer dielectric layer 201 . Specifically, the dry etching of polysilicon is realized by adopting relatively low radio frequency energy and generating low-pressure and high-density plasma gas. As an example, using a plasma etching process, the etching gas used is an oxygen (O 2 -based) gas, and the flow rate of the etching gas can range from 50 cubic centimeters per minute (sccm) to 150 cubic centimeters per minute (sccm), the pressure in the reaction chamber may be 5 mTorr (mTorr)-20 mTorr (mTorr). Wherein, the etching gas for dry etching may also be hydrogen bromide gas, carbon tetrafluoride gas or nitrogen trifluoride gas.

如图2D所示,可以采用SiCoNi预清工艺去除栅氧化层201,以形成沟槽208。SiCoNi预清工艺包括两个步骤:NF3/NH3远程等离子体刻蚀和原位退火,且这两步都在同一腔体内完成,将半导体衬底放入反应室内以去除所述栅极氧化层201。或者采用稀释的氢氟酸去除所述栅氧化层201,以形成沟槽208。As shown in FIG. 2D , the gate oxide layer 201 may be removed by a SiCoNi pre-cleaning process to form trenches 208 . The SiCoNi pre-cleaning process includes two steps: NF 3 /NH 3 remote plasma etching and in-situ annealing, and these two steps are completed in the same chamber, and the semiconductor substrate is placed in the reaction chamber to remove the gate oxide Layer 201. Alternatively, dilute hydrofluoric acid is used to remove the gate oxide layer 201 to form trenches 208 .

如图2E所示,在沟槽208的底部形成金属栅极的界面层(IL layer)209,以形成沟槽210。界面层可以包括传统的电介质材料诸如具有电介质常数从大约4到大约20的硅的氧化物、氮化物和氮氧化物。或者,界面层可以包括具有电介质常数从大约20到至少大约100的通常较高电介质常数电介质材料。As shown in FIG. 2E , an interfacial layer (IL layer) 209 of the metal gate is formed at the bottom of the trench 208 to form the trench 210 . The interfacial layer may comprise conventional dielectric materials such as oxides, nitrides and oxynitrides of silicon having a dielectric constant of from about 4 to about 20. Alternatively, the interfacial layer may comprise a generally higher dielectric constant dielectric material having a dielectric constant of from about 20 to at least about 100.

如图2F所示,在界面层209上沟槽210的侧壁和底部表面沉积高k介质层(HK)211,以形成沟槽212。高k介质层的厚度较小,其中沿着沟槽结构210的表面分布的高k介质形成U字型结构,高K介电材料层,材料可以选择为但不限于HfOx、HfSiOx、HfSiNOx、HfZrOx,高度大约为5~25埃。As shown in FIG. 2F , a high-k dielectric layer (HK) 211 is deposited on the sidewall and bottom surface of the trench 210 on the interface layer 209 to form the trench 212 . The thickness of the high-k dielectric layer is small, and the high-k dielectric distributed along the surface of the trench structure 210 forms a U-shaped structure. The high-k dielectric material layer can be selected from but not limited to HfO x , HfSiO x , HfSiNO x , HfZrO x , and the height is about 5-25 angstroms.

如图2G所示,在PMOS区域中的沟槽212中沉积形成PMOS的金属栅极213。在掺碳层206、高k介质层211的表面、沟槽结构212的侧壁和底部表面沉积PMOS的功函数金属层和金属电极层,金属栅极的材料包括铜、铝、TiN或TaN等,形成方法可以是化学气相沉积(CVD)法或物理气相沉积(PVD)法,其中,优选沉积铜金属层。接着,进行化学机械研磨(CMP),去除多余的功函数金属层和金属电极层,使得掺碳层206露出则停止化学机械研磨,掺碳层206的顶部和金属栅极213的顶部齐平。As shown in FIG. 2G , a metal gate 213 forming a PMOS is deposited in the trench 212 in the PMOS region. Deposit the PMOS work function metal layer and metal electrode layer on the surface of the carbon-doped layer 206, the surface of the high-k dielectric layer 211, the sidewall and the bottom surface of the trench structure 212, and the material of the metal gate includes copper, aluminum, TiN or TaN, etc. , the forming method may be a chemical vapor deposition (CVD) method or a physical vapor deposition (PVD) method, wherein a copper metal layer is preferably deposited. Next, chemical mechanical polishing (CMP) is performed to remove excess work function metal layer and metal electrode layer, so that the carbon-doped layer 206 is exposed, then the chemical-mechanical polishing is stopped, and the top of the carbon-doped layer 206 is flush with the top of the metal gate 213 .

根据本发明的另一方面,上述制备半导体器件结构的PMOS区域的金属栅极的方法也可以使用于NMOS区域的制备过程。具体的,在半导体衬底上依次形成栅氧化层、多晶硅虚拟栅极,栅氧化层和多晶硅虚拟栅极的两侧形成栅极间隙壁。接着,在半导体衬底、虚拟栅极和栅极间隙壁上形成接触孔刻蚀停止层。然后,在接触孔刻蚀停止层上形成层间介电层,采用化学机械研磨(CMP)去除多余的层间介电层和接触孔刻蚀停止层,以使虚拟栅极、栅极间隙壁、接触孔刻蚀停止层和层间介电层的顶部齐平。接着,对虚拟栅极、栅极间隙壁、接触孔刻蚀停止层和层间介电层进行全面碳注入,在栅极间隙壁、接触孔刻蚀停止层和层间介电层中形成掺碳层。然后,去除NMOS区域中的虚拟栅极和栅氧化层,形成沟槽结构。最后,在沟槽结构中形成NMOS的金属栅极结构。具体的在沟槽中形成界面层、高k介质层、NMOS的功函数金属层和金属电极层。According to another aspect of the present invention, the above method for preparing the metal gate of the PMOS region of the semiconductor device structure can also be used in the preparation process of the NMOS region. Specifically, a gate oxide layer and a polysilicon dummy gate are sequentially formed on the semiconductor substrate, and gate spacers are formed on both sides of the gate oxide layer and the polysilicon dummy gate. Next, a contact hole etch stop layer is formed on the semiconductor substrate, the dummy gate and the gate spacer. Then, an interlayer dielectric layer is formed on the etch stop layer of the contact hole, and the redundant interlayer dielectric layer and the etch stop layer of the contact hole are removed by chemical mechanical polishing (CMP), so that the dummy gate, the gate spacer , the contact hole etch stop layer is flush with the top of the interlayer dielectric layer. Next, carbon implantation is performed on the dummy gate, the gate spacer, the contact hole etch stop layer and the interlayer dielectric layer, and doped carbon is formed in the gate spacer, the contact hole etch stop layer and the interlayer dielectric layer. carbon layer. Then, the dummy gate and the gate oxide layer in the NMOS region are removed to form a trench structure. Finally, a metal gate structure of NMOS is formed in the trench structure. Specifically, an interface layer, a high-k dielectric layer, an NMOS work function metal layer and a metal electrode layer are formed in the trench.

优选地,所述半导体器件结构中的PMOS区域和NMOS区域可以同时进行。具体地,在制备PMOS区域的某一操作时,如“刻蚀去除虚拟栅极”、“刻蚀去除栅氧化层”、“形成槽结构”或“填充金属栅极”等,可以采用掩膜或光致抗蚀剂将半导体器件结构的NMOS区域进行遮蔽。相对应地,对NMOS区域进行操作时,可以采用掩膜或光致抗蚀剂遮蔽所述PMOS区域。当然,上述制备半导体器件结构的NMOS区域和PMOS区域同时进行还是针对单个区域进行制备,其主要是依据实际的工艺设备选择。Preferably, the PMOS region and the NMOS region in the semiconductor device structure can be performed simultaneously. Specifically, when preparing a certain operation in the PMOS region, such as "etching and removing the dummy gate", "etching and removing the gate oxide layer", "forming the trench structure" or "filling the metal gate", etc., a mask can be used Or the photoresist masks the NMOS region of the semiconductor device structure. Correspondingly, when operating on the NMOS region, the PMOS region may be shielded with a mask or a photoresist. Of course, whether the NMOS region and the PMOS region of the semiconductor device structure are prepared at the same time or for a single region is mainly based on the selection of actual process equipment.

参照图3,其中示出了根据本发明一个实施方式去除虚拟栅极和栅氧化层的工艺流程图,用于简要示出整个制造工艺的流程。Referring to FIG. 3 , there is shown a process flow chart for removing dummy gates and gate oxide layers according to an embodiment of the present invention, which is used to briefly illustrate the flow of the entire manufacturing process.

在步骤301中,提供具有浅沟槽隔离的半导体衬底,在半导体衬底上形成上依次形成栅氧化层、多晶硅虚拟栅极,栅氧化层和多晶硅虚拟栅极的两侧形成间隙壁。在半导体衬底、多晶硅虚拟栅极和栅极间隙壁上形成接触孔刻蚀停止层,去除多余的接触孔刻蚀停止层以露出多晶硅虚拟栅极和栅极间隙壁。接着,在多晶硅虚拟栅极、栅极间隙壁和接触孔刻蚀停止层上形成层间介电层。然后,采用化学机械研磨工艺去除多余的层间介电层,以露出多晶硅虚拟栅极、栅极间隙壁和接触孔刻蚀停止层,并且多晶硅虚拟栅极,栅极间隙壁、接触孔刻蚀停止层和层间介电层的顶部齐平。In step 301, a semiconductor substrate with shallow trench isolation is provided, and a gate oxide layer and a polysilicon dummy gate are sequentially formed on the semiconductor substrate, and spacers are formed on both sides of the gate oxide layer and the polysilicon dummy gate. A contact hole etch stop layer is formed on the semiconductor substrate, the polysilicon dummy gate and the gate spacer, and the redundant contact hole etch stop layer is removed to expose the polysilicon dummy gate and the gate spacer. Next, an interlayer dielectric layer is formed on the polysilicon dummy gate, the gate spacer and the etch stop layer of the contact hole. Then, the redundant interlayer dielectric layer is removed by chemical mechanical polishing process to expose the polysilicon dummy gate, gate spacer and contact hole etch stop layer, and the polysilicon dummy gate, gate spacer and contact hole are etched The stop layer is flush with the top of the interlayer dielectric layer.

在步骤302中,对虚拟栅极、栅极间隙壁、接触孔刻蚀停止层和层间介电层进行全面碳注入,以在栅极间隙壁、接触孔刻蚀停止层和层间介电层中形成掺碳层。In step 302, carbon implantation is performed on the dummy gate, the gate spacer, the contact hole etch stop layer and the interlayer dielectric layer, so that the gate spacer, the contact hole etch stop layer and the interlayer dielectric A carbon-doped layer is formed in the layer.

在步骤303中,刻蚀去除虚拟栅极,在虚拟栅极的原有位置形成第一沟槽结构。In step 303, the dummy gate is removed by etching, and a first trench structure is formed at the original position of the dummy gate.

在步骤304中,采用稀释的氢氟酸或者SiCoNi预清工艺去除栅氧化层201,以形成第二沟槽。In step 304, the gate oxide layer 201 is removed by dilute hydrofluoric acid or a SiCoNi pre-cleaning process to form a second trench.

在步骤305中,在第二沟槽的底部形成金属栅极的界面层,以形成第三沟槽。In step 305 , an interface layer of the metal gate is formed at the bottom of the second trench to form a third trench.

在步骤306中,在第三沟槽中填充高k介质层、功函数金属层和金属电极层,以形成金属栅极。In step 306, a high-k dielectric layer, a work function metal layer and a metal electrode layer are filled in the third trench to form a metal gate.

综上所示,本发明的方法通过采用全面碳注入处理半导体器件以解决在刻蚀去除虚拟栅极和栅氧化层的过程中损耗接触孔刻蚀停止层和栅极间隙壁的问题,以避免产生金属残余物,最终提高了半导体器件的整体性能和半导体器件的良品率。In summary, the method of the present invention solves the problem of loss of contact hole etch stop layer and gate spacer in the process of etching and removing dummy gate and gate oxide layer by using comprehensive carbon implantation to process semiconductor devices, so as to avoid Metal residues are generated, which ultimately improves the overall performance of the semiconductor device and the yield rate of the semiconductor device.

本发明已经通过上述实施例进行了说明,但应当理解的是,上述实施例只是用于举例和说明的目的,而非意在将本发明限制于所描述的实施例范围内。此外本领域技术人员可以理解的是,本发明并不局限于上述实施例,根据本发明还可以做出更多种的变型和修改,这些变型和修改均落在本发明所要求保护的范围以内。The present invention has been described through the above-mentioned embodiments, but it should be understood that the above-mentioned embodiments are only for the purpose of illustration and description, and are not intended to limit the present invention to the scope of the described embodiments. In addition, those skilled in the art can understand that the present invention is not limited to the above-mentioned embodiments, and more variations and modifications can be made according to the present invention, and these variations and modifications all fall within the scope of the present invention. .

Claims (9)

1.一种制作半导体器件的方法,包括:1. A method of making a semiconductor device, comprising: 提供半导体衬底,在所述半导体上依次形成栅氧化层和虚拟栅极;providing a semiconductor substrate on which a gate oxide layer and a dummy gate are sequentially formed; 在所述栅氧化层和所述虚拟栅极的两侧形成栅极间隙壁;forming gate spacers on both sides of the gate oxide layer and the dummy gate; 在所述虚拟栅极、所述栅极间隙壁和所述半导体衬底上形成接触孔刻蚀停止层;forming a contact hole etch stop layer on the dummy gate, the gate spacer and the semiconductor substrate; 在所述接触孔刻蚀停止层上形成层间介电层;forming an interlayer dielectric layer on the etch stop layer of the contact hole; 执行平坦化工艺,以露出所述虚拟栅极、所述栅极间隙壁和所述接触孔刻蚀停止层;performing a planarization process to expose the dummy gate, the gate spacer and the contact hole etch stop layer; 对露出的所述栅极间隙壁、所述接触孔刻蚀停止层和所述层间介电层进行碳注入。Carbon implantation is performed on the exposed gate spacer, the contact hole etch stop layer and the interlayer dielectric layer. 2.如权利要求1所述的方法,其特征在于,采用全面碳注入工艺执行所述碳注入步骤。2. The method of claim 1, wherein the carbon implantation step is performed using a full-scale carbon implantation process. 3.如权利要求1所述的方法,其特征在于,碳注入的离子束能量为10KV~50KV。3. The method according to claim 1, characterized in that the ion beam energy for carbon implantation is 10KV-50KV. 4.如权利要求1所述的方法,其特征在于,碳注入的离子剂量为1e14~1e20原子/cm24 . The method according to claim 1 , wherein the ion dose of carbon implantation is 1e 14 -1e 20 atoms/cm 2 . 5.如权利要求1所述的方法,其特征在于,还包括在碳注入之后去除所述虚拟栅极的步骤。5. The method of claim 1, further comprising the step of removing said dummy gate after carbon implantation. 6.如权利要求5所述的方法,其特征在于,还包括在去除所述虚拟栅极之后去除所述栅氧化层以形成第一沟槽的步骤。6. The method according to claim 5, further comprising the step of removing the gate oxide layer to form a first trench after removing the dummy gate. 7.如权利要求6所述的方法,其特征在于,采用稀释的氢氟酸去除所述栅氧化层。7. The method of claim 6, wherein the gate oxide layer is removed using diluted hydrofluoric acid. 8.如权利要求6所述的方法,其特征在于,还包括在去除所述栅氧化层之后在所述第一沟槽的底部形成界面层以形成第二沟槽的步骤。8. The method according to claim 6, further comprising the step of forming an interface layer at the bottom of the first trench to form a second trench after removing the gate oxide layer. 9.如权利要求8所述的方法,其特征在于,还包括在所述形成界面层之后在所述第二沟槽中填充高k介质层和金属栅极的步骤。9. The method according to claim 8, further comprising the step of filling the second trench with a high-k dielectric layer and a metal gate after said forming the interface layer.
CN201310239431.9A 2013-06-17 2013-06-17 Method for manufacturing semiconductor device Pending CN104241109A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310239431.9A CN104241109A (en) 2013-06-17 2013-06-17 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310239431.9A CN104241109A (en) 2013-06-17 2013-06-17 Method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
CN104241109A true CN104241109A (en) 2014-12-24

Family

ID=52228966

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310239431.9A Pending CN104241109A (en) 2013-06-17 2013-06-17 Method for manufacturing semiconductor device

Country Status (1)

Country Link
CN (1) CN104241109A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105185713A (en) * 2015-08-26 2015-12-23 上海华力微电子有限公司 HKMG device preparation method
CN105390447A (en) * 2015-10-26 2016-03-09 上海华力微电子有限公司 Method for preparing silicon nitride for virtual gate
CN114843221A (en) * 2021-02-02 2022-08-02 芯恩(青岛)集成电路有限公司 A contact hole etching method for a CMOS device and a method for manufacturing a CMOS device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
CN101027761A (en) * 2004-07-28 2007-08-29 英特尔公司 Planarizing a semiconductor structure to form replacement metal gates
CN102737976A (en) * 2011-03-31 2012-10-17 台湾积体电路制造股份有限公司 Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US20120282764A1 (en) * 2009-06-30 2012-11-08 Klaus Hempel Technique for exposing a placeholder material in a replacement gate approach by modifying a removal rate of stressed dielectric overlayers
CN103545176A (en) * 2012-07-13 2014-01-29 台湾积体电路制造股份有限公司 Method for introducing carbon into semiconductor structures and structures formed thereby

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
CN101027761A (en) * 2004-07-28 2007-08-29 英特尔公司 Planarizing a semiconductor structure to form replacement metal gates
US20120282764A1 (en) * 2009-06-30 2012-11-08 Klaus Hempel Technique for exposing a placeholder material in a replacement gate approach by modifying a removal rate of stressed dielectric overlayers
CN102737976A (en) * 2011-03-31 2012-10-17 台湾积体电路制造股份有限公司 Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
CN103545176A (en) * 2012-07-13 2014-01-29 台湾积体电路制造股份有限公司 Method for introducing carbon into semiconductor structures and structures formed thereby

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105185713A (en) * 2015-08-26 2015-12-23 上海华力微电子有限公司 HKMG device preparation method
CN105185713B (en) * 2015-08-26 2019-01-22 上海华力微电子有限公司 A kind of preparation method of HKMG device
CN105390447A (en) * 2015-10-26 2016-03-09 上海华力微电子有限公司 Method for preparing silicon nitride for virtual gate
CN105390447B (en) * 2015-10-26 2018-09-04 上海华力微电子有限公司 A kind of nitridation silicon preparation method for dummy gate
CN114843221A (en) * 2021-02-02 2022-08-02 芯恩(青岛)集成电路有限公司 A contact hole etching method for a CMOS device and a method for manufacturing a CMOS device

Similar Documents

Publication Publication Date Title
CN104835780B (en) Semiconductor structure and its manufacturing method
CN101714508B (en) Method for manufacturing semiconductor device
US20220344489A1 (en) Metal Gates And Manufacturing Methods Thereof
CN104916542B (en) The structure and its manufacturing method of semiconductor devices
US8765556B2 (en) Method of fabricating strained structure in semiconductor device
CN111106066B (en) Semiconductor device and method of forming the same
CN102104003A (en) Manufacturing method of semiconductor device
WO2011124088A1 (en) Gate stack structure, semiconductor device, and methods for manufacturing them
CN104183477B (en) A kind of method for making semiconductor devices
CN108022873A (en) Semiconductor devices and its manufacture method
CN106876274A (en) The forming method of transistor
CN104752215A (en) Transistor forming method
US10998414B2 (en) Metal gate structure with multi-layer composition
CN104795362B (en) A kind of method for making semiconductor devices
CN104253047A (en) Forming method of transistor
CN104241109A (en) Method for manufacturing semiconductor device
CN104752175B (en) A kind of method for making semiconductor devices
CN105990229A (en) Semiconductor device and manufacturing process thereof
CN111106158A (en) Semiconductor structure and method of forming the same
CN106601679B (en) A kind of semiconductor device and its manufacturing method, electronic device
CN104779146B (en) A kind of method for making semiconductor devices
CN104576535B (en) A kind of method for making semiconductor devices
CN106298668A (en) A kind of semiconductor device and preparation method thereof and electronic installation
CN104425231B (en) A kind of preparation method of semiconductor devices
CN106558546B (en) A kind of semiconductor device and preparation method thereof, electronic device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20141224