[go: up one dir, main page]

CN103854978A - Semiconductor device manufacturing method - Google Patents

Semiconductor device manufacturing method Download PDF

Info

Publication number
CN103854978A
CN103854978A CN201210495010.8A CN201210495010A CN103854978A CN 103854978 A CN103854978 A CN 103854978A CN 201210495010 A CN201210495010 A CN 201210495010A CN 103854978 A CN103854978 A CN 103854978A
Authority
CN
China
Prior art keywords
dummy gate
semiconductor device
manufacturing
gate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201210495010.8A
Other languages
Chinese (zh)
Inventor
秦长亮
尹海洲
殷华湘
洪培真
王桂磊
赵超
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Priority to CN201210495010.8A priority Critical patent/CN103854978A/en
Publication of CN103854978A publication Critical patent/CN103854978A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/01Manufacture or treatment
    • H10D64/017Manufacture or treatment using dummy gates in processes wherein at least parts of the final gates are self-aligned to the dummy gates, i.e. replacement gate processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/01Manufacture or treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明公开了一种半导体器件制造方法,包括:在衬底上形成假栅极绝缘层和假栅极层;光刻/刻蚀假栅极绝缘层和假栅极层形成假栅极堆叠;去除假栅极层,形成栅极沟槽;在栅极沟槽中形成内侧墙。依照本发明的半导体器件制造方法,在去除假栅极形成的栅极沟槽中形成内侧墙,减小了栅极线宽,提高了高k金属栅的填充率,提高了器件的性能和可靠性。

The invention discloses a method for manufacturing a semiconductor device, comprising: forming a dummy gate insulating layer and a dummy gate layer on a substrate; photoetching/etching the dummy gate insulating layer and the dummy gate layer to form a dummy gate stack; removing the dummy gate layer to form a gate trench; forming an inner wall in the gate trench. According to the semiconductor device manufacturing method of the present invention, the inner wall is formed in the gate trench formed by removing the dummy gate, which reduces the gate line width, improves the filling rate of the high-k metal gate, and improves the performance and reliability of the device. sex.

Description

半导体器件制造方法Semiconductor device manufacturing method

技术领域technical field

本发明涉及一种半导体器件制造方法,特别是涉及一种在后栅工艺中能有效控制栅极线条精细度的半导体器件制造方法。The invention relates to a method for manufacturing a semiconductor device, in particular to a method for manufacturing a semiconductor device that can effectively control the fineness of grid lines in a gate-last process.

背景技术Background technique

MOSFET器件等比例缩减至45nm之后,器件需要高介电常数(高k)作为栅极绝缘层以及金属作为栅极导电层的堆叠结构以抑制由于多晶硅栅极耗尽问题带来的高栅极泄漏以及栅极电容减小。为了更有效控制栅极堆叠的形貌(profile),业界目前普遍采用后栅工艺,也即通常先在衬底上沉积多晶硅等材质的假栅极,沉积层间介质层(ILD)之后去除假栅极,随后在留下的栅极沟槽中填充高k/金属栅(HK/MG)膜层的堆叠。After MOSFET devices are scaled down to 45nm, the device requires a stack structure of high dielectric constant (high k) as the gate insulating layer and metal as the gate conductive layer to suppress high gate leakage due to polysilicon gate depletion issues and the gate capacitance is reduced. In order to control the profile of the gate stack more effectively, the gate-last process is widely used in the industry, that is, the dummy gates made of polysilicon and other materials are usually deposited on the substrate first, and the dummy gates are removed after depositing the interlayer dielectric layer (ILD). gate, followed by filling the remaining gate trenches with a stack of high-k/metal gate (HK/MG) film layers.

值得注意的是,在现有的后栅工艺中,衬底中轻掺杂源漏区(LDD结构的源漏延伸区或者晕状的halo结构)的形成往往需要在形成假栅极堆叠之后利用偏移侧墙来实现,这使得最终器件栅极控制下沟道区的长度为假栅极宽度与2倍偏移侧墙厚度之和,无法有效地实现精细的小尺寸器件,性能提高程度有限。It is worth noting that in the existing gate-last process, the formation of the lightly doped source and drain regions in the substrate (the source and drain extension regions of the LDD structure or the halo-like halo structure) often needs to be used after the formation of the dummy gate stack. This makes the length of the channel region under the control of the gate of the final device equal to the sum of the width of the dummy gate and twice the thickness of the offset sidewall, which cannot effectively realize fine and small-sized devices, and the degree of performance improvement is limited .

此外,受限于假栅极线条的光刻/刻蚀工艺,线条的上下宽度往往不等,通常其下方宽度要大于上方宽度,从而形成了正梯形剖面。这种梯形剖面在后续去除假栅极形成栅极沟槽以及在栅极沟槽中填充形成栅极堆叠时,会影响栅极金属的填充,使得栅极中存在孔洞、乃至断点,影响了器件的性能、降低了可靠性。In addition, limited by the photolithography/etching process of the dummy gate lines, the upper and lower widths of the lines are often different, and usually the lower width is greater than the upper width, thus forming a positive trapezoidal profile. This trapezoidal cross-section will affect the filling of the gate metal when the dummy gate is subsequently removed to form a gate trench and the gate trench is filled to form a gate stack, so that there are holes or even breakpoints in the gate, which affects the device performance, reducing reliability.

而现有技术中为了提高(假)栅极线条的准直度,需要采用较复杂、昂贵的高级光刻/刻蚀工艺,提高了制造成本以及增大了耗费时间。However, in the prior art, in order to improve the collimation of (false) gate lines, it is necessary to adopt a relatively complex and expensive advanced photolithography/etching process, which increases the manufacturing cost and increases the time consumption.

发明内容Contents of the invention

由上所述,本发明的目的在于克服上述技术困难,提出一种新的半导体器件制造方法,能有效地控制栅极线条的精细度,同时由于侧墙的形貌的特点导致用该方法形成的器件更加有利于高K金属栅极的填充。From the above, the purpose of the present invention is to overcome the above-mentioned technical difficulties, and propose a new semiconductor device manufacturing method, which can effectively control the fineness of the gate line, and at the same time, due to the characteristics of the side wall shape, it is formed by this method. The device is more conducive to the filling of high-K metal gate.

为此,本发明提供了一种半导体器件制造方法,包括:在衬底上形成假栅极绝缘层和假栅极层;光刻/刻蚀假栅极绝缘层和假栅极层形成假栅极堆叠;去除假栅极层,形成栅极沟槽;在栅极沟槽中形成内侧墙。For this reason, the present invention provides a kind of semiconductor device manufacturing method, comprising: forming dummy gate insulating layer and dummy gate layer on substrate; Photoetching/etching dummy gate insulating layer and dummy gate layer form dummy gate electrode stacking; removing the dummy gate layer to form a gate trench; forming an inner wall in the gate trench.

其中,形成假栅极堆叠之后,直接以假栅极堆叠为掩模,进行源漏轻掺杂,形成源漏扩展区和/或晕状源漏掺杂区。Wherein, after the dummy gate stack is formed, the dummy gate stack is directly used as a mask to lightly dope the source and drain to form a source-drain extension region and/or a halo-shaped source-drain doped region.

其中,形成假栅极堆叠之后,还包括在假栅极堆叠两侧衬底上形成栅极侧墙。Wherein, after forming the dummy gate stack, it also includes forming gate spacers on the substrates on both sides of the dummy gate stack.

其中,栅极侧墙为多层结构。Wherein, the gate sidewall is a multi-layer structure.

其中,形成栅极侧墙之后,还包括以栅极侧墙为掩模,进行源漏重掺杂,形成源漏区。Wherein, after forming the gate spacer, it also includes using the gate spacer as a mask to perform heavy doping of the source and drain to form the source and drain regions.

其中,形成假栅极堆叠之后,还包括在衬底上形成层间介质层。Wherein, after forming the dummy gate stack, it also includes forming an interlayer dielectric layer on the substrate.

其中,采用湿法刻蚀和/或干法刻蚀去除假栅极层,停留在假栅极绝缘层上。Wherein, the dummy gate layer is removed by wet etching and/or dry etching, and stays on the dummy gate insulating layer.

其中,湿法刻蚀采用TMAH腐蚀液,干法刻蚀包括氧等离子体刻蚀、氟基或氯基等离子体刻蚀、反应离子刻蚀。Wherein, the wet etching adopts TMAH etching solution, and the dry etching includes oxygen plasma etching, fluorine-based or chlorine-based plasma etching, and reactive ion etching.

其中,内侧墙包括氧化硅、氮化硅、DLC及其组合。Wherein, the inner wall includes silicon oxide, silicon nitride, DLC and combinations thereof.

其中,假栅极绝缘层包括氧化硅、高k材料及其组合,假栅极层包括多晶硅、非晶硅、SiGe、Si:C、非晶锗、非晶碳及其组合。Wherein, the dummy gate insulating layer includes silicon oxide, high-k materials and combinations thereof, and the dummy gate layer includes polysilicon, amorphous silicon, SiGe, Si:C, amorphous germanium, amorphous carbon and combinations thereof.

其中,去除假栅极层之后,进一步包括去除假栅极绝缘层。Wherein, after removing the dummy gate layer, further includes removing the dummy gate insulating layer.

依照本发明的半导体器件制造方法,在去除假栅极形成的栅极沟槽中形成内侧墙,减小了栅极线宽,提高了高k金属栅的填充率,提高了器件的性能和可靠性。According to the semiconductor device manufacturing method of the present invention, the inner wall is formed in the gate trench formed by removing the dummy gate, which reduces the gate line width, improves the filling rate of the high-k metal gate, and improves the performance and reliability of the device. sex.

附图说明Description of drawings

以下参照附图来详细说明本发明的技术方案,其中:Describe technical scheme of the present invention in detail below with reference to accompanying drawing, wherein:

图1至图4为依照本发明的半导体器件制造方法各步骤的示意图。1 to 4 are schematic diagrams of various steps of a semiconductor device manufacturing method according to the present invention.

具体实施方式Detailed ways

以下参照附图并结合示意性的实施例来详细说明本发明技术方案的特征及其技术效果,公开了能有效控制栅极线条精细度的半导体器件制造方法。需要指出的是,类似的附图标记表示类似的结构,本申请中所用的术语“第一”、“第二”、“上”、“下”等等可用于修饰各种器件结构或制造工序。这些修饰除非特别说明并非暗示所修饰器件结构或制造工序的空间、次序或层级关系。The features and technical effects of the technical solution of the present invention will be described in detail below with reference to the accompanying drawings and in combination with schematic embodiments, and a semiconductor device manufacturing method capable of effectively controlling the fineness of gate lines is disclosed. It should be pointed out that similar reference numerals represent similar structures, and the terms "first", "second", "upper", "lower" and the like used in this application can be used to modify various device structures or manufacturing processes . These modifications do not imply spatial, sequential or hierarchical relationships of the modified device structures or fabrication processes unless specifically stated.

以下参照图1~图4各个步骤的示意图,来详细描述本发明的技术方案。The technical solution of the present invention will be described in detail below with reference to the schematic diagrams of each step in FIGS. 1 to 4 .

参照图1的剖视图,在衬底上形成假栅极绝缘层和假栅极层,光刻/刻蚀形成假栅极堆叠。Referring to the cross-sectional view of FIG. 1 , a dummy gate insulating layer and a dummy gate layer are formed on a substrate, and a dummy gate stack is formed by photolithography/etching.

提供衬底1,衬底1依照器件用途需要而合理选择,可包括单晶体硅(Si)、单晶体锗(Ge)、应变硅(Strained Si)、锗硅(SiGe),或是化合物半导体材料,例如氮化镓(GaN)、砷化镓(GaAs)、磷化铟(InP)、锑化铟(InSb),以及碳基半导体例如石墨烯、SiC、碳纳管等等。出于与CMOS工艺兼容的考虑,衬底1优选地为体Si。在形成假栅极绝缘层之前,优选地,采用氟基溶液-诸如稀释HF(dHF)溶液或者稀释缓释刻蚀剂(dBOE)进行短时间的表面清洁,去除假栅极绝缘层与衬底之间可能存在的氧化物,例如氧化硅薄层。A substrate 1 is provided, and the substrate 1 is reasonably selected according to the application requirements of the device, and may include single crystal silicon (Si), single crystal germanium (Ge), strained silicon (Strained Si), silicon germanium (SiGe), or a compound semiconductor material, such as Gallium nitride (GaN), gallium arsenide (GaAs), indium phosphide (InP), indium antimonide (InSb), and carbon-based semiconductors such as graphene, SiC, carbon nanotubes, etc. In consideration of compatibility with CMOS technology, the substrate 1 is preferably bulk Si. Before forming the dummy gate insulating layer, it is preferable to use a fluorine-based solution such as dilute HF (dHF) solution or dilute slow-release etchant (dBOE) for short-term surface cleaning to remove the dummy gate insulating layer and the substrate. There may be oxides, such as thin layers of silicon oxide, in between.

随后,采用CVD工艺,例如LPCVD、PECVD、HDPCVD等,在衬底1上沉积假栅极绝缘层2,其材质可以是氧化硅、高k材料及其组合。高k材料包括但不限于氮化物(例如SiN、AIN、TiN)、金属氧化物(主要为副族和镧系金属元素氧化物,例如MgO、Al2O3、Ta2O5、TiO2、ZnO、ZrO2、HfO2、CeO2、Y2O3、La2O3)、钙钛矿相氧化物(例如PbZrxTi1-xO3(PZT)、BaxSr1-xTiO3(BST))。假栅极绝缘层2的厚度不能太厚,避免影响栅极形貌,优选地为1~5nm。Subsequently, a CVD process, such as LPCVD, PECVD, HDPCVD, etc., is used to deposit a dummy gate insulating layer 2 on the substrate 1, and its material may be silicon oxide, a high-k material or a combination thereof. High-k materials include, but are not limited to, nitrides (e.g., SiN, AlN, TiN), metal oxides (mainly oxides of subgroup and lanthanide metal elements, such as MgO, Al 2 O 3 , Ta 2 O 5 , TiO 2 , ZnO, ZrO 2 , HfO 2 , CeO 2 , Y 2 O 3 , La 2 O 3 ), perovskite phase oxides (such as PbZr x Ti 1-x O 3 (PZT), Ba x Sr 1-x TiO 3 (BST)). The thickness of the dummy gate insulating layer 2 should not be too thick to avoid affecting the gate morphology, preferably 1-5 nm.

之后,采用CVD、PVD等常用工艺,例如LPCVD、PECVD、HDPCVD、MBE、ALD、蒸发、溅射等工艺,形成假栅极层3,其材质可以是多晶硅、非晶硅、SiGe、Si:C、非晶锗、非晶碳等及其组合,优选地为多晶硅、非晶硅。Afterwards, common processes such as CVD and PVD, such as LPCVD, PECVD, HDPCVD, MBE, ALD, evaporation, sputtering and other processes, are used to form the dummy gate layer 3, and its material can be polysilicon, amorphous silicon, SiGe, Si:C , amorphous germanium, amorphous carbon, etc. and combinations thereof, preferably polysilicon, amorphous silicon.

采用常用的光刻/刻蚀工艺来图案化假栅极层3以及假栅极绝缘层2,形成假栅极堆叠结构3/2。直接以假栅极堆叠结构3/2为掩模,进行低剂量、低能量的第一次源漏掺杂离子注入,在假栅极堆叠两侧的衬底1中形成轻掺杂的源漏延伸区1SL和1DL。此外,还可以进行倾斜离子注入,形成晕状源漏掺杂区(HaIo区,未示出)。由于去除了偏移侧墙的形成步骤,缩短了栅极控制下沟道区的长度,有利于制造精细的小尺寸器件。The dummy gate layer 3 and the dummy gate insulating layer 2 are patterned by a common photolithography/etching process to form a dummy gate stack structure 3/2. Directly use the dummy gate stack structure 3/2 as a mask to perform the first low-dose, low-energy source-drain doping ion implantation to form lightly doped source-drain in the substrate 1 on both sides of the dummy gate stack Extensions 1SL and 1DL. In addition, oblique ion implantation can also be performed to form a halo-shaped source-drain doped region (HaIo region, not shown). Since the formation step of the offset side wall is removed, the length of the channel region under gate control is shortened, which is beneficial to the manufacture of fine and small-scale devices.

参照图2,在假栅极堆叠结构两侧形成栅极侧墙,在栅极侧墙两侧衬底中形成重掺杂的源漏区。采用LPCVD、PECVD、HDPCVD等工艺,在整个器件上沉积例如氮化硅、氮氧化硅、类金刚石无定形碳(DLC)的绝缘材料并刻蚀形成栅极侧墙4。以栅极侧墙4为掩模,进行高剂量、高能量的第二次源漏掺杂离子注入,在栅极侧墙4两侧的衬底1重形成重掺杂的源漏区1SH和1DH。优选地,栅极侧墙4可以为多层结构(图中未示出),例如至少包括三层层叠结构,分别为内侧的与假栅极堆叠接触的第一栅极侧墙、第一栅极侧墙外侧的L型(具有纵向的第一部分以及横向的第二部分)的栅极侧墙间隔层、以及栅极侧墙间隔层外侧和之上的第二栅极侧墙(其位于栅极侧墙间隔层的纵向第一部分的外侧,并且位于栅极侧墙间隔层的横向第二部分上)。第一栅极侧墙的材质例如是非晶碳或者氮化硅,可以采用LPCVD、PECVD、HDPCVD工艺形成,并优选LPCVD制作的氮化硅。栅极侧墙间隔层例如是CVD法制备的氧化硅,以便提供与其他相邻层的高刻蚀选择比,从而控制栅极/侧墙的形貌。第二栅极侧墙可以是CVD法制备的氮化硅、类金刚石无定形碳(DLC)、氮氧化硅等等。Referring to FIG. 2 , gate spacers are formed on both sides of the dummy gate stack structure, and heavily doped source and drain regions are formed in the substrate on both sides of the gate spacer. Using LPCVD, PECVD, HDPCVD and other processes, insulating materials such as silicon nitride, silicon oxynitride, and diamond-like amorphous carbon (DLC) are deposited on the entire device and etched to form gate spacers 4 . Using the gate spacer 4 as a mask, a second high-dose, high-energy source-drain doping ion implantation is performed to form heavily doped source-drain regions 1SH and 1SH on the substrate 1 on both sides of the gate spacer 4 1DH. Preferably, the gate spacer 4 may be a multi-layer structure (not shown in the figure), for example, including at least a three-layer stack structure, which are respectively the first gate spacer on the inner side and the first gate spacer in contact with the dummy gate stack. L-shaped gate spacer spacer (with a longitudinal first part and a lateral second part) outside the pole spacer, and a second gate spacer outside and above the gate spacer spacer (which is located at the gate outside of the first longitudinal portion of the pole spacer spacer and on the second lateral portion of the gate spacer spacer). The material of the first gate spacer is, for example, amorphous carbon or silicon nitride, which can be formed by LPCVD, PECVD, or HDPCVD, and silicon nitride produced by LPCVD is preferred. The gate spacer spacer layer is, for example, silicon oxide prepared by CVD, so as to provide a high etching selectivity with other adjacent layers, thereby controlling the morphology of the gate/spacer. The second gate spacer can be silicon nitride prepared by CVD, diamond-like amorphous carbon (DLC), silicon oxynitride and the like.

参照图3,去除假栅极层,形成栅极沟槽。在整个器件上通过旋涂、喷涂、丝网印刷、CVD沉积等工艺,形成层间介质层5,其材料优选为低k材料,包括但不限于有机低k材料(例如含芳基或者多元环的有机聚合物)、无机低k材料(例如无定形碳氮薄膜、多晶硼氮薄膜、氟硅玻璃、BSG、PSG、BPSG)、多孔低k材料(例如二硅三氧烷(SSQ)基多孔低k材料、多孔二氧化硅、多孔SiOCH、掺C二氧化硅、掺F多孔无定形碳、多孔金刚石、多孔有机聚合物)。随后,刻蚀去除假栅极层3,在ILD5中留下栅极沟槽。对于硅材质的假栅极层3而言,可以采用TMAH湿法腐蚀去除;对于非晶碳材质,可以选用氧等离子体刻蚀,可以有效避免对相邻材料的侵蚀,有助于提高线条精细度;对于其他材料,可以选用氟基或者氯基刻蚀气体的等离子体干法刻蚀或者反应离子刻蚀(RIE)。优选地,刻蚀停止在假栅极绝缘层2上层2用于在刻蚀过程中保护衬底沟道区表面不受侵蚀,有利于减小沟道表面缺陷、提高器件可靠性。此外,也可以在去除假栅极层3之后进一步去除假栅极绝缘层2(图中未示出去除层2的步骤),直至暴露衬底1,并且随后采用化学氧化(例如浸入含10ppm臭氧的去离子水中20s)方法形成超薄(例如小于等于1nm厚度)的氧化硅的界面层,用于减小高k栅介质与衬底之间的界面缺陷。Referring to FIG. 3 , the dummy gate layer is removed to form gate trenches. The interlayer dielectric layer 5 is formed on the entire device by processes such as spin coating, spray coating, screen printing, and CVD deposition. organic polymers), inorganic low-k materials (such as amorphous carbon-nitrogen films, polycrystalline boron-nitride films, fluorosilicate glass, BSG, PSG, BPSG), porous low-k materials (such as Porous low-k materials, porous silica, porous SiOCH, C-doped silica, F-doped porous amorphous carbon, porous diamond, porous organic polymer). Subsequently, the dummy gate layer 3 is removed by etching, leaving a gate trench in the ILD5. For the dummy gate layer 3 made of silicon, it can be removed by TMAH wet etching; for the amorphous carbon material, oxygen plasma etching can be used, which can effectively avoid the erosion of adjacent materials and help improve the fineness of lines. For other materials, plasma dry etching or reactive ion etching (RIE) with fluorine-based or chlorine-based etching gases can be used. Preferably, the etching stops on the upper layer 2 of the dummy gate insulating layer 2 to protect the surface of the channel region of the substrate from erosion during the etching process, which is beneficial to reduce channel surface defects and improve device reliability. In addition, it is also possible to further remove the dummy gate insulating layer 2 after removing the dummy gate layer 3 (the step of removing layer 2 is not shown in the figure), until the substrate 1 is exposed, and then use chemical oxidation (such as immersion in 10ppm ozone 20s in deionized water) method to form an ultra-thin (for example, less than or equal to 1 nm thickness) silicon oxide interface layer, which is used to reduce interface defects between the high-k gate dielectric and the substrate.

参照图4,在栅极沟槽中形成内侧墙。通过LPCVD、PECVD、HDPCVD、MOCVD、MBE、ALD等工艺,在栅极沟槽中沉积绝缘材料,并刻蚀形成了内侧墙6。内侧墙6与栅极侧墙4接触,并位于栅极侧墙4内侧。内侧墙6的材质可以是常用的氧化硅、氮化硅及其组合,还可以是类金刚石无定形碳(DLC)以向沟道区施加应力从而增大载流子迁移率。由于内侧墙6通常形成为上窄下宽,因此使得栅极沟槽中剩余空间变为上宽下窄的倒梯形,此种倒梯形剖面形貌有利于提高后续金属栅极的填充率,避免孔洞形成,提高了器件可靠性。此外,最终实际形成的栅极线条的宽度为假栅极3宽度减去两个内侧墙6厚度,因此有利于控制小尺寸器件的线条精细度。Referring to FIG. 4 , inner sidewalls are formed in the gate trenches. Through LPCVD, PECVD, HDPCVD, MOCVD, MBE, ALD and other processes, an insulating material is deposited in the gate trench, and the inner wall 6 is formed by etching. The inner wall 6 is in contact with the gate spacer 4 and is located inside the gate spacer 4 . The material of the inner wall 6 can be commonly used silicon oxide, silicon nitride and combinations thereof, and can also be diamond-like amorphous carbon (DLC) to apply stress to the channel region to increase carrier mobility. Since the inner wall 6 is usually formed to be narrow at the top and wide at the bottom, the remaining space in the gate trench becomes an inverted trapezoid with a wide top and a narrow bottom. Holes are formed, improving device reliability. In addition, the width of the finally formed gate line is the width of the dummy gate 3 minus the thickness of the two inner wall 6 , which is beneficial to control the line fineness of small-sized devices.

此后,可以进一步采用常规后栅工艺,完成器件的制造。例如可以包括:在栅极沟槽中沉积高k材料的栅极绝缘层,在栅极绝缘层上沉积金属/金属氮化物的栅极导电层(包括功函数调节层和电阻调节层),CMP平坦化各层直至暴露ILD5,刻蚀ILD5形成接触孔,在接触孔中形成金属硅化物以降低接触电阻(金属硅化物也可以在形成ILD5之前而在源漏区上形成),在接触孔中填充金属形成接触塞。Thereafter, the conventional gate-last process can be further adopted to complete the fabrication of the device. For example, it may include: depositing a gate insulating layer of high-k material in the gate trench, depositing a metal/metal nitride gate conductive layer (including work function adjustment layer and resistance adjustment layer) on the gate insulation layer, CMP Planarize each layer until the ILD5 is exposed, etch the ILD5 to form a contact hole, and form a metal silicide in the contact hole to reduce the contact resistance (the metal silicide can also be formed on the source and drain regions before forming the ILD5), in the contact hole The filling metal forms contact plugs.

依照本发明的半导体器件制造方法,在去除假栅极形成的栅极沟槽中形成内侧墙,减小了栅极线宽,提高了高k金属栅的填充率,提高了器件的性能和可靠性。According to the semiconductor device manufacturing method of the present invention, the inner wall is formed in the gate trench formed by removing the dummy gate, which reduces the gate line width, improves the filling rate of the high-k metal gate, and improves the performance and reliability of the device. sex.

尽管已参照一个或多个示例性实施例说明本发明,本领域技术人员可以知晓无需脱离本发明范围而对器件结构做出各种合适的改变和等价方式。此外,由所公开的教导可做出许多可能适于特定情形或材料的修改而不脱离本发明范围。因此,本发明的目的不在于限定在作为用于实现本发明的最佳实施方式而公开的特定实施例,而所公开的器件结构及其制造方法将包括落入本发明范围内的所有实施例。While the invention has been described with reference to one or more exemplary embodiments, those skilled in the art will recognize various suitable changes and equivalents in device structures that do not depart from the scope of the invention. In addition, many modifications, possibly suited to a particular situation or material, may be made from the disclosed teaching without departing from the scope of the invention. Therefore, it is intended that the invention not be limited to the particular embodiment disclosed as the best mode for carrying out this invention, but that the disclosed device structures and methods of making the same will include all embodiments falling within the scope of the invention .

Claims (11)

1.一种半导体器件制造方法,包括:1. A method of manufacturing a semiconductor device, comprising: 在衬底上形成假栅极绝缘层和假栅极层;forming a dummy gate insulating layer and a dummy gate layer on the substrate; 光刻/刻蚀假栅极绝缘层和假栅极层形成假栅极堆叠;Photolithography/etching dummy gate insulating layer and dummy gate layer to form a dummy gate stack; 去除假栅极层,形成栅极沟槽;removing the dummy gate layer to form a gate trench; 在栅极沟槽中形成内侧墙。An inner wall is formed in the gate trench. 2.如权利要求1的半导体器件制造方法,其中,形成假栅极堆叠之后,直接以假栅极堆叠为掩模,进行源漏轻掺杂,形成源漏扩展区和/或晕状源漏掺杂区。2. The semiconductor device manufacturing method according to claim 1, wherein, after forming the dummy gate stack, the source and drain are lightly doped directly using the dummy gate stack as a mask to form source and drain extension regions and/or halo source and drain doped area. 3.如权利要求1的半导体器件制造方法,其中,形成假栅极堆叠之后,还包括在假栅极堆叠两侧衬底上形成栅极侧墙。3. The method for manufacturing a semiconductor device according to claim 1, further comprising forming gate spacers on the substrates on both sides of the dummy gate stack after forming the dummy gate stack. 4.如权利要求3的半导体器件制造方法,其中,栅极侧墙为多层结构。4. The method of manufacturing a semiconductor device according to claim 3, wherein the gate spacer is a multi-layer structure. 5.如权利要求3的半导体器件制造方法,其中,形成栅极侧墙之后,还包括以栅极侧墙为掩模,进行源漏重掺杂,形成源漏区。5 . The method for manufacturing a semiconductor device according to claim 3 , further comprising, after forming the gate spacer, heavily doping the source and drain using the gate spacer as a mask to form the source and drain regions. 6.如权利要求1的半导体器件制造方法,其中,形成假栅极堆叠之后,还包括在衬底上形成层间介质层。6. The method for manufacturing a semiconductor device according to claim 1, further comprising forming an interlayer dielectric layer on the substrate after forming the dummy gate stack. 7.如权利要求1的半导体器件制造方法,其中,采用湿法刻蚀和/或干法刻蚀去除假栅极层,停留在假栅极绝缘层上。7. The method for manufacturing a semiconductor device according to claim 1, wherein the dummy gate layer is removed by wet etching and/or dry etching, and stays on the dummy gate insulating layer. 8.如权利要求7的半导体器件制造方法,其中,湿法刻蚀采用TMAH腐蚀液,干法刻蚀包括氧等离子体刻蚀、氟基或氯基等离子体刻蚀、反应离子刻蚀。8. The semiconductor device manufacturing method according to claim 7, wherein the wet etching uses TMAH etchant, and the dry etching includes oxygen plasma etching, fluorine-based or chlorine-based plasma etching, and reactive ion etching. 9.如权利要求1的半导体器件制造方法,其中,内侧墙包括氧化硅、氮化硅、DLC及其组合。9. The method of manufacturing a semiconductor device according to claim 1, wherein the inner wall comprises silicon oxide, silicon nitride, DLC, and combinations thereof. 10.如权利要求1的半导体器件制造方法,其中,假栅极绝缘层包括氧化硅、高k材料及其组合,假栅极层包括多晶硅、非晶硅、SiGe、Si:C、非晶锗、非晶碳及其组合。10. The method for manufacturing a semiconductor device according to claim 1, wherein the dummy gate insulating layer comprises silicon oxide, high-k materials and combinations thereof, and the dummy gate layer comprises polysilicon, amorphous silicon, SiGe, Si:C, amorphous germanium , amorphous carbon and combinations thereof. 11.如权利要求1的半导体器件制造方法,其中,去除假栅极层之后,进一步包括去除假栅极绝缘层。11. The method for manufacturing a semiconductor device according to claim 1, further comprising removing the dummy gate insulating layer after removing the dummy gate layer.
CN201210495010.8A 2012-11-28 2012-11-28 Semiconductor device manufacturing method Pending CN103854978A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201210495010.8A CN103854978A (en) 2012-11-28 2012-11-28 Semiconductor device manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210495010.8A CN103854978A (en) 2012-11-28 2012-11-28 Semiconductor device manufacturing method

Publications (1)

Publication Number Publication Date
CN103854978A true CN103854978A (en) 2014-06-11

Family

ID=50862490

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210495010.8A Pending CN103854978A (en) 2012-11-28 2012-11-28 Semiconductor device manufacturing method

Country Status (1)

Country Link
CN (1) CN103854978A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106952908A (en) * 2016-01-06 2017-07-14 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and manufacturing method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6348385B1 (en) * 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
US20090189201A1 (en) * 2008-01-24 2009-07-30 Chorng-Ping Chang Inward dielectric spacers for replacement gate integration scheme
US20110186915A1 (en) * 2010-01-29 2011-08-04 Thilo Scheiper Replacement gate approach based on a reverse offset spacer applied prior to work function metal deposition
CN102194680A (en) * 2010-03-09 2011-09-21 台湾积体电路制造股份有限公司 Method for manufacturing grid structure

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6348385B1 (en) * 2000-11-30 2002-02-19 Chartered Semiconductor Manufacturing Ltd. Method for a short channel CMOS transistor with small overlay capacitance using in-situ doped spacers with a low dielectric constant
US20090189201A1 (en) * 2008-01-24 2009-07-30 Chorng-Ping Chang Inward dielectric spacers for replacement gate integration scheme
US20110186915A1 (en) * 2010-01-29 2011-08-04 Thilo Scheiper Replacement gate approach based on a reverse offset spacer applied prior to work function metal deposition
CN102194680A (en) * 2010-03-09 2011-09-21 台湾积体电路制造股份有限公司 Method for manufacturing grid structure

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
K. M. TAN, ET AL.: "A High-Stress Liner Comprising Diamond-Like Carbon (DLC) for Strained p-Channel MOSFET", 《IEEE ELECTRON DEVICE LETTERS》 *
李家值: "《半导体化学原理》", 31 August 1980 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106952908A (en) * 2016-01-06 2017-07-14 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and manufacturing method thereof
CN106952908B (en) * 2016-01-06 2020-05-08 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and manufacturing method thereof

Similar Documents

Publication Publication Date Title
CN103390644B (en) Semiconductor device and method for manufacturing the same
US8791502B2 (en) Semiconductor device and method of manufacturing the same
US20130299920A1 (en) Semiconductor device and method for manufacturing the same
US9614050B2 (en) Method for manufacturing semiconductor devices
CN103035712B (en) Semiconductor device and method for manufacturing the same
US20140361353A1 (en) Semiconductor device and method for manufacturing the same
WO2014079234A1 (en) Semiconductor device and manufacturing method therefor
CN103839808B (en) Semiconductor device manufacturing method
CN103390556B (en) Semiconductor device manufacturing method
CN103531455B (en) Semiconductor device and method for manufacturing the same
CN103811543B (en) Semiconductor device and method for manufacturing the same
CN103839819A (en) Semiconductor device and method for manufacturing the same
CN103839818B (en) Semiconductor device manufacturing method
CN104078363A (en) Semiconductor device manufacturing method
CN104112668B (en) Semiconductor device and method for manufacturing the same
CN105633004A (en) Self-Aligned Contact Fabrication Method
CN102842614B (en) Semiconductor device and method for manufacturing the same
CN105632906B (en) Self-Aligned Contact Fabrication Method
CN105632921B (en) Self-aligned contact fabrication method
CN103730369B (en) Semiconductor device manufacturing method
CN104332406A (en) Semiconductor device and method for manufacturing the same
CN103854978A (en) Semiconductor device manufacturing method
CN103839792B (en) Semiconductor device manufacturing method
CN103855003B (en) Semiconductor device manufacturing method
CN103681844A (en) Semiconductor device and method for manufacturing the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20140611

RJ01 Rejection of invention patent application after publication