[go: up one dir, main page]

0% found this document useful (0 votes)
116 views32 pages

M. Tech. VLSI and Embedded System

Uploaded by

randomlyol123
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
116 views32 pages

M. Tech. VLSI and Embedded System

Uploaded by

randomlyol123
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 32

Academic Program: M.

Tech in VLSI and Embedded System

Two year Master of Technology (MTech)


Degree Program
in
VLSI and Embedded System
by
Department of Electrical Engineering Department
Indian Institute of Technology Patna
Bihta, Patna-801106

Page 1 of 32
Academic Program: M. Tech in VLSI and Embedded System

Program Learning Outcomes:

Program Learning Objectives: Program Learning Outcomes:

Program Goal 1: Identify, formulate Program Learning Outcome 1a: Graduates will be able to take up
and solve engineering problems in the career in the field of design, testing and implementation of VLSI
field of Embedded system and VLSI systems in any said domain in the real world.
Program Learning Outcome 1b: Identify and apply appropriate
Electronic Design Automation (EDA) to solve real world problems
in VLSI and Embedded Systems domain to create innovative
products and systems.

Program Goal 2: Apply knowledge, Program Learning Outcome 2: Acquire in-depth knowledge of
proper methodology and modern tools to VLSI and Embedded systems in wider and global perspective, with
analyze and solve the problems in the an ability to discriminate, evaluate, analyze, synthesize and integrate
domain VLSI Design and Technology. for enhancement of knowledge.

Program Goal 3: Acquire competency Program Learning Outcome 3a: Pursue career in research in VLSI
in areas of VLSI and Embedded Systems, Design and Embedded Systems domain through self-learning and
IC Fabrication, Design, Testing, self-directed on cutting edge technologies
Verification and prototype development Program Learning Outcome 3b: Graduates will be able to achieve
focusing on applications. broad and in-depth knowledge of analysis and design of micro-
electronic components which will support them to pursue research
studies.

Program Goal 4: Acquire professional Program Learning Outcome 4a: Graduates will be able to asses,
and intellectual integrity and ethics of innovate, implement and serve the end users problems with cutting
research and recognize the need to edge solutions to meet industry standards
engage in learning with a high level of Program Learning Outcome 4b: Graduates will be able to work
enthusiasm and commitment to both as an individual and a team on multidisciplinary projects and
contribute to the community for excel in their career
sustainable development of society.

Page 2 of 32
Academic Program: M. Tech in VLSI and Embedded System

Sl. No. Subject Code SEMESTER I L T P C

1. XX51PQ Technical Writing and Soft 3 0 2 4


Skill
2. EC5151 Digital VLSI System 3 0 2 4
3. EC5152 Embedded System 3 0 2 4
4. EC5161 Semiconductor Device 3 0 2 4
Modeling and Simulation
5. EC51PQ/EC61PQ DE-1 3 0 0 3
6. EC51PQ/EC61PQ DE-2 3 0 0 3
7. XX61PQ IDE 3 0 0 3
TOTAL 18 0 8 25

Sl. No. Subject Code SEMESTER II L T P C


1. EC5251 Analog and Mixed Signal 3 0 2 4
Integrated Circuits
2. EC5252 High Performance Embedded 3 0 2 4
Computing system
3. EC52PQ/EC62PQ DE-3 3 0 0 3
4. EC52PQ/EC62PQ DE-4 3 0 0 3
5. EC52PQ/EC62PQ DE-5 3 0 0 3
6. RM6201 Research Methodology 3 1 0 4
7. IK6101 IKS 3 0 0 3
TOTAL 18 1 4 24

Sl. No. Subject Code SEMESTER III L T P C


1. EC6198 Summer Internship/ Mini Project* 0 0 12 3
2. EC6199 Project I 0 0 30 15
TOTAL 18

Sl. No. Subject Code SEMESTER IV L T P C


1. EC6299 Project II 0 0 42 21
TOTAL 21

GRAND TOTAL 88

Page 3 of 32
Academic Program: M. Tech in VLSI and Embedded System

Elective Courses:
1. Semester-I
DE-1 DE-2
EC5112 Opto-Electronics Materials and Devices EC5104 Quantum Computing
EC5113 Radio Frequency Integrated Circuits EC5111 VLSI Architecture Design and
Implementation
EC5114 Advanced Digital Image Processing EE5111 Control Techniques in Power Electronics
EC5158 VLSI Testing and Verification EC5166 Semiconductor Packaging Technology
EC5159 Bio Sensors and Circuits EC6151: Computer Vision
EC6150 CMOS Phase Locked Loops EC6157 VLSI Signal Processing

2. Semester-II
DE-3 DE-4 DE-5
EC5250 Patterns Recognition and EE5213 Recent Trends in EC5216 Silicon Photonics
Machine Learning Optimization Techniques
EC5204 Multimedia EC5254 Low Power VLSI EC5260 Embedded System
Communication Integration
EC5211 VLSI Technology EC5256 CAD VLSI EC5271 High Power Semiconductor
Devices
EC5264 Sensors and Actuators EE6215 Random Signals and Systems
EC6256 System-on-Programmable-
Chip Design
EC6254 MEMS and NEMS EC6252 Hardware Security EC6258 Real time Embedded
system Operating Systems

EC6270 Advance FPGA Platform EC6257 Network on Chip EC6271: Generative AI for Video
and System Surveillance System

Page 4 of 32
Academic Program: M. Tech in VLSI and Embedded System

Core Courses
Course EC5151
Number
Course L-T-P-C: 3-0-2-4
Credit
Course Title Digital VLSI System
Learning Lectures and Labs
Mode
Learning Complies with Program Goals 1, 2 and 3
Objectives
Course The Digital VLSI Systems course covers the design, analysis, and implementation of Very-Large-Scale
Description Integration (VLSI) circuits. It focuses on techniques for optimizing digital systems for performance, power,
and area.
Course Digital Systems and its applications; Basics on manufacturing process of Digital systems; Device and Wire
Outline Model; Design and implementation strategies of digital VLSI systems: Full and Semi-custom; Static and
Dynamic MOS Logic design and Characteristics: Combinational and sequential circuits and systems;
Introduction to ASIC and FPGA based system Design; Digital System and HDL; Design Steps of digital
systems; Digital Arithmetic circuits; Semiconductor Memory and peripheral circuits and Systems; Digital IC
testing and validation methodologies.
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. Ming-Bo Lin, “Introduction to VLSI Systems: A Logic, Circuit, and System Perspective”
Indian Edition, CRC Press, 2011.
2. Seetharaman Ramachandran, “Digital VLSI Systems design”, 1st Edition, Springer, 2007.
3. Michael John Sebastian Smith, “Application Specific Integrated Circuit” Addison Wesley,
Reprint edition, 1997.
4. J. M. Rabaey, A. Chandrakasan, and B. Nikolic, “Digital Integrated circuits: A design
perspective” 2nd Edition, Pearson Education India, 2016.
5. Sung-Mo Kang, and Yusuf Leblebici, “CMOS Digital Integrated Circuits”, 3rd Edition
McGraw-Hill Education, 2002.
6. Michael, D. Ciletti, “Advanced Digital Design with the Verilog HDL”, PHI Learning
Private Limited, 2012.
7. Samir Palnitkar, “Verilog HDL: A Guide to Digital Design and Synthesis”, Second Edition,
Prentice Hall PTR, 2003.

Course Number EC5152


Course Credit L-T-P-C: 3-0-2-4
Course Title Embedded System
Learning Mode Lectures and Labs
Learning Complies with Program Goals 1, 2, 3 and 4
Objectives
Course Embedded Systems focus on the design and integration of hardware and software in specialized computing
Description systems. The course explores real-time operating systems, microcontrollers, and applications in various
domains.
Course Outline Introduction to the Embedded systems, Basics of Microprocessors and Microcontrollers, Embedded
System models and Development Cycle, Embedded system design constraints
Sensors, Actuators, Embedded processor and memory architecture, Analog to Digital (A/D) convertors,
D/A convertors

Page 5 of 32
Academic Program: M. Tech in VLSI and Embedded System

Introduction to different processors, Arduino-Architecture, communication, Field Programmable Gate


Array (FPGA)-configurable logic blocks, ARM Processor- Architecture, Instruction Set, Pipelining,
Interfacing, Pulse Width Modulation
Communication Interfaces: Serial and Parallel communication, Onboard Communication Interfaces
(UART, SPI, I2C) and External Communication Interfaces (IR, Wireless-Bluetooth, Wireless LAN, USB,
Ethernet etc.),
Introduction to Embedded OS and RTOS, Task scheduling-clock-driven and event-driven, RMA and EDF
scheduling, Voltage Scheduling, priority inversion, inheritance and ceiling protocol, Multi-tasking
State Charts, Finite State Machines, Hierarchical state machines, Program State Machines, Specification
and description language (SDL), Embedded system analysis and verification.
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. P. Marwedel: Embedded System Design, Springer, ISBN978-3-319-56045-8,2018.
2. G.C.Buttazzo:HardReal-TimeComputingSystems.SpringerVerlag,ISBN978-1-4614-0676-1,2011.
3. Peter Marwedel, “Embedded System Design: Embedded Systems Foundations of Cyber-Physical
Systems”, Springer, 2011.
4. Edward A.Lee and Sanjit A .Seshia: Introduction to Embedded Systems, A Cyber-Physical Systems
Approach, Second Edition, MIT Press, ISBN978-0-262-53381-2,2017.
5. M.Wolf: Computers as Components–Principles of Embedded System Design. Morgan Kaufman
Publishers , ISBN978-0-128-05387-4,2016.
6. Mazidi & Mazidi, “8051MicrocontrollerandEmbeddedSystems” Steve Furber,―ARM System-On-
Chip Architecture, Second Edition, Pearson Publisher,2015.
7. Shibu K V, ―Introduction to Embedded Systems‖, Tata McGraw Hill Education Private Limited,
2009.
8. Steve Furber, ― ARM System-On-Chip Architecture‖, Second Edition, Pearson Publisher, 2015.
9. N. Sloss, D. Symes, and C. Wright, "ARM system developer's guide: Designing and optimizing and
system software", Elsevier, 2008.

Course Number EC5153


Course Credit L-T-P-C: 3-0-2-4
Course Title Embedded System Lab
Learning Mode Lectures and Labs
Learning Complies with Program Goals 1 and 2
Objectives
Course Embedded Systems focus on the design and integration of hardware and software in specialized computing
Description systems. The course explores real-time operating systems, microcontrollers, and applications in various
domains.
Course Outline Module 1: Introduction to Embedded Systems Overview of Embedded Systems, Basics of
Microcontrollers, Introduction to Arduino and Raspberry Pi, Digital I/O Programming, Analog I/O
Programming, Interfacing with LEDs and Switches, PWM Control, Interfacing with LCD Display, Serial
Communication Basics, Arduino IDE and Programming
Module 2: Sensor Interfacing and Data Acquisition Introduction to Sensors, Interfacing Temperature
Sensors, Working with Light Sensors, Motion Detection Using PIR Sensors, Interfacing with Ultrasonic
Sensors, ADC Programming, Data Logging on SD Card, IoT Data Collection Basics, Real-time Data
Acquisition, Introduction to Signal Processing
Module 3: Communication Protocols and IoT Integration Basics of SPI Protocol, I2C Protocol
Implementation, UART Communication, Introduction to MQTT Protocol, IoT Connectivity with
ESP8266/ESP32, Cloud Data Integration, Working with REST APIs, IoT Dashboard Creation, Remote
Monitoring and Control
Module 4: Advanced Embedded System Projects Home Automation System, Environmental Monitoring
System, Smart Agriculture Project, Wearable Health Monitoring, Robotics Control Basics, Introduction to
Machine Learning on Embedded Systems, Voice Controlled Devices, GPS and Location Tracking,
Energy Management Systems, Final Project Design and Implementation.
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes

Page 6 of 32
Academic Program: M. Tech in VLSI and Embedded System

Assessment Quizzes/Assignments, Mid Sem, and End Sem


Method
Suggested Text/References
Readings 1. Monson-Haefel, R. (2019). Embedded Systems Architecture: Explore architectural concepts,
pragmatic design patterns, and best practices to produce robust systems (2nd ed.). Elsevier.
2. Barr, M., & Massa, A. (2020). Programming Embedded Systems: With C and GNU Development
Tools (2nd ed.). O'Reilly Media.
3. Wolf, W. (2018). Computers as Components: Principles of Embedded Computing System Design
(4th ed.). Morgan Kaufmann.

Course Number EC5161


Course Credit L-T-P-C: 3-0-2-4
Course Title Semiconductor Device Modeling & Simulation
Learning Mode Lectures and Labs
Learning Complies with Program Goals 1 and 2
Objectives
Course Semiconductor Device Modeling & Simulation covers the principles and techniques for modeling the
Description behavior of semiconductor devices. The course includes simulation methods for analyzing device
performance and the impact of physical parameters on circuit functionality.

Course Outline Two-terminal MOS device: threshold voltage modeling (ideal case as well as considering the effects of
Φms and Dit.); C-V characteristics (ideal case as well as considering the effects of Qf, Qm and Dit); MOS
capacitor as a diagnostic tool (measurement of non-uniform doping profile, estimation of Qm and Dit)
4-terminal MOSFET: threshold voltage (considering the substrate bias); above threshold I-V modeling
(SPICE level 1,2,3 and 4); subthreshold current model; scaling; effect of threshold tailoring implant
(analytical modeling of threshold voltage using box approximation); buried channel MOSFET; short
channel, DIBL and narrow width effects; small signal analysis of MOSFETs (Meyer’s model) SOI
MOSFET: basic structure; threshold voltage modeling
Advanced topics: hot carriers in channel; EEPROMs; CCDs; high-K gate dielectrics
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. D. G.Ong, “Modern MOS Technology: Processes, Devices and Design”, McGraw Hill, 1984.
2. Y. Taur and T. H. Ning, “Fundamentals of modern VLSI Devices”, Cambridge Univ. Press,
1998.
3. S.M. Sze, “Physics of Semiconductor Devices”, 3rd Edition, Wiley-Interscience, 2006

Course Number EC5251


Course Credit L-T-P-C: 3-0-2-4
Course Title Analog and Mixed Signal Integrated Circuits
Learning Mode Lectures and Labs
Learning Complies with Program Goals 1, 2, 3 and 4
Objectives
Course Analog and Mixed Signal Integrated Circuits explore the design and analysis of circuits that process both
Description analog and digital signals. The course covers topics such as amplifiers, filters, data converters, and their
applications in modern electronics.

Course Outline CMOS Opamp: Basics of Differential amplifier with active load. Two CMOS Opamp Design, Frequency
compensation of the CMOS Opamp. Three stage Opamp with output buffer.
Reference circuits: Supply-insensitive biasing, Self-biased VTH-reference circuit, PTAT current
generation, Temperature-insensitive biasing.
Switched capacitor circuits: Switched capacitor amplifiers, integrators and filters.

Page 7 of 32
Academic Program: M. Tech in VLSI and Embedded System

Non-Linear Analog Circuits: Basic CMOS Comparator Design, Analog Multipliers, Multiplying Quad,
Level Shifting (Excluding Input Level Shifting For Multiplier).
Data converter fundamentals: Analog versus Digital Discrete Time Signals, Converting Analog Signals to
Data Signals, Sample and Hold Characteristics, DAC Specifications, ADC Specifications, Mixed-Signal
Layout Issues.
Data Converters Architectures: DAC Architectures, Digital Input Code, Resistors String, R-2R Ladder
Networks, Current Steering, Charge Scaling DACs, Cyclic DAC, Pipeline DAC, ADC Architectures,
Flash, 2-Step Flash ADC, Pipeline ADC, Integrating ADC, Successive Approximation ADC.
Oversampling Sigma-Delta modulator and converters.
Learning Complies with PLOs 1a, 1b, 2, 3a and 3b
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. B. Razavi, “Design of Analog CMOS Integrated Circuits” 1st Edition, McGraw Hill, 2000.
2. P. E. Allen and D. R. Holberg, “CMOS Analog Circuit Design” 2nd Edition, Oxford University
Press, 2002.
3. Paul Gray, P J Hurst, S H Lewis and R G Meyer, “Analysis and design of Analog Integrated
Circuits”, Wiley
4. Sergio Franco, “Analog Circuit Design Discrete and Integrated”, McGrawHill
5. Walt Kester, “The Data Conversion Handbook,” Elsevier
6. Franco Maloberti, “Data Converters”, Springer
7. Rudy van de Plassche, “CMOS Integrated A/D and D/A Converters,” Springer
8. Shanthi Pavan, Richard Schreier, “Understanding Delta-Sigma Data Converters” IEEE-Wiley
9. R. Jacaob Baker, “CMOS- Mixed Signal Circuit Design '' (Vol ll of CMOS: Circuit Design, Layout
and Simulation), IEEE Press and Wiley Interscience, 2002.

Course Number EC5252


Course Credit L-T-P-C: 3-0-2-4
Course Title High Performance Embedded Computing System
Learning Mode Lectures and Labs
Learning Complies with Program Goals 1, 2, 3 and 4
Objectives
Course This course focus on the architecture and design of embedded systems that require high computational
Description power. The course covers parallel processing, optimization techniques, and applications in areas such as
signal processing and real-time computing.
Course Outline Introduction and evolution of high-performance embedded computing system.
Basics of Computer Design & Performance Evaluation: Defining Computer Architecture, Quantitative
Principles of Computer Design, CPU Performance & its factors, SPEC Benchmarks.
Computational model: Basic computational models, Abstract level of processor, Open Source ISA, Micro-
Architecture,
High performance computer arithmetic architectures,
Instruction level Parallelisms: ILP concepts, Dependencies between instructions, preserving sequential
consistency.
Pipelining: Introduction to pipelining, Instruction pipeline design, Pipeline hazards, deep pipeline
microarchitecture and micro-operation,
Superscalar Processors: Introduction, Parallel decoding, Superscalar instruction issue, Shelving, Register
Renaming,
Memory System: Memory hierarchy, Memory system Performance, Cache Memory, Cache Coherence,
Memory Consistency, Cache Performance Issues, Shared Memory Organization. High performance Bus
Architecture, Parallel-Virtual-Machine Architecture and programming model. Multicore and
multiprocessor architecture, VLIW processor architectures. Array and vector processors.
Introduction Embedded Co-Processor: GPU and ML Accelerator
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method

Page 8 of 32
Academic Program: M. Tech in VLSI and Embedded System

Suggested Text/References
Readings 1. John L. Hennessy and David A. Patterson, “Computer Architecture-A Quantitative
Approach”, 6th Edition, Elsevier, 2019.
2. John L. Hennessy and David A. Patterson, “Computer Organization and Design”,
Morgan Kaufmann Publisher, 2nd Edition, 2021.
3. S. R. Sarangi, “Advanced Computer Architecture”, 1st Edition, 2021.
4. Sima, Fauntain, Kscucle, “Advanced Computer Architecture a design space approach”,
Pearson, 7th Edition, 2009.
5. Kai Hwang, “Advanced Computer Architecture”, McGrawHill publication, 2003.

Elective Courses

Course Number EC5104


Course Credit L-T-P-C: 3-0-0-3
Course Title Quantum Computing
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course Quantum Computing explores the theory and practical applications of quantum mechanics in computing.
Description The course covers quantum algorithms, quantum gates, qubits, and their potential impact on cryptography,
optimization, and simulation problems.
Course Outline Introduction: History, Motivation, Need of quantum bits, quantum states, quantum computations, quantum
information, and quantum algorithms. Overview of complex numbers and Linear Algebra, Introduction to
quantum mechanics and its postulates, Bloch sphere
Quantum Circuits: Single qubits and multiple quibits operations, architecture, quantum gates; quantum
teleportation, quantum Fourier Transform: phase estimation
Quantum Algorithms: Deutsch’s algorithm, Deutsch-Jozsa algorithm, Simon’s algorithm, Grover
algorithm and Shor’s factoring algorithm. Quantum Information and Error Corrections: Classical vs
quantum noise, quantum operations, quantum error correction, entropy and information
Quantum Tools and Applications: Goal Challenges, Lights and Photon, Decoherence, Ion Trap, Linear
Optics, NMR, Quantum Simulation
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. Nielsen, Michael A., and Isaac L. Chuang. Quantum computation and quantum information.
Cambridge university press, 2010.
2. Yanofsky, Noson S., and Mirco A. Mannucci. Quantum computing for computer scientists.
Cambridge University Press, 2008.\
3. Johnston, Eric R., Nic Harrigan, and Mercedes Gimeno-Segovia. Programming quantum
computers: essential algorithms and code samples. O'Reilly Media, 2019.

Course Number EC5216


Course Credit L-T-P-C: 3-0-0-3
Course Title Silicon Photonics
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course Silicon Photonics involves the study and application of integrating photonic elements such as lasers,
Description modulators, and detectors with silicon-based electronic circuits. The course covers the design, fabrication,
and integration of these components for high-speed communication and sensing applications.

Page 9 of 32
Academic Program: M. Tech in VLSI and Embedded System

Course Outline Introduction to Silicon Photonics. SOI platform. SOI, SiN, InP, and LNOI platforms. Guided modes in
Silicon Photonic Waveguides. Concept of effective index. Coupled Mode theory. Coupling of light to
waveguides: grating couplers, butt coupling, mode transformers, inverted tapers. Waveguides loss
mechanisms: absorption scattering. Plasma dispersion effect, thermo-optic effect, and stress-optic effect.
Passive silicon photonic devices: Mach Zehnder interferometer, ring resonator, directional couplers,
waveguide bends, multiplexers. Active silicon photonic devices: Source, Modulators, photodetector.
Fundamentals of silicon photonics device fabrication and integration. Applications of silicon photonic
devices.
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method

Suggested Text/References
Readings 1. G T Reed & AP Knights, "Silicon Photonics: An Introduction", Wiley 2004
2. G T Reed, "Silicon Photonics: The state of the art", Wiley 2008
3. L. Pavesi & D J Lockwoodt, "Silicon Photonics", Springer 2004
4. Lorenzo Pavesi & David J. Lockwood, "Silicon Photonics III Systems and Applications", Springer
2016
5. M J Deen & P K Basu, "Silicon Photonics: Fundamentals and Devices", Wiley 2012
6. Jameel Ahmed, Mohammed Yakoob Siyal, Freeha Adeel, Ashiq Hussain, Optical Signal Processing
by Silicon Photonics, 2013, Springer
7. Amnon Yariv and Pochi Yeh, "Photonics", Sixth Edition, Oxford University Press

Course Number EC5111


Course Credit L-T-P-C: 3-0-0-3
Course Title VLSI Architecture Design and Implementation
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course VLSI Architecture Design and Implementation covers the principles of designing and implementing
Description efficient VLSI architectures. The course includes topics such as pipeline and parallel design, data path
optimization, and hardware description languages. It also covers performance analysis of various
architectures. It presents case studies for the mapping of signal processing and machine learning algorithms
to architectures and their performance analysis.
Course Outline Introduction to VLSI System Design and Implementation; Architectural mapping with case studies: Data
path, Control path Synthesis; Control Strategies: Hardware implementation of various control structures;
Micro-program control techniques; Design issues: Timing, Area, power analysis; FSM Architecture and
Synthesis, HDL design and implementation of VLSI architecture;
Semiconductor Memory and Peripheral Architectures; Computer arithmetic architecture design and
analysis: Introduction to integer and floating-point arithmetic, Adders, Subtractors, Sequential and Array
multipliers & dividers, square root, Absolute Difference Value, CORDIC.
Hardware architecture design and performance analysis: Sequential/Folding architectures; bit and word
serial architecture; High performance architectures: pipelined, parallel and Systolic Array with examples;
Architectural performance Analysis: Throughput and Latency; Low Power VLSI Architectures; Basic
Hardware Architectures for Digital Signal processing and machine learning algorithms.
Introduction to VLSI Chip testing methods and Architectures: Introduction to Chip Fault Model, DFT
Architecture, BIST Architecture.
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. Peter Pirsch, "Architectures for Digital Signal Processing", John Willy & sons,2nd Edition,2014.

Page 10 of 32
Academic Program: M. Tech in VLSI and Embedded System

2. K. K. Parhi, " VLSI Digital Signal Processing Systems: Design and Implementation", A Wiley-
Interscience publications,2011.
3. Behrooz Parhami, " Computer Arithmetic: Algorithm and Hardware Design", Behrooz Parhami,
Oxford University Press, 2nd Edition,2009.
4. A. Bellaouar, M. I. Elmarsny, "Low Power Digital VLSI Design", A. Bellaouar, M. I. Elmarsny,
Kluwe academic Publication,1995.
5. DSP Integrated Circuit, L. Wamhammer, Academic Press,1999.

Course Number EC5112


Course Credit 3-0-0-3
Course Title Opto-Electronics Materials and Devices
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course Opto-Electronics Materials and Devices cover the study of materials and devices that interact with light
Description for applications in communication, sensing, and display technologies. The course includes topics such as
semiconductor optoelectronics (e.g., LEDs, lasers, photodetectors), optical materials (e.g.,
semiconductors, polymers), device physics, fabrication techniques, and applications in
telecommunications, imaging, solar cells, and optical sensing.
Course Outline UNIT I ELEMENTS OF LIGHT AND SOLID-STATE PHYSICS
Wave nature of light, Polarization, Interference, Diffraction, Light Source, review of Quantum
Mechanical concept, Review of Solid-State Physics, Review of Semiconductor Physics and
Semiconductor Junction Device.
UNIT II DISPLAY DEVICES AND LASERS
Introduction, Photo Luminescence, Cathode Luminescence, Electro Luminescence, Injection
Luminescence, Injection Luminescence, LED, Plasma Display, Liquid Crystal Displays, Numeric
Displays, Laser Emission, Absorption, Radiation, Population Inversion, Optical Feedback, Threshold
condition, Laser Modes, Classes of Lasers, Mode Locking, laser applications.
UNIT III OPTICAL DETECTION DEVICES
Photo detector, Thermal detector, Photovoltaics, Photo Conductors, Sensors, Detector Performance.
UNIT IV OPTOELECTRONIC MODULATOR
Introduction, Analog and Digital Modulation, Electro-optic modulators, Magneto Optic Devices,
Acoustoptic devices, Optical, Switching and Logic Devices.
UNIT V OPTOELECTRONIC INTEGRATED CIRCUITS
Introduction, hybrid and Monolithic Integration, Application of Opto Electronic Integrated Circuits,
Integrated transmitters and Receivers, Guided wave devices.

Learning Complies with PLO 1b, 2a and 4a


Outcome
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text Books
Readings 1. Pallab Bhattacharya “Semiconductor Opto Electronic Devices”, Prentice Hall of India
Pvt., Ltd., New Delhi, 2006.
2. Jasprit Singh, “Opto Electronics – As Introduction to materials and devices”,
McGraw-Hill International Edition, 1998
Reference Books
1. S C Gupta, Opto Electronic Devices and Systems, Prentice Hal of India,2005.
2. J. Wilson and J.Haukes, “Opto Electronics – An Introduction”, Prentice Hall, 1995.

Course Number EC5113


Course Credit L-T-P-C: 3-0-0-3
Course Title Radio Frequency Integrated Circuits
Learning Mode Lectures

Page 11 of 32
Academic Program: M. Tech in VLSI and Embedded System

Learning Complies with Program Goals 1 and 2


Objectives

Course Radio Frequency Integrated Circuits (RFIC) focus on the design and implementation of circuits operating
Description at radio frequencies. The course covers topics such as RF amplifiers, mixers, oscillators, and their
applications in wireless communication systems.
Course Outline Introduction to RF and Wireless technology; Basic concepts in RF & Wireless Integrated Circuits Design;
Receiver and Transmitter Architectures.
Low Noise RF Amplifiers – Electrical Noises, Two port Noise theory, LNA characteristic parameters and
basic topologies, Input impedance and Noise Figure of amplifiers e.g Inductively degenerated,
Differential LNA; Broadband Amplifier and amplifier Stability;
Mixers – Mixer Operation and linearity, Passive and Active Mixers, Single & Double-Balanced Mixers,
Conversion Gain and Port-to-Port Feedthrough (or leakage), Image Reject and Single Sideband Mixers,
Noise in Mixers;
Oscillators – Oscillator as a Feedback System, Negative Resistance Oscillator Model; LC Oscillators -
Colpitts, Hartley, Clapp, Pierce crystal Oscillators, Quadrature Oscillators; Ring oscillators, Voltage
Controlled-Oscillator, Phase Noise and Jitter in Oscillators;
Frequency Synthesizers – Phase Locked Loop (PLL), Analysis of PLL Synthesizers, Phase Noise in PLL
Synthesis, PLL Frequency Synthesizers, Integer-N and Fractional-N PLL Synthesizers, PLL System
Frequency Response and Bandwidth;
RF Power Amplifiers – Efficiency, Analysis of Basic Classes – A, AB, B, C, Class B Push-Pull
Arrangements, Switch mode Classes – D, E, F Amplifiers, Doherty Power Amplifier, Linearization
Techniques.
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text
Readings 1. Thomas H Lee, The Design of CMOS Radio Frequency Integrated Circuits, Cambridge University
Press
2. Behzad Razavi, RF Microelectronics, 2/e, Pearson India.
3. David M Pozar, Microwave and RF Design of Wireless Systems, John Wiley and Sons
4. Steven Cripps, RF Power amplifier for wireless communications, Artech House
5. Herbert Krauss, Charles Bostian, and Frederick Raab, Solid state radio engineering, John Wiley and
Sons
6. Andrei Grebennikov, Marc J. Franco Switchmode RF and Microwave Power Amplifiers, Academic
Press Inc
References
1. John W M Rogers and Calvin Plett, Radio Frequency Integrated Circuit Design, Artech House,
Boston.
2. Frank Ellinger, Radio Frequency Integrated Circuits and Technologies, Springer
3. Richard C-H Li, RF Circuits Design, John Wiley
4. Les Besser and Rowan Gilmore, Practical RF Circuit Design for Modern Wireless Systems, vol. 2,
Artech House, Boston

Course Number EC5114


Course Credit L-T-P-C: 3-0-0-3
Course Title Advanced Digital Image Processing
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives

Course Advanced Digital Image Processing involves the manipulation and analysis of digital images
Description using computational algorithms. The course covers topics such as image enhancement,
restoration, segmentation, feature extraction, and compression. It also includes applications in
fields such as medical imaging, remote sensing, robotics, and multimedia systems.

Page 12 of 32
Academic Program: M. Tech in VLSI and Embedded System

Course Outline DIGITAL IMAGE FUNDAMENTALS: Elements of Visual Perception; Image Sensing and
Acquisition; Image Sampling and Quantization; Basic Relationships between Pixels;
Monochromatic Vision Models; Colour Vision Models; Colour Fundamentals; Colour Models;
Conversion of Colour Models; Colour Transformations.
ENHANCEMENT & RESTORATION : Homomorphic filtering, inverse and minimum error
filtering, Noise types and related filtering.
IMAGE ANALYSIS AND REPRESENATION: Introduction; Image Segmentation - Point,
Line, Edge, Boundary Detection; Colour Image Segmentation; Thresholding- Basic Global
Thresholding, Multiple Thresholding, Variable Thresholding; Region Based
Segmentation; Representation: Chain codes, Signatures, Boundary segments, Skeletons,
Description: Boundary Descriptors, Regional Descriptors.
MORPHOLOGICAL PROCESSING & COMPRESSION: Morphological Image Processing –
Logic Operations involving Binary Images; Dilation and Erosion; Basic Morphological
Algorithms – Boundary Extraction, Region Filling, Thickening
Image Compression – Compression Model, Different Coding schemes like Arithmetic Coding,
LZW coding etc. Baseline jpeg, jpeg 2000, Mpeg etc.
CLASSIFICATION AND APPLICATIONS of Object Recognition and Classification,
Statistical classification, Structural /Syntactic Classification, 3D Image Processing, 3D
Visualization: Surface rendering, Volume rendering;
Applications: Motion Analysis, Image Fusion, Image super resolution

Learnng Complies with PLOs 1a, 1b, 2 and 3a


Outcome
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. 1. Rafael C. Gonzalez and Richard E. Woods, Digital Image Processing, Pearson
2. 2. Milan Sonka, Vaclav Hlavac and Roger Boyle, Image Processing, Analysis and Machine
Vision, Springer
3. 3. Anil K. Jain, Fundamentals of Digital Image Processing, Prentice Hall

Course Number EC5158


Course Credit L-T-P-C: 3-0-0-3
Course Title VLSI Testing and Verification
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course VLSI Testing and Verification covers methodologies and techniques for ensuring the correctness and
Description reliability of Very-Large-Scale Integration (VLSI) circuits. The course includes topics such as test pattern
generation, fault simulation, design for testability (DFT), and verification methodologies using simulation
and formal methods.

Course Outline Testing Philosophy, Role of Testing, Digital and Analog VLSI Testing, Test Economics, Defects, Errors,
and Faults Levels of Fault Models, Controllability and Observability. Algorithms and Representations:
Structural vs. Functional Test, Search Space Abstractions ATPG Algebras, Redundancy Identification,
Combinational ATPG Algorithms, Test Generation Systems, Simulation-Based Sequential Circuit ATPG,
Complexity of Sequential ATPG. Memory Test: Memory Density and Defect Trend, Memory Test
Levels, Fault Modeling, Memory Testing Delay Test, IDDQ test, Design for Testability. Built in Self-test.
Design Verification: The importance of verification, Reconvergence model, Formal verification,
Equivalence checking, Model checking, Functional verification. Verification Tools. Simulators: Stimulus
and response, Event based simulation, cycle-based simulation, Co-simulators, verification intellectual
property: hardware modelers, The verification plan: The role of verification plan: specifying the
verification plan, defining the first success. Levels of verification: unit level verification, reusable

Page 13 of 32
Academic Program: M. Tech in VLSI and Embedded System

components verification, ASIC and FPGA verification, system level verification, board level verification,
verifying strategies, verifying responses.

Learning Complies with PLOs 1a, 1b, 2 and 3a


Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. P. K. Lala, “Digital Circuit Testing and Testability”, Academic Press, 1997.
2. M. L. Bushnell and V.D. Agrawal, “Essentials of Electronic Testing for Digital, Memory and Mixed-
Signal VLSI Circuits”, Kluwar Academic Publishers 2002.
3. M. Abramovici, M.A. Breuer and A.D. Friedman, "Digital Systems and Testable Design", Jaico
Publishing House, 2002.
4. Janick Bergeron, “Writing Test benches: functional verification of HDL models”, 2nd Edition,
Kluwer Academic Publishers, 2003
5. Jayaram Bhasker and Rakesh Chadha, “Static Timing Analysis for Nanometer Designs” A practical
approach, 1st Edition, Springer publications, 2009.
6. Prakash Rashinkar, Peter Paterson, Leena Singh “System on a Chip Verification”, Kulwer
Publications, 2002.

Course Number EC5159


Course Credit L-T-P-C: 3-0-0-3
Course Title Bio Sensors and Circuits
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course Bio Sensors and Circuits focus on the design and implementation of circuits and systems for biological
Description sensing applications. The course covers topics such as sensor technologies (e.g., optical, electrochemical),
signal conditioning, data acquisition, and integration with biological systems for healthcare monitoring,
environmental sensing, and biomedical research.

Course Outline Transducers Principles, Biochemical Transducers: Electrode theory, electrode impedance, metal-
electrolyte interface and electrode-tissue interface, Bio-potential electrodes: microelectrodes, body surface
electrodes, needle electrodes, electrodes for ECG, EEG, and EMG. Electrodes: hydrogen electrodes,
Ag/AgCl electrodes, Calomel electrodes, specific ion electrodes, pH electrode, O2 and CO2 electrode,
Optical Sensor and Radiation Detectors: Principles of optical sensors and types of optical sensors, Optical
fibers, LASERs, Radiation detectors: Proportional counter, Gas-ionization chamber, Geiger counters,
Scintillation detectors., Biological Sensors: Receptors in the human body, Ion exchange membrane
electrodes, enzymatic biosensors, Basic principles of MOSFET biosensors & BIOMEMS, basic idea
about Smart sensors, Biomedical Measurement
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. Josheph J. Carr and John M. Brown, “Introduction to Biomedical Equipment Technology”, 4th
Edition, Pearson Education, 2001.
2. John. G. Webster, “Medical Instrumentation- Application and Design”, 4th Edition, John Wiley &
Sons, 2010.
3. Willis J. Tompkins, “Biomedical Digital Signal Processing” Prentice-Hall of India, 1993.
4. Rangraj M. Rangayyan, “Biomedical Signal analysis- A Case Study Approach”, Wiley India Pvt.
Ltd., 2009.

Page 14 of 32
Academic Program: M. Tech in VLSI and Embedded System

5. Suresh R. Devashahayan, “Signals and Systems in Biomedical Engineering”, Revised 2nd Edition,
Kluwer academics/ Plenum publication, 2013.
6. Josheph J. Carr and John M. Brown, “Introduction to Biomedical Equipment Technology”,4th
Edition, Prentice Hall, 2000.
7. Leslie Cromwell, Fred J. Weibell, and Erich A. Pfeiffer “Biomedical Instrumentation and
Measurements”, 2nd Edition, Prentice-Hall of India, 2000.

Course Number EC5171


Course Credit L-T-P-C: 3-0-0-3
Course Title High Speed Semiconductor Devices
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course High-Speed Semiconductor Devices involve the study and design of semiconductor devices capable of
Description operating at very high frequencies. The course covers topics such as transistor physics at high frequencies,
device modeling, high-frequency measurement techniques, microwave and millimeter-wave devices, and
applications in communication systems, radar systems, and high-speed data processing.
Course Outline Introduction to High-Speed Semiconductor Devices: Overview of semiconductor device fundamentals,
Importance and applications of high-speed devices
Semiconductor Physics for High-Speed Devices: Carrier transport mechanisms, High-field effects
Material Properties and Device Architectures: High-mobility materials (e.g., GaAs, InP), Heterostructures
and quantum wells
High speed Schottky diodes: working, characteristics, design, small signal models
High-Speed Bipolar Junction Transistors (BJTs): Structure and operation of BJTs, High-speed
performance characteristics
High Electron Mobility Transistors (HEMTs): Design and operation principles, Application in RF and
microwave circuits
Metal-Semiconductor Field-Effect Transistors (MESFETs): Structure, operation, and performance
Comparison with other high-speed devices
Emerging High-Speed Devices: Tunnel FETs, Ballistic transistors, Nanoscale devices and their challenges
Device Modeling and Simulation: Techniques for modeling high-speed devices, Introduction to CAD
tools for device simulation
High-Frequency Performance Analysis: S-parameters, cut-off frequency, and maximum oscillation
frequency
Noise analysis in high-speed devices
Recent Advances and Research Trends: Breakthroughs in high-speed semiconductor devices
Future directions and potential applications
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text
Readings 1."Physics of Semiconductor Devices" by S.M. Sze and Kwok K. Ng , Edition: 3rd, Publisher:
Wiley, Year: 2006
2. "High-Speed Semiconductor Devices" by S.M. Sze, Publisher: Wiley, Year: 1990
3. "Microwave Engineering" by David M. Pozar, Edition: 4th, Publisher: Wiley, Year: 2011
4. "Semiconductor Device Fundamentals" by Robert F. Pierret, Publisher: Addison-Wesley, Year:
1996
5. "Advanced Semiconductor Fundamentals" by Robert F. Pierret, Edition: 2nd, Publisher: Prentice
Hall, Year: 2002
References
1. "Semiconductor Physics and Devices" by Donald A. Neamen, Edition: 4th, Publisher: McGraw-
Hill, Year: 2011
2. "Fundamentals of Modern VLSI Devices" by Yuan Taur and Tak H. Ning, Edition: 2nd
Publisher: Cambridge University Press, Year: 2009

Page 15 of 32
Academic Program: M. Tech in VLSI and Embedded System

3. "The Physics of High-Speed Transistors" by D.J. Roulston, Publisher: Oxford University Press,
Year: 1997
4. "RF Microelectronics" by Behzad Razavi, Edition: 2nd, Publisher: Prentice Hall, Year: 2011

Course Number EE5111


Course Credit L-T-P-C: 3-0-0-3
Course Title Control Techniques in Power Electronics
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course Control Techniques in Power Electronics cover methods for managing and optimizing the flow and
Description conversion of electrical power. The course typically includes topics such as pulse-width modulation
(PWM), feedback control loops, voltage and current regulation, switch-mode power supplies (SMPS), and
applications in renewable energy systems, motor drives, and electronic devices.

Course Outline State space modelling and simulation of linear systems, Discrete time models, conventional controllers
using small signal models, Hysteresis controllers, Output and state feedback switching controllers.
Averaged - switch modelling, modelling of dynamics of converters operating in discontinuous conduction
mode, input filter design.
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. Muhammad Rashid, Power Electronics Handbook, Academic Press-Elsevier, 2001.
2. B. Wu, High-Power Converters and AC Drives. Wiley-IEEE Press, New Jersey, 2006.
3. Erickson and Maksimovic, Fundamentals of Power Electronics, 2nd ed., Springer
Science+Business (2000)
4. Ned Mohan, Tore M, Undelnad, William P, Robbins (3 Edition), Power Electronics:
Converters, Applications and Design; Wiley 2002.

Page 16 of 32
Academic Program: M. Tech in VLSI and Embedded System

Course Number EC5250


Course Credit L-T-P-C: 3-0-0-3
Course Title Pattern Recognition and Machine Learning
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course Pattern Recognition and Machine Learning focuses on algorithms and techniques for identifying patterns
Description and making predictions from data. The course covers topics such as supervised and unsupervised learning,
classification, regression, clustering, and feature extraction using statistical and machine learning methods.
Course Outline Introduction: Feature extraction and Pattern Representation, Concept of Supervised and Unsupervised
Classification, Introduction to Application Areas. Statistical Pattern Recognition: Bayes Decision Theory,
Minimum Error and Minimum Risk Classifiers, Discriminant Function and Decision Boundary, Normal
Density, Discriminant Function for Discrete Features, Parameter Estimation. Dimensionality Problem:
Dimensionality Reduction, Fisher Linear Discriminant and Multiple Discriminant Analysis.
Nonparametric Pattern Classification: Density Estimation, Nearest Neighbour Rule, Fuzzy Classification.
Linear Discriminant Functions: Separability, Two Category and Multi Category Classification, Linear
Discriminators, Perceptron Criterion, Relaxation Procedure, Minimum Square Error Criterion, Widrow-
Hoff Procedure, HoKashyap Procedure, Kesler’s Construction. Neural Network Classifier: Single and
Multilayer Perceptron, Back Propagation, Learning Hopfield, Network Fuzzy and Neural Network. Time
Varying Pattern Recognition: First Order Hidden Markov, Model Evaluation, Decoding Learning.
Unsupervised Classification: Clustering, Hierarchical Clustering, Graph Based Method, Sum of Squared
Error Technique, Iterative Optimization.
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method

Suggested Text/References
Readings 1. Richard O. Duda, Peter E. Hart and David G. Stork, Pattern Classification, John Wiley & Sons,
2001.
2. Earl Gose, Richard Johsonbaugh and Steve Jost, Pattern Recognition and Image Analysis, Prentice
Hall, 1999

Course Number EC5204


Course Credit 3-0-0-3
Course Title Multimedia Communication
Learning Mode Lectures
Learning Objectives After learning this course, the students will be able
1. to understand the fundamental knowledge on multimedia system and Multimedia
Communication.
2. to understand the knowledge on Multimedia Information Systems.
3. to understand the real-time constraints in Multimedia Communication.
4. to develop problem statement on Multimedia Communication for research direction

Page 17 of 32
Academic Program: M. Tech in VLSI and Embedded System

Course Description This course deals with the Multimedia Communication.


Course Outline Introduction to Multimedia System: Architecture and components, Multimedia distributed
processing model, Synchronization, Orchestration and Quality of Service (QOS)
architecture. Audio and Speech: Data acquisition, Sampling and Quantization, Human
Speech production mechanism, Digital model of speech production, Analysis and
synthesis, Psycho-acoustics, low bit rate speech compression, MPEG audio compression.
Images and Video: Image acquisition and representation, Composite video signal NTSC,
PAL and SECAM video standards, Bilevel image compression standards: ITU (formerly
CCITT) Group III and IV standards, JPEG image compression standards, MPEG video
compression standards. Multimedia Communication: Fundamentals of data
communication and networking, Bandwidth requirements of different media, Real time
constraints: Audio latency, Video data rate, multimedia over LAN and WAN, Multimedia
conferencing. Hypermedia presentation: Authoring and Publishing, Linear and non-linear
presentation, Structuring Information, Different approaches of authoring hypermedia
documents, Hyper-media data models and standards. Multimedia Information Systems:
Operating system support for continuous media applications: limitations is usual OS, New
OS support, Media stream protocol, file system support for continuous media, data models
for multimedia and hypermedia information, content based retrieval of unstructured data.

Learning Outcome Complies with PLO 1b, 2a and 4a


Assessment Method Quiz, Assignments, and Exams
Suggested Readings Text Books:
1. J. D. Gibson, Multimedia Communications: Directions and Innovations, 2000, Elsevier.
2. A. Puri and T. Chen, Multimedia Systems, Standards, and Networks, 1st Edition, 2000,
CRC Press.
5. Iain E.G. Richardson, H.264 and MPEG-4 Video Compression, 2004, John Wiley &
Sons.
Reference Books:
1. Ralf Steinmetz and Klara Nahrstedt, Multimedia Systems, 2004, Springer.
2. K. Sayood, Introduction to Data Compression, 2017, Morgan-Kaufmann.
3. Borivoje Furht, Handbook of Multimedia Computing, 1998, CRC Press

Course Number EC5211


Course Credit L-T-P-C: 3-0-0-3
Course Title VLSI Technology
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course VLSI Technology focuses on the processes and methodologies used in the fabrication of Very-Large-Scale
Description Integration circuits. The course covers semiconductor materials, photolithography, etching, doping, and the
integration of components into complex systems.
Course Outline General Overview of current status of VLSI Technology- Interaction between Technology and Design, -
Interaction between Physics and Technology, - Limits of Technology, Environment for Integrated Circuits
Manufacture, - Clean Rooms and Wafer cleaning procedures., - Technology
Processes in Fabrication, - Oxidation, Diffusion, Ion Implantation, Etching and Deposition,
techniques., - Characterization of Processes.
Lithography and Mask generation techniques - Advanced Unit-Processors for ULSI Circuit
Technologies., - Use of RTP, - Plasma processes in the fabrication in the fabrication of circuits., Basic
Bipolar process Technologies., NMOS Technology, Mask sequence based fabrication process for NMOS
transistors, - Silicon Gate and Metal Gate
Technologies. Limitations of NMOS Technology.
CMOS Technology - Process Sequence for CMOS Technology, Advanced CMOS Processes,
“Design – Rules” for NMOS and CMOS Technologies as “Constraints” for Layouts.
Process Simulation - Use of SUPREM-IV ans STEP Simulators for process Design, - Some

Page 18 of 32
Academic Program: M. Tech in VLSI and Embedded System

Examples of actual technologies.

Learning Complies with PLOs 1a, 1b, 2 and 3a


Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. S.K. Gandhi, “VLSI Fabrication principles”, John Wiley Inc., New York, 1983
2. S.M. Sze “VLSI Technology”, 2nd Edition, McGraw Hill Co. Inc., New York, 1988
3. C. Y. Chang and S. M. Sze, “VLSI Technology”, McGraw Hill Co. Inc., New York, 1996

Course Number EC5254


Course Credit L-T-P-C: 3-0-0-3
Course Title Low power VLSI
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course Low Power VLSI focuses on techniques and strategies for reducing power consumption in Very-Large-
Description Scale Integration (VLSI) circuits. The course covers power-aware design, optimization methods, and
applications in portable and energy-efficient devices.
Course Outline Need for Low Power Circuits, Low Power Techniques at different Hierarchical levels; CMOS basics:
MOS Transistors, Short Channel Effects, Spice models for MOS transistors, MOS Invertors
characteristics, Delay Estimation, BICMOS logic circuits; MOS Logic Styles: Static CMOS, Dynamic
CMOS and Pass transistor circuits; Sources of Power dissipation: Diode Leakage Power, Short Circuit
Leakage Power, Switching Power and Switching Activity of Static and Dynamic Circuits, Parameters
involved in power dissipation; Low-power Design Methodologies: Supply voltage scaling approaches at
different levels of hierarchy, Multi-threshold CMOS circuit design, Dynamic Voltage Scaling,
Minimizing Switched Capacitance at different levels; Adiabatic switching concepts; Low Power CMOS
RAM Circuits.
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. Ajit Pal, “Low-Power VLSI Circuits and Systems”, Springer, 2015
2. J. B. Kuo and J-H. Lou, “Low-Voltage CMOS VLSI Circuits”, Wiley, 1999.
3. K. Roy and S. C. Prasad, “Low-Power CMOS VLSI Circuit Design”, Wiley, 2000.

Course Number EC5256


Course Credit L-T-P-C: 3-0-0-3
Course Title CAD VLSI
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course CAD VLSI (Computer-Aided Design for Very-Large-Scale Integration) involves the use of software tools
Description and methodologies to design, simulate, and verify integrated circuits. The course covers topics such as
layout design, logic synthesis, timing analysis, and physical design automation (PDA) for efficient and
reliable VLSI circuit design.

Page 19 of 32
Academic Program: M. Tech in VLSI and Embedded System

Course Outline Introduction: VLSI design flow, challenges. Verilog/VHDL: introduction and use in synthesis, modeling
combinational and sequential logic, writing test benches. Logic synthesis: two-level and multilevel gate-
level optimization tools, state assignment of finite state machines. Basic concepts of high-level synthesis:
partitioning, scheduling, allocation and binding. Technology mapping. Testability issues: fault modeling
and simulation, test generation, design for testability, built-in self-test. Testing SoC s. Basic concepts of
verification. Physical design automation. Review of MOS/CMOS fabrication technology. VLSI design
styles: full-custom, standard-cell, gate-array and FPGA. Physical design automation algorithms: floor-
planning, placement, routing, compaction, design rule check, power and delay estimation, clock and
power routing, etc. Special considerations for analog and mixed-signal designs.
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. Naveed Shervani, “Algorithms for VLSI physical design Automation”, 2nd Edition, Kluwer
Academic Publisher, 1999. Christophan Meinel and Thorsten Theobold, “Algorithm and Data
Structures for VLSI Design”, KAP, 2002.
2. Rolf Drechsheler, “Evolutionary Algorithm for VLSI”, 2nd Edition
3. Trim burger, “Introduction to CAD for VLSI”, Kluwer Academic publisher, 2002.
4. Randal L and Schwartz Tom Phoenix, “Learning PERL”, Oreilly Publications, 3rd Edition, 2000.
5. Samir Palnitkar, “Verilog HDL: A Guide to Digital Design and Synthesis”, Second Edition, Prentice
Hall PTR, 2003.

Course Number EC5260


Course Credit L-T-P-C: 3-0-0-3
Course Title Embedded System Integration
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives

Course The course involves the process of combining hardware and software components into a cohesive embedded
Description system. The course covers topics such as system architecture design, interfacing peripherals, real-time
operating systems (RTOS), communication protocols, and testing methodologies to ensure the reliability
and functionality of the integrated system in various applications.

Course Outline General system design: Embedded Computing: Introduction, Complex Systems and Microprocessor,
Embedded System Design Process, Formalisms for System Design, Design Examples. ARM Introduction:
Introduction to processor design-architecture and organization, Abstraction in hardware design,
Instruction set design, Processor design tradeoffs, RISC. Overview of ARM architecture –
Architecture inheritance, Programmer`s model, Development tools. ARM Instruction Set. Architectural
support for high level languages, Architectural support for system development - ARM memory
interface, AMBA, ARM reference peripheral specifications, JTAG, Embedded trace, signal processing
support, ARM processor cores. Memory hierarchy Memory size and speed, On-chip memory, Caches,
Memory management. Memory hierarchy Architectural support for OS-Embedded ARM applications
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. David E. Simon, “An Embedded Software Primer”, Pearson Education Asia, 2005
2. Wayne Wolf “Computers as Components: Principles of Embedded Computing System Design”, 3rd
Editions, Morgan Kaufman Publishers, 2012.
3. Rajkamal, “Embedded Systems Architecture, Programming and Design”, 3rd Edition, TATA
McGraw Hill, 2008.

Course Number EC5264

Page 20 of 32
Academic Program: M. Tech in VLSI and Embedded System

Course Credit L-T-P-C: 3-0-0-3


Course Title Sensors and Actuators
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course Sensors and Actuators involve the study and application of devices that sense physical quantities (sensors)
Description and act upon them (actuators). The course covers principles of transduction, sensor types (e.g., optical,
pressure, temperature), actuator mechanisms (e.g., motors, valves), interfacing with electronics, and
applications in various fields such as automotive, healthcare, and industrial automation.

Course Outline Brief overview of measurement systems, classification, characteristics and calibration of different sensors.
Measurement of displacement, position, motion, force, torque, strain gauge, pressure flow, temperature
sensor sensors, smart sensor. Optical encoder, tactile and proximity, ultrasonic transducers, opto-electrical
sensor, gyroscope. Principles and structures of modern micro sensors, micro-fabrication technologies:
bulk micromachining, surface micromachining, LIGA, assembly and packaging. Pneumatic and hydraulic
systems: actuators, definition, example, types, selection. Pneumatic actuator. Electro-pneumatic actuator.
Hydraulic actuator, control valves, valve sizing valve selection. Electrical actuating systems: solid-state
switches, solenoids, voice coil; electric motors; DC motors, AC motors, single phase motor; 3-phase
motor; induction motor; synchronous motor; stepper motors. Piezoelectric actuator: characterization,
operation, and fabrication; shape memory alloys.
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. John G. Webster, Editor-in-chief, “Measurement, Instrumentation, and Sensors Handbook”, CRC
Press (2014).
2. Jacob Fraden, “Handbook of modern Sensors”, AIP Press, Woodbury (2016).
3. Nadim Maluf, “An Introduction to Microelectromechanical Systems Engineering”, Artech House
Publishers, Boston (2004).
4. Marc Madou, “Fundamentals of Microfabrication”, CRC Press, Boca Raton (2002).
5. Gregory Kovacs, “Micromachined Transducers Sourcebook”, McGraw-Hill, New York (1998).
6. E. O. Deobelin and D. Manik, “Measurement Systems – Application and Design”, Tata McGraw-
Hill (2004).
7. D. Patranabis, “Principles of Industrial Instrumentation”, Tata McGraw-Hill, eleventh reprint
(2004).
8. B. G. Liptak, “Instrument Engineers’ Handbook: Process Measurement and Analysis”, CRC (2003).

Course Number EC5166


Course Credit L-T-P-C: 3-0-0-3
Course Title Semiconductor Packaging Technology
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course Semiconductor Packaging Technology focuses on the methods and materials used to protect, connect, and
Description enhance the reliability of semiconductor devices. The course covers topics such as packaging types (e.g.,
flip-chip, wire-bonding), thermal management, and reliability testing for various electronic applications.
Course Outline Introduction to IC Packaging • Definition of packaging and its significance in various industries. •
Introduction to packaging and its importance in Modern Electronics.
Traditional Packaging Technologies • Exploring different packaging technologies, such as leaded and
leadless packages, surface mount technology (SMT), and ball grid array (BGA). Discussion on the
purpose and characteristics of each technology. • Explanation of the factors influencing technology
selection. Introduction to Advanced Packaging • Definition of advanced packaging and its importance in
meeting evolving technology requirements. Explaining the benefits and challenges associated with
advanced packaging. • Exploring different integrated technology of advanced packaging technologies,
such as 2.5D, 3D packaging,

Page 21 of 32
Academic Program: M. Tech in VLSI and Embedded System

Advanced Packaging Interconnects: Discussion on interconnect technologies used in advanced packaging,


such as flip chip bumping, solder balls, and through-silicon vias (TSVs), photonic integration.
Testing and Reliability in Advanced Packaging: The testing methodologies and reliability considerations
specific to advanced packaging. • Discussion on package-level testing, interconnect testing, and
reliability testing. Explanation of various failure analysis techniques and strategies for ensuring package
reliability.

Learning Complies with PLOs 1a, 1b, 2 and 3a


Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Textbooks
Readings 1. Yoshio Nishi and Robert Doering, "Handbook of Semiconductor Manufacturing Technology"
2nd Edition, CRC Press, 2017.
2. Simon M. Sze, Ming-Kwei Lee , "Semiconductor Devices: Physics and Technology" Wiley,
2012.
3. John H. Lau " Semiconductor Advanced Packaging", Springer, 2021.
References
1. Christopher Bower, Peter Ramm, Philip Garrou , "Handbook of 3D Integration: Technology and
Applications of 3D Integrated Circuits", Wiley, 2011.
2. Behzad Razavi, "Fundamentals of Microelectronics", Wiley, 2014.

Course Number EC5271


Course Credit L-T-P-C: 3-0-0-3
Course Title High-Power Semiconductor Devices
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course High-Power Semiconductor Devices focus on components capable of handling high electrical currents or
Description voltages efficiently. The course typically covers topics such as power semiconductor materials (e.g., silicon,
gallium nitride), device structures (e.g., MOSFETs, IGBTs), switching characteristics, thermal
management, and applications in power electronics, renewable energy systems, electric vehicles, and
industrial automation.

Page 22 of 32
Academic Program: M. Tech in VLSI and Embedded System

Course Outline Introduction to High-Power Semiconductor Devices: Overview of high-power devices, Applications in
power electronics
Semiconductor Physics for High-Power Devices: Charge carrier dynamics, Breakdown mechanisms
Power Diodes: Structure, operation, and types (e.g., Schottky, PiN), Performance characteristics and
applications
Power Bipolar Junction Transistors (BJTs): Structure and operation principles, High-power performance
characteristics
Insulated Gate Bipolar Transistors (IGBTs): Design and operation principles,
Power MOSFETs: Structure, operation, and characteristics, Comparison with other high-power devices
Thyristors and Related Devices: Structure and types (e.g., SCR, GTO), Switching characteristics and
applications
Thermal Management in High-Power Devices: Heat generation and dissipation, Thermal modeling and
packaging techniques
Reliability and Failure Mechanisms: Degradation and failure modes, Reliability testing and improvement
strategies
Advanced Materials for High-Power Devices: Wide bandgap materials (e.g., SiC, GaN), Advantages and
challenges
Integration and Application of High-Power Devices: Power modules and converters, Applications in
renewable energy and electric vehicles
Recent Advances and Research Trends: Innovations in high-power device technology,
Learnng Complies with PLOs 1a, 1b, 2 and 3a
Outcome
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. "Power Semiconductor Devices" by B. Jayant Baliga, Edition: 1st , Publisher: PWS Publishing
Company, Year: 1995
2. "Fundamentals of Power Semiconductor Devices" by B. Jayant Baliga, Edition: 2nd,
Publisher: Springer, Year: 2010
3. "Semiconductor Power Devices: Physics, Characteristics, Reliability" by Josef Lutz, Heinrich
Schlangenotto, Uwe Scheuermann, Rik De Doncker, Edition: 2nd, Publisher: Springer
4. "Power Electronics: Converters, Applications, and Design" by Ned Mohan, Tore M. Undeland,
William P. Robbins, Edition: 3rd, Publisher: Wiley, Year: 2002
5. "Wide Bandgap Semiconductor Power Devices: Materials, Physics, Design, and Applications"
by B. Jayant Baliga, Publisher: Woodhead Publishing, Year: 2018

Course Number EE5213


Course Credit L-T-P-C: 3-0-0-3
Course Title Recent Trends in Optimization Techniques
Learning Mode Lectures
Learning Complies with Program goals 1 and 2
Objectives

Course The course is designed to meet the requirements of Ph.D. and M. Tech students to get sufficient knowledge
Description on optimization and its application to different fields of engineering.

Course Outline Motivation. mathematical review , matrix factorizations, sets and sequences, convex sets and functions.

Linear programming and simplex method, Weierstrass' theorem,

Karush Kuhn Tucker optimality conditions, algorithms, convergence, unconstrained optimization,

Line search methods, method of multidimensional search, steepest descent methods, Newton's method,
modifications to Newton's method , trust region methods, conjugate gradient methods, quasi-Newton's
methods.

Page 23 of 32
Academic Program: M. Tech in VLSI and Embedded System

Constrained optimization, penalty and barrier function methods, augmented Lagrangian methods,
polynomial time algorithm for linear programming, successive linear programming, successive quadratic
programming.
Learning Complies with PLO 1a, 2a, and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. R. Fletcher Practical Optimization (2nd Edition) John Wiley & Sons, New York, 1987.
2. M.S.Bazaraa , H.D.Sherali and C.Shetty , Nonlinear Programming, Theory and Algorithms, John
Wiley and Sons, New York, 1993.

Course Number EC6150


Course Credit L-T-P-C: 3-0-0-3
Course Title CMOS Phase-Locked Loops
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course CMOS Phase-Locked Loops (PLLs) involve the design and implementation of frequency synthesis circuits
Description using Complementary Metal-Oxide-Semiconductor (CMOS) technology. The course covers topics such as
PLL architecture, phase detection and comparison, loop filter design, voltage-controlled oscillator (VCO)
characteristics, and applications in clock generation, frequency synthesis, and communication systems.
Course Outline Introduction to PLL, Various types of PLL
PLL building blocks: Phase detectors, Phase/Frequency detectors, Ring and LC Voltage-controlled
Oscillators (VCO), Frequency Dividers
Analysis of PLL: Type-I and Type-II 2nd order PLL; Higher-order loop filters and PLL; PLL Stability
Designing PLL: a 2nd order PLL
Jitter and Phase noise in Oscillators and PLLs,
PLL-based frequency synthesizer: Integer-N and Fractional-N synthesizers, Δ∑ Fractional-N synthesizers
All-Digital PLL: Time-to-Digital Conversion, Digital Filters, Digitally Controlled Oscillators,
Delay-locked Loops
Low jitter frequency synthesizer: Subsampling PLL Architecture and it components
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. B. Razavi, “Design of CMOS Phase-Locked Loops” Cambridge Univ Press, 2020.
2. William F Egan, “Phase-lock Basics,” IEEE-Wiley
3. Floyd M. Gardner, “Phase Lock Techniques” 3rd Edition, Wiley-inter-science
4. Ronald E Best, “Phase-locked Loop, Design, Simulation and Applications”, 6th edition,
McGrawHill
5. Venceslav F Kroupa, “Phase Lock Loops and Frequency Synthesis,” Wiley
6. Shanthi Pavan, Richard Schreier, “Understanding Delta-Sigma Data Converters” IEEE-Wiley

Course Number EC6151


Course Credit L-T-P-C: 3-0-0-3
Course Title Computer Vision
Learning Mode Lectures

Page 24 of 32
Academic Program: M. Tech in VLSI and Embedded System

Learning Complies with Program Goals 1 and 2


Objectives
Course Computer Vision involves the development of algorithms and systems that enable computers to interpret
Description visual information from the real world. The course covers topics such as image processing, object detection
and recognition, image segmentation, feature extraction, 3D reconstruction, and deep learning techniques
applied to visual data. Applications include autonomous vehicles, medical imaging, surveillance,
augmented reality, and robotics.
Course Outline Computer vision introduction, image formation, perspective projection, camera response & HDR imaging,
nature of image sensors, image filtering, template matching, Fourier transform, convolution and
deconvolution, edge and corner detection, canny edge detection, Active contours, Hough transform,
SIFT detector and descriptor, Image homography, Image warping and image blending, Face detection,
nearest neighbor classifier, support vector machine, Radiometry and reflectance property, Photometric
stereo, reflectance map, shape from normal, shape from shading, stereographic projection, shading
illusion, dept from focus and depth from defocus, photometric stereo systems, camera calibration, simple
stereo, uncalibrated stereo, epipolar geometry, stereo vision in nature, optical flow, Lucas Kanade method,
structure from motion, object tracking, gaussian mixture model, feature detection for tracking, image
segmentation by k-means, mean-shift and graph cut based methods. PCA and SVD and shape verses
appearance. Neural network, Gradient descent, back propagation algorithm.
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text
Readings 1.Computer Vision - A modern approach, by D. Forsyth and J. Ponce, Prentice Hall Robot Vision,
by B. K. P. Horn, McGraw-Hill.
References
1. Computer Vision: Algorithms and Applications: Richard Szeliski
2. Foundations of Computer Vision Antonio Torralba (Author), Phillip Isola (Author), William T.
Freeman (Author)

Course Number EC6157


Course Credit L-T-P-C: 3-0-0-3
Course Title VLSI Signal Processing
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course VLSI Signal Processing involves the design and implementation of signal processing algorithms and
Description systems using Very-Large-Scale Integration (VLSI) technology. The course covers topics such as digital
signal processing (DSP) algorithms, efficient hardware implementations, optimization techniques, and
applications in areas such as telecommunications, audio processing, image processing, and biomedical
signal processing.
Course Outline Introduction to DSP systems: Representation of DSP algorithms; Iteration Bound: Definition, Examples,
Algorithms for computing Iteration bound; Pipelining and Parallel Processing: Definitions, Pipelining and
parallel processing of FIR filters, Pipelining and parallel processing for low power; Retiming: Definitions
and Properties, Solving system of Inequalities, Retiming techniques; Unfolding: Definition, An algorithm
for unfolding, Applications of unfolding; Folding: Definition, Folding transformations, Register
minimization techniques, Register minimization in folded architectures; Systolic Architecture Design:
Introduction, Systolic array design methodology, FIR systolic arrays, Selection of scheduling vector,
Matrix-Matrix multiplication and 2D systolic array design; CORDIC based Implementations:
Architecture, Implementation of FIR filter and FFT algorithm; Bit-Level arithmetic architectures: Parallel
multipliers, Bit-serial multipliers, Bit-Serial FIR filter design and Implementation; Redundant arithmetic:
Redundant number representation, Carry-free radix-2 addition and subtraction, radix-2 hybrid redundant
multiplication architectures; Low-power design: Theoretical background, Scaling versus power
consumption, Power analysis, Power reduction techniques, Power estimation approaches.

Page 25 of 32
Academic Program: M. Tech in VLSI and Embedded System

Learning Complies with PLOs 1a, 1b, 2 and 3a


Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. U. Meyer-Baese, “DSP with FPGA”, Springer,4th Edition, 2014.
2. K. K. Parhi, “VLSI DSP Systems”, Wiley, 2003.
3. R.G. Lyons, “Understanding Digital Signal Processing”, Pearson Education,3rd Edition, 2011.

Course EE6215
Number
Course Credit L-T-P-C: 3-0-0-3
Course Title Random Signals and Systems
Learning Lectures
Mode
Learning Complies with Program Objectives 1 and 2.
Objectives

Course The course is designed to meet the requirements of Ph.D. and M. Tech students to get sufficient knowledge
Description on random processes and its effects on linear systems.
Course Outline Probability and statistics of multivariable (a quick revision): Bayes theorem, multiple random variable,
discrete random variable, probability mass function and probability density function, a few well known
distributions, moments.
Random process: Concept of random process, ensemble, mathematical tools for studying random process,
correlation function, stationarity, ergodicity, a few known stochastic processes: random walk, Poisson
process, Gaussian random process, Markov chains, Brownian motion etc., pseudorandom process, nonlinear
transformation of random process.
Random process in frequency domain: Peridogram and power sprectral density, Weiner-Khintchine-Einstein
Theorem, concept of bandwidth, spectral estimation.
Linear system: Discrete time and continuous time LTI system, concept of convolution, system described in
frequency domain, state space description of the system.
Linear systems with random inputs: Linear system fundamentals, response of a linear system, convolution,
mean, autocorrelation and cross correlation function in LTI system, power spectral density in LTI, cross
power spectral density in LTI.
Processing of random signals: Noise in systems, noise bandwidth, SNR, bandlimited random process, noise
reduction, matched filter, Wiener filter.
The Kalman filter: Mean square estimation, discrete Kalman filter, innovation, Kalman filter vs Wiener
filter,properties of Kalman filter, Kalman Bucy filter, engineering examples.
Learning Complies with PLOs 1a, 2a, and 3a.
Outcomes

Assessment Quizzes/Assignments, Mid Sem, and End Sem


Method

Page 26 of 32
Academic Program: M. Tech in VLSI and Embedded System

Suggested Text
Readings 4. Miller, Scott, and Donald Childers, “probability and random processes: with applications to signal
processing and communications”, Academic Press, 2012.
5. Wim C. van Etten, “Introduction to random signals and Noise”, Chichester, England: Wiley, 2005.
6. Peyton Z. Peebles, “Probability, random variables, and random signal principles”. McGraw Hill
Book Company, 1987.
References
1. Geoffrey R. Grimmett, and David Stirzaker, “Probability and random processes”, Oxford
university press, 2001.
2. Alberto Leon-Garcia, “Probability, statistics, and random processes for Electrical engineering”,
Upper Saddle River, NJ: Pearson/Prentice Hall, 2008.
3. Grewal, Mohinder, and Angus P. Andrews, “Kalman filtering: theory and practice with
MATLAB”, John Wiley & Sons, 2014.
4. Alberto Leon-Garcia, “Probability, statistics, and random processes for Electrical engineering”,
Upper Saddle River, NJ: Pearson/Prentice Hall, 2008.
5. Kay, Steven M, “Fundamentals of statistical signal processing”, Prentice Hall PTR, 1993.
6. H.L. Van Trees, “Detection, estimation, and modulation theory, part I”, New York, NY: John
Wiley & Sons, Inc., 1971.
7. Brown, Robert Grover, and Patrick YC Hwang., “Introduction to random signals and applied
Kalman filtering”, New York: Wiley, 1992.
8. Shovan Bhaumik, and Paresh Date, “Nonlinear estimation: methods and applications with
deterministic Sample Points”, CRC Press, 2019.
9. Steven Key, “Intuitive probability and random processes using MATLAB®”, Springer Science &
Business Media, 2006.
10. D. J. Gordana, “Random signals and processes primer with MATLAB”, Springer Science &
Business Media, 2012

Course Number EC6252


Course Credit L-T-P-C: 3-0-0-3
Course Title Hardware Security System
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course A Hardware Security System focuses on protecting electronic hardware from various threats, including
Description unauthorized access, tampering, and exploitation. The course typically covers topics such as secure
hardware design principles, cryptographic algorithms, side-channel attacks, physical unclonable functions
(PUFs), and hardware/software co-design for secure systems in applications ranging from IoT devices to
critical infrastructure.
Course Outline Review of modular arithmetic, Groups, rights and Fields, Polynomial fields, Galois Field arithmetic.
Mapping between Binary and Composite Fields. Overview of Modern Cryptography: Stream ciphers,
Block Ciphers, DES, AES, Rijndael in Composite Field, Elliptic Curves, Montgomery’s Algorithm for
Scalar Multiplication. Modern Hardware Design: FPGA architecture, Mapping an Algorithm to
Hardware, Hardware Design of Cryptographic Algorithms. Overview of Different Issues of Hardware
Security, Useful hardware Security Primitives, Side-channel Attacks on Cryptographic Hardware,
Testability and Verification of Cryptographic Hardware, Modern IC Design and Manufacturing Practices
and Their Implications, Hardware Trojans. Differential Fault Analysis of Ciphers
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. Christof Paar, Jan Pelzl, "Introduction to Cryptography" Springer 2010, ISBN: 978-3-642-44649-
8 (Print) 978-3-642-04101-3 (Online)
2. Ingrid Verbauwhede (Eds), "Secure Integrated Circuits and Systems" Springer 2010, ISBN: 978-
0-387-71827-9 (Print) 978-0-387-71829-3 (Online) Stefan Mangard, Elisabeth Oswald, Thomas Popp,
"Power Analysis Attacks," Springer 2007, ISBN: 978-0-387-30857-9 (Print) 978-0-387-38162-6
(Online)
3. Debdeep Mukhopadhyay, Rajat Subhra Chakraborty, "Hardware Security: Design, Threats, and
Safeguards," CRC Press 2015, ISBN 9781439895832

Page 27 of 32
Academic Program: M. Tech in VLSI and Embedded System

4. Marc Joye, Michael Tunstall, "Fault Analysis in Cryptography," Springer 2012, ISBN: 978-3-
642-29655-0 (Print) 978-3-642-29656-7 (Online)

Course Number EC6254


Course Credit L-T-P-C: 3-0-0-3
Course Title MEMS and NEMS
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course MEMS (Microelectromechanical Systems) involve the integration of mechanical elements, sensors,
Description actuators, and electronics on a single semiconductor substrate at a microscale level. The course covers
fabrication techniques, design principles, and applications in fields such as automotive, biomedical devices,
and consumer electronics.
Course Outline MEMS and Microsystems, Miniaturization, Typical products, Micro sensors, Micro actuation, MEMS
with micro actuators, Micro-accelerometer’s and Micro fluidics, MEMS materials, Micro fabrication ,
Elasticity, Stress, strain and material properties, Bending of thin plates, Spring configurations, torsional
deflection, Mechanical vibration, Resonance, Thermo mechanics – actuators, force and response time,
Fracture and thin film mechanics. Electrostatics: basic theory, electro static instability. Surface tension,
gap and finger pull up, Electro static actuators, Comb generators, gap closers, rotary motors, inch worms,
Electromagnetic actuators. bistable actuators. Electronic Interfaces, Feedback systems, Noise , Circuit and
system issues, Case studies – Capacitive accelerometer, Peizo electric pressure sensor, Modelling of
MEMS systems, CAD for MEMS. Optical MEMS, - System design basics – Gaussian optics, matrix
operations, resolution. Case studies, MEMS scanners and retinal scanning display, Digital Micro mirror
devices. RF Memes – design basics, case study – Capacitive RF MEMS switch, performance issues
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text
Readings 1. Stephen Santuria, “Microsystems Design”, Kluwer publishers, 2000.
References
References
1. Nadim Maluf, “An introduction to Micro electro mechanical system design”, Artech House, 2000
2. Mohamed Gad-el-Hak, editor,” The MEMS Handbook”, CRC press Baco Raton, 2000.
3. Tai Ran Hsu, “MEMS & Micro systems Design and Manufacture”, Tata McGraw Hill, New Delhi,
2002.

Course Number EC6256


Course Credit L-T-P-C: 3-0-0-3
Course Title System-on-Programmable-Chip Design
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course System-on-Programmable-Chip (SoPC) Design involves integrating multiple hardware components, such
Description as processors, memory, peripherals, and custom logic, onto a programmable platform like a Field-
Programmable Gate Array (FPGA). The course covers topics such as hardware/software co-design, system
architecture, IP core integration, and high-level synthesis tools for implementing complex functionalities
efficiently on programmable devices.

Page 28 of 32
Academic Program: M. Tech in VLSI and Embedded System

Course Outline Introduction: Driving Forces for SoC - Components of SoC - Design flow of SoC - Hardware/Software
nature of SoC - Design Trade-offs - SoC Applications
System-level Design: Processor Selection-Concepts in Processor Architecture: Instruction set architecture
(ISA), elements in Instruction Handing-Robust processors: Vector processor, VLIW, Superscalar, CISC,
RISC—Processor evolution: Soft and Firm processors, Custom-Designed processors- on-chip memory.
Interconnection: On-chip Buses: basic architecture, topologies, arbitration and protocols, Bus standards:
AMBA, Core Connect, Wishbone, Avalon - Network-on-chip: Architecture-topologies-switching
strategies - routing algorithms - flow control, Quality-of-Service- Reconfigurability in communication
architectures.
IP based system design: Introduction to IP Based design, Types of IP, IP across design hierarchy, IP life
cycle, Creating and using IP - Technical concerns on IP reuse – IP integration - IP evaluation on FPGA
prototypes.
SOC implementation: Study of processor IP, Memory IP, wrapper Design - Real-time operating system
(RTOS), Peripheral interface and components, High-density FPGAs - EDA tools used for SOC design.
SOC testing: Manufacturing test of SoC: Core layer, system layer, application layer- P1500 Wrapper
Standardization-SoC Test Automation (STAT).
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. Michael J.Flynn, Wayne Luk, “Computer system Design: System on-Chip”, Wiley-India, 2012.
2. Sudeep Pasricha, Nikil Dutt, “On Chip Communication Architectures : System on Chip
Interconnect”, Morgan Kaufmann Publishers, 2008.
3. W.H.Wolf, “Computers as Components: Principles of Embedded Computing System Design”,
Elsevier, 2008.

Course Number EC6257


Course Credit L-T-P-C: 3-0-0-3
Course Title Network on Chip
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course Network on Chip (NoC) refers to a scalable and efficient communication architecture for integrating
Description multiple processing elements (PEs) or IP cores on a single semiconductor chip. The course typically covers
topics such as NoC design principles, routing algorithms, arbitration mechanisms, and performance analysis
for complex system-on-chip (SoC) designs.
Course Outline Introduction to Network layers and Network Architecture; Network on Chip: System-on-Chip Integration
and Its Challenges; SoC to Network-on-Chip: A Paradigm Shift; NOC: Interconnection Networks,
Architecture Design, Evaluation of Network-on-Chip Architectures, Application Mapping, Low-Power
Techniques, Signal Integrity and Reliability, Testing, On-Chip multiprocessors: Integration and
applications; Network Protocols on Chip: Case Studies of design, development and testing; Selective
Communication Networks and protocols on Chip: Design and development methods; SoCs based NoCs:
Examples; Neural Network on Chip: Design and implementation and their performance analysis and
challenges with case studies.
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. Santanu Kundu, Santanu Chattopadhyay, “Network-on-Chip: The Next Generation of System-on-
Chip Integration”, CRC press, 2014.
2. Jose Flich, Davide Bertozzi, “Designing Network On-Chip Architectures in the Nanoscale Era”,
CRC press, 2010.
3. De Micheli & Benini, “Networks on Chips”, Elsevier, 2006

Page 29 of 32
Academic Program: M. Tech in VLSI and Embedded System

Course Number EC6258


Course Credit L-T-P-C: 3-0-0-3
Course Title Real Time Embedded Operating System
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course The Real-Time Embedded Operating Systems course focuses on the design and implementation of operating
Description systems for embedded applications. It covers real-time scheduling, resource management, and system-level
integration for efficient and reliable operation.
Course Outline Introduction and evolution of high-performance embedded computing system. Basics of Computer Design
& Performance Evaluation: Defining Computer Architecture, Quantitative Principles of Computer Design,
CPU Performance & its factors, SPEC Benchmarks. Computational model: Basic computational models,
Abstract level of processor, Open Source ISA, Micro-Architecture, High performance computer
arithmetic architectures, Instruction level Parallelisms: ILP concepts, Dependencies between instructions,
preserving sequential consistency. Pipelining: Introduction to pipelining, Instruction pipeline design,
Pipeline hazards, deep pipeline microarchitecture and micro-operation, Superscalar Processors:
Introduction, Parallel decoding, Superscalar instruction issue, Shelving, Register Renaming, Memory
System: Memory hierarchy, Memory system Performance, Cache Memory, Cache Coherence, Memory
Consistency, Cache Performance Issues, Shared Memory Organization. High performance Bus
Architecture, Parallel Virtual Machine Architecture and programming model. Multicore and
multiprocessor architecture, VLIW processor architectures. Array and vector processors. Introduction
Embedded Co-Processor: GPU and ML Accelerator
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text/References
Readings 1. John L. Hennessy and David A. Patterson, “Computer Architecture-A Quantitative
Approach”, 6th Edition, Elsevier, 2019.
2. John L. Hennessy and David A. Patterson, “Computer Organization and Design”,
Morgan Kaufmann Publisher, 2nd Edition, 2021.
3. S. R. Sarangi, “Advanced Computer Architecture”, 1st Edition, 2021.
4. Sima, Fauntain, Kscucle, “Advanced Computer Architecture a design space approach”,
Pearson, 7th Edition, 2009.
5. Kai Hwang, “Advanced Computer Architecture”, McGrawHill publication, 2003.

Course Number EC6270


Course Credit L-T-P-C: 3-0-0-3
Course Title Advance FPGA Platform and System
Learning Mode Lectures
Learning Complies with Program Goals 1 and 2
Objectives
Course Advance FPGA platform and system focuses on the methods of design, development and implementation
Description of complex digital systems using advanced Field-Programmable Gate Arrays (FPGAs) fabrics platform.
The course covers topics such as advance FPGA architecture, design methodologies, IP core integration,
and implementation of Digital signal processing, control and communication Systems. It also highlights
the methods and tools for implementation of Machine learning algorithms.
Course Outline Introduction to reconfigurable and FPGA based system Design; Basic and Advanced FPGA Fabrics;
Combinational and Sequential logic realization on FPGA; Issues on FPGA based system Design: Area,
Timing and Power; Design; Behavioral /high level Design and implementation methodologies: HDL, IP
Core, System Generator; Processor and memory cores; Timing analysis; Clock distribution and

Page 30 of 32
Academic Program: M. Tech in VLSI and Embedded System

management systems; Large scale System Design: Platform FPGA, Multi-FPGA System; Busses and I/O
communication system; DSP system Design and Implementation using FPGA; FPGA based Embedded
system platform: Design and implementation methods. Introduction to Implementation methods and tools
for machine learning algorithms. Advance FPGA for real time application: A Case Studies on signal
processing, Communication and control systems.
Learning Complies with PLOs 1a, 1b, 2 and 3a
Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method

Suggested Text/References
Readings 1. Steve Kilts, “Advanced FPGA design – Architecture, Implementation and Optimization”, Wiley
publications,2007.7. Samir Palnitkar, “Verilog HDL: A Guide to Digital Design and Synthesis”,
Second Edition, Prentice Hall PTR, 2003.
2. Wayne Wolf, “FPGA-Based System Design”, Prentice Hall Modern Semiconductor Design Series,
2004.
3. Ron Sass and Andrew G. Schmidt, Morgan Kaufmann (MK), “Embedded System design with
Platform FPGAs”, Elsevier,2010.

Course Number EC6271


Course Credit L-T-P-C: 3-0-0-3
Course Title Generative AI for Video Surveillance System
Learning Mode Lectures
Learning Complies with Program Goals 6 and 7
Objectives
Course This course introduces students to the theoretical foundations and practical applications of generative
Description artificial intelligence (AI) in video surveillance systems. Students will learn about various generative
models and their applications in video synthesis, anomaly detection, and activity recognition within
surveillance scenarios.

Course Outline Module 1: Image and Video Processing


 Basics of Image Processing
 Basics of Video Compression and Motion Analysis
 Background Modelling
 Object detection and classification
 Human Activity Recognition
 Video Object Tracking

Module 2: Video Surveillance Systems


 Foreground and Background Detection
 Segmentation and Tracking
 Behaviour analysis of individuals and groups
 Static and Dynamic analysis of crowds

Module 3: Introduction to Generative AI


 Overview of generative AI and its applications
 Introduction to generative models
 Key concepts: generative models vs. discriminative models, probability distributions

Page 31 of 32
Academic Program: M. Tech in VLSI and Embedded System

Module 4: Fundamentals of Deep Learning


 Introduction to deep learning and neural networks
 Training neural networks: backpropagation, optimization algorithms
 Regularization techniques: dropout, L1/L2 regularization
 Convolutional Neural Networks (CNNs), Recurrent Neural Networks (RNNs) and Long and
Short Term Memory (LSTM) for generative tasks

Module 5: Variational Autoencoders (VAEs)


 Introduction to autoencoders
 Understanding VAEs: encoder, decoder, and latent space
 Variational inference and the reparameterization trick
 Applications of VAEs: image generation, data compression

Module 6: Generative Adversarial Networks (GANs)


 Introduction to GANs and their components (generator, discriminator)
 GAN training process: minimax game, adversarial loss
 Architectural variations: DCGAN, WGAN, Conditional GAN, SR GAN, Cycle GAN
 GAN applications: image synthesis, style transfer, super resolution

Module 7: Transformers
 Introduction and Evolution: Explore Transformer evolution and key components.
 Transformer Architecture: Study encoder-decoder stacks and attention mechanisms.
 Training Strategies: Compare pre-training, fine-tuning, and optimization techniques.
 Applications: Examine text, image, and video generation tasks.
 Recent Trends: Review Vision Transformers, Video Vision Transformers, GPT, DALL-E and
BERT.

Module 8: Hands-on Projects and Case Studies

 Practical implementation of generative AI models using popular frameworks (e.g., TensorFlow,


PyTorch)
 Guided projects and assignments to reinforce concepts learned
 Case studies showcasing real-world applications of generative AI

Learning Complies with PLOs 6a, 6b, 7 and 8a


Outcomes
Assessment Quizzes/Assignments, Mid Sem, and End Sem
Method
Suggested Text and References
Readings
1. M. H. Kolekar, “Intelligent video surveillance systems: an algorithmic approach”, Chapman and
Hall/CRC; 2018 Jun 27.
2. F. Chollet, “Deep learning with Python”, Simon and Schuster; 2021 Dec 7.
3. J. Babcock, R. Bali, “Generative AI with Python and TensorFlow 2: Create images, text, and music with
VAEs, GANs, LSTMs, Transformer models”, Packt Publishing Ltd; 2021 Apr 30.

Page 32 of 32

You might also like