US7743359B2 - Apparatus and method for photomask design - Google Patents
Apparatus and method for photomask design Download PDFInfo
- Publication number
- US7743359B2 US7743359B2 US11/203,330 US20333005A US7743359B2 US 7743359 B2 US7743359 B2 US 7743359B2 US 20333005 A US20333005 A US 20333005A US 7743359 B2 US7743359 B2 US 7743359B2
- Authority
- US
- United States
- Prior art keywords
- data set
- merit
- computational model
- calculate
- photolithographic
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active, expires
Links
- 238000000034 method Methods 0.000 title claims abstract description 97
- 238000013461 design Methods 0.000 title description 12
- 238000005094 computer simulation Methods 0.000 claims abstract description 51
- 238000004364 calculation method Methods 0.000 claims abstract description 24
- 230000002194 synthesizing effect Effects 0.000 claims abstract description 5
- 230000003287 optical effect Effects 0.000 claims description 34
- 238000012360 testing method Methods 0.000 claims description 30
- 238000001459 lithography Methods 0.000 claims description 14
- 230000001419 dependent effect Effects 0.000 claims description 12
- 230000005672 electromagnetic field Effects 0.000 claims description 12
- 229920002120 photoresistant polymer Polymers 0.000 claims description 12
- 238000005286 illumination Methods 0.000 claims description 10
- 238000004458 analytical method Methods 0.000 claims description 9
- 239000010408 film Substances 0.000 claims description 8
- 230000008859 change Effects 0.000 claims description 7
- 230000000694 effects Effects 0.000 claims description 7
- 230000010287 polarization Effects 0.000 claims description 7
- 230000004044 response Effects 0.000 claims description 4
- 239000010409 thin film Substances 0.000 claims description 3
- 238000007639 printing Methods 0.000 claims description 2
- 230000003068 static effect Effects 0.000 claims description 2
- 238000011156 evaluation Methods 0.000 claims 2
- 238000000638 solvent extraction Methods 0.000 claims 2
- 238000005530 etching Methods 0.000 claims 1
- 235000012431 wafers Nutrition 0.000 description 18
- 238000012937 correction Methods 0.000 description 17
- 239000010410 layer Substances 0.000 description 10
- 230000008569 process Effects 0.000 description 10
- 238000004422 calculation algorithm Methods 0.000 description 9
- 230000006870 function Effects 0.000 description 8
- 238000005457 optimization Methods 0.000 description 8
- 238000000059 patterning Methods 0.000 description 8
- 241000961787 Josa Species 0.000 description 7
- 230000000737 periodic effect Effects 0.000 description 5
- 230000008901 benefit Effects 0.000 description 4
- 238000004590 computer program Methods 0.000 description 4
- 230000002068 genetic effect Effects 0.000 description 4
- 239000000463 material Substances 0.000 description 4
- 230000002829 reductive effect Effects 0.000 description 4
- 239000000758 substrate Substances 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 238000004090 dissolution Methods 0.000 description 3
- 238000003384 imaging method Methods 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 230000009471 action Effects 0.000 description 2
- 230000004075 alteration Effects 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 230000002238 attenuated effect Effects 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- 230000001427 coherent effect Effects 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 230000005577 local transmission Effects 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 230000010363 phase shift Effects 0.000 description 2
- 210000001747 pupil Anatomy 0.000 description 2
- 238000002922 simulated annealing Methods 0.000 description 2
- 238000004088 simulation Methods 0.000 description 2
- 238000012795 verification Methods 0.000 description 2
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- 230000002730 additional effect Effects 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000004630 atomic force microscopy Methods 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000008033 biological extinction Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 238000011960 computer-aided design Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000007812 deficiency Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 210000003128 head Anatomy 0.000 description 1
- 230000036039 immunity Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 238000012552 review Methods 0.000 description 1
- 238000005070 sampling Methods 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000003786 synthesis reaction Methods 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 230000001131 transforming effect Effects 0.000 description 1
- 239000013598 vector Substances 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/36—Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70425—Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
- G03F7/70433—Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
- G03F7/70441—Optical proximity correction [OPC]
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/20—Design optimisation, verification or simulation
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/398—Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
Definitions
- An apparatus and method for photomask design includes selecting a first more critical part of a photomask layout and a second less critical part of the photomask layout and then applying optical proximity correction to the first part utilizing a Kirchhoff approximation and applying optical proximity correction to the second part utilizing another approximation which is more accurate than the Kirchhoff approximation.
- FIG. 1 is a block diagram of a computer aided design system, which is constructed in accordance with a preferred embodiment of the present invention
- FIGS. 2A-C is a flowchart of a method for adjusting the parameters of a model of patterning process according to a set of calibration measurements.
- FIG. 3 is a flowchart of a method of optical proximity correction which method is in accordance with a preferred embodiment of the present invention.
- FIG. 1 an apparatus 10 , which generates a computational model of a patterning process that leads to more accurate optical proximity corrections where photomask features are comparable to or smaller than the exposure wavelength.
- the method 1039 that generates the computational model is provided on a computer program product 70 , which is a computer usable medium that has encoded thereon computer readable codes.
- the computer readable codes enable a user, via the apparatus 10 , which could be a CAD system, to apply the method 1039 to a photolithographic data set that corresponds to the position-dependent variations in an optical refractive index n(x, y, z) of at least a part of a photomask.
- the computer readable code causes the CAD system 10 to take the following actions:
- very large-scale integrated circuits are patterned using sub-wavelength optical lithography where the critical dimensions of patterns are less than the wavelength of the exposing light.
- an ArF excimer laser source of 193 nm wavelength can be used to print 65 nm-wide lines.
- Model-based optical proximity correction is a method of synthesizing a mask pattern that will produce a predetermined target pattern on the wafer as faithfully as possible in the presence of the distortions inherent to the patterning process.
- the target pattern that is, the pattern desired on the wafer, is generated by circuit design, signal-timing, layout, and routing considerations.
- the target pattern is represented by a set of polygons.
- MOPC uses a computational model of the patterning process.
- the computational model includes a physical-optics calculation of image formation and a model of the blur caused by molecular diffusion in the photoresist.
- MOPC starts with an initial guess of the photomask data, which is a set of polygons. For binary and attenuated-PSM (attenuated phase-shift mask) masks, the initial guess is similar to the target pattern. MOPC iteratively adjusts the polygons in the photomask data set in order to minimize some measure of the difference between the target pattern and the pattern predicted by the model. Adjusting the polygons is usually done by moving their edges.
- the most essential part of a computational model employed in MOPC or verification is the calculation of the optical image formation.
- the projection lens acts as a low-pass filter in the spatial frequency domain, thereby limiting the rate of change of the image intensity with respect to position on the image plane. This is the strongest effect that distorts the image and causes the optical proximity effect.
- Equation 1 denotes a component of the electromagnetic field, such as the tangential electric field as in the case of s-polarized illumination.
- the mask function m(x,y) is the local transmission coefficient of the film stack on the photomask at point (x,y) in the plane of the photomask.
- the mask function m(x,y) is the local reflection coefficient of the film stack on the photomask at point (x,y) in the plane of the photomask.
- Kirchhoff approximation unlike according to more accurate solutions of Maxwell's equations, components of the scattered electromagnetic field, hence the field at the image plane, linearly depend on the mask function m(x,y). The reason for this inaccuracy is that Kirchhoff approximation does not account for multiple-scattering of electromagnetic fields by features on the photomask.
- the secondary (Huygens) source induced on the mask at a point (x,y) is the same whether there is an edge of the pattern in the vicinity of (x,y) or not.
- Multiple-scattering refers to an illuminating electromagnetic wave being scattered by a pattern on the photomask, and the resulting scattered field being scattered once or more by the pattern on the photomask.
- FDTD finite-difference time-domain method
- FEM finite elements method
- Rigorous coupled wave analysis or coupled wave analysis, and the related modal method are especially suited for calculation of electromagnetic plane waves by periodic structures.
- RCWA Rigorous coupled wave analysis
- the position dependent optical properties of the pattern on the photomask and the components of electromagnetic fields are expanded as Fourier series (See: M. G. Moharam and T. K. Gaylord, Applied Optics, Vol. 20 Issue 2 Page 240, January 1981; JOSA, Vol. 73, No. 9, p. 1105, September 1983; M. G. Moharam et al, JOSA A, vol. 12, no. 12, pp. 1068-1086, May 1995; Lifeng Li at al., JOSA A, Vol. 10, No. 6, p. 1184, June 1993; JOSA A, Vol.
- the spatial light modulator has an array of pixels each of which can modulate light that falls on it. The pixels are controlled according to the photomask data.
- the spatial light modulator typically comprises an array of micro-machined mirrors. The spatial light modulator is illuminated and its image is projected by an objective on to the wafer (see: Sandstrom, et al., U.S. Pat. No. 6,700,095, 2004).
- photomask data set and “mask layout” are used synonymously and they are understood to include maskless lithography data sets.
- photomask data set includes libraries.
- the mask function m(x, y) defined as the local transmission or reflection coefficient of the mask stack is a valid concept only within the realm of the Kirchhoff approximation.
- the pattern on the mask needs to be described by a position-dependent refractive index n(x, y, z).
- Refractive index n(x, y, z) is the complex-valued refractive index of the material occupying the position (x, y, z).
- the imaginary part of the refractive index also called extinction coefficient, indicates how absorptive the material is.
- the pattern on the photomask can be described as a layered medium.
- the refractive index in the j th layer is a two-dimensional function n j (x,y).
- z j is at the interface between the jth and (j+1)th layer
- z 0 is at the interface between the first layer and the substrate
- z L is at the interface between the last layer and the superstrate (air).
- n ⁇ ( x , y , z ) ⁇ n SUBSTRATE for z ⁇ z 0 n 1 ⁇ ( x , y ) for z 0 ⁇ z ⁇ z 1 n 2 ⁇ ( x , y ) for z 1 ⁇ z ⁇ z 2 ⁇ ⁇ n AIR for z L ⁇ z Equation ⁇ ⁇ 2
- n SUBSTRATE is the refractive index of the mask blank. Only one layer is needed to describe a chrome binary mask on which patterned features have vertical sidewalls:
- RCWA is particularly suitable for calculating scattering of plane waves by periodic structures.
- Prior art MOPC does not use these techniques because these accurate numerical methods require orders of magnitude more computer resources compared to the Kirchhoff approximation.
- the area of a very large-scale integrated circuit (VLSI) can be 50,000 ⁇ 50,000 ⁇ , where ⁇ is the lithography exposure wavelength. Accurately calculating scattering by an object of this size is a daunting computational task.
- using accurate numerical methods in simulation of lithography is feasible in some applications.
- Computational models of lithography are calibrated by printing and measuring test structures. Calibrating a model means determining the values of its adjustable (not a priori determined) parameters.
- the test structures that are used for this purpose usually have a unit cell that periodically repeats, for example, the unit cell of a gate array. In most cases, the dimension of the unit cell is a few wavelengths. A few hundred to a few thousand test structures may be used to calibrate a model.
- RCWA is suitable for calculating the scattering of incident waves by the periodic line-space test structures.
- Memory devices such as static random access memory (SRAM), dynamic random access memory (DRAM) and flash memory have small (on the order of 1 ⁇ m ⁇ 1 ⁇ m) unit cells that periodically repeat. There is economic incentive to manufacture memory devices at the highest possible bit count per unit area.
- the unit cell of a memory device is more tightly optimized than its supporting circuitry on the same chip. Consequently, unit cells of memory devices are most likely to benefit from optimization using a computational model such as RCWA that is accurate for small structures and that takes into account the periodic nature of the array of devices.
- Critical circuits that do not consist of a periodically repeating unit cell can be modeled using RCWA.
- the computation assumes the pattern in the computation domain is repeated periodically outside the computation domain. The actual circuit may not repeat periodically. Then, the results of the calculation in some neighborhood of the boundary of the computation domain are discarded.
- a region in the center of the computation domain will be sufficiently accurate if the computation domain is larger than twice the optical range of influence.
- the range of optical influence is the maximum distance between two features at which the presence of one feature has a measurable effect on the field at the location of the image of the other feature.
- the image of a region of interest can be computed by tiling it with a plurality of overlapping computation domains.
- Layout of a chip can be divided into critical regions and remaining regions. The critical regions can be modeled and optimized by RCWA, and the remaining regions can be modeled and optimized using the Kirchhoff approximation.
- the terms critical circuit, critical cell (of the layout), and critical region will be used interchangeably in the following discussion
- Layout and routing of frequently designed circuits are done once, stored in a library of layouts, and repeatedly used.
- FIG. 2A-2C shows a flowchart for parallel computations to be performed on a machine having nodes or threads labeled 1 , 2 , . . . , N.
- “Node” refers to one of many networked computation hardware units, each unit having at least one central processing unit CPU or digital signal processor (DSP).
- DSP digital signal processor
- Threads refers to multiple virtual computation machines implemented on one hardware unit.
- nodes 1 , 2 , . . . , N are physically separate, networked CPUs.
- the purpose of the algorithm 210 as illustrated in FIG. 2B is to determine the best values for the adjustable parameters of a model of a patterning process.
- the adjustable parameters may include coefficients of Zernike polynomials describing the lens aberrations, chromatic aberration, numerical aperture (NA) of the projection lens, pupil illumination (for example, ⁇ in and ⁇ out of annular illumination), and point-spread function of the resist blur.
- NA numerical aperture
- an initial guess of the parameters are provided at step 102 .
- the initial guess can be set to nominal parameters.
- the algorithm iteratively updates the model parameters at step 104 .
- Test patterns may include any combination of lines, spaces, contacts, posts, and spaces of various widths, part of an actual circuit or circuit-like patterns.
- Test patterns may have binary, phase-shifted or attenuated and phase-shifted features.
- Test patterns are printed on wafers and aspects of the printed patterns are measured. The measured aspects can include critical dimensions such as width of lines, spaces, contacts, or posts; or distances between features; or image of a test pattern. The measurements may be taken at more than one dose and/or defocus condition. In the preferred embodiment, dimensions are measured by a CD-SEM (scanning electron microscope). In alternative embodiments, dimensions of printed test patterns are measured with electrical line width metrology (ELM), optical scatterometry, or atomic-force microscopy.
- ELM electrical line width metrology
- test structures are distributed to nodes or threads. If the number of nodes or threads (N) is less than the number of test structures, at least one node or thread repeats the following computations for multiple test structures in a sequential manner. In the preferred embodiment, dimensions of the test structures on photomask(s) are measured and provided at steps 110 and 120 .
- a rigorous coupled wave analysis (RCWA) algorithm calculates how the incident (illuminating) light is scattered by the photomask.
- RCWA rigorous coupled wave analysis
- Test structures such as an array of lines, contacts, or posts have a periodically repeating unit cell; therefore, they are well suited for RCWA.
- the analysis step 125 can use other methods to accurately solving the electromagnetic scattering problem on the photomask, for example, an FDTD, FEM, or boundary integral method.
- a pupil phase and amplitude function is applied to the light that is transmitted through the projection lens system.
- the method is taught in another application, U.S. Ser. No. 11/203,331, filed Aug. 13, 2005, now issued as U.S. Pat. No. 7,379,170).
- the reduction (or magnification) of the lens imaging is taken into account.
- Rosenbluth et al “Fast calculation for high numerical aperture lithography,” Proc. SPIE, Vol. 5377, pp. 615-628, 2004.
- a calculation step 135 ( 135 a . . . . 135 b ), the electromagnetic field inside the photoresist is calculated.
- the calculation step 135 comprises another RCWA calculation which takes into account underlying pattern in the stack on the wafer.
- a BARC (bottom anti-reflective coating) film is deposited over the underlying pattern, under the photoresist film.
- BARC bottom anti-reflective coating
- BARC is usually absorptive and it substantially isolates the resist from the underlying pattern.
- the underlying pattern is not taken into account, hence the calculation performed at step 135 is reduced to calculating the propagation of plane waves in a layered (planarly-stratified) medium (see: J. A. Kong, Electromagnetic Wave Theory, Section 3.4, EMW Publishing, Cambridge, Mass. 2000).
- an optical image intensity 140 ( 140 a . . . 140 b ) results that affects a photo-reaction.
- the response of the resist to the image intensity is then calculated at a calculation step 145 ( 145 a . . . 145 b ).
- An essential part of this calculation is the resist blur, which accounts for smearing of the latent image due to diffusion of molecules (C. Mack, Inside PROLITH , p. 100-103, FINLE Technologies, Austin, Tex., 1997; T. A. Brunner et al., Proc. SPIE Vol. 5377, p. 141-149, May 2004).
- the calculation step 145 embodies a model of resist dissolution in a developer solution (See: C. Mack, Inside PROLITH , Chapter 9, FINLE Technologies, Austin, Tex., 1997).
- a simple threshold is applied to the latent image intensity after the calculation of resist blur.
- an aspect of the printed image is calculated.
- the calculated aspect can be one or more critical dimensions, differences of critical dimensions, or a resist-edge contour.
- step 160 an array of differences between calculated and measured aspects of the test patterns is formed.
- the iteration is terminated at a determination step 165 if a norm of the differences is sufficiently small, or the norm of differences can no longer be decreased.
- a suitable norm of the differences, ⁇ is:
- CD CALC and CD MEAS refer to calculated and measured dimensions, respectively, the index p labels test structures and the index q labels process conditions such as dose and focus.
- the current set of values of the model parameters is subsequently used in optical proximity correction and verification.
- the iteration may be terminated at the determination step 165 if a predetermined number of iterations or computation time has been reached.
- the model parameters are adjusted to reduce the norm of differences at step 170 according to an optimization algorithm such as one of: Gauss-Newton, Levenberg-Marquardt, quasi-Newton, steepest-descent algorithms.
- an optimization algorithm such as one of: Gauss-Newton, Levenberg-Marquardt, quasi-Newton, steepest-descent algorithms.
- genetic algorithm See: J. Koza, Genetic Programming , MIT Press, Cambridge, Mass., 1992
- simulated annealing S. Kirkpatrick et al., “Optimization by Annealing,” Science, Vol. 220, No. 4598, 1983).
- the current model parameters are updated at an update step 104 and distributed to all nodes.
- the optimization and update steps 165 , 170 and 104 are performed at a head (master) node of a computer cluster.
- patterning of different test structures is calculated in parallel. Other parallelization schemes are possible. Scattering of plane waves incident on a test structure from different directions can be calculated in parallel. Scattering of different (S and P) polarizations of illumination by a test structure can be calculated in parallel. Measured aspects of the printed test patterns 1 . . . N are obtained as illustrated at 115 ( 115 a . . . 115 N) as best seen in FIG. 2B .
- the preferred method 1039 provides for a parallel computation to be performed on a machine having nodes or threads labeled 1 , 2 , . . . , N.
- nodes 1 , 2 , . . . , N are physically separate, networked CPUs.
- the illumination that is incident upon the photomask is represented by a discrete summation of plane waves that are incoherent with each other, each plane wave having a particular direction of incidence, polarization, and amplitude.
- the illumination conditions are specified, and a discreet set of planewave attributes 325 ( 325 a , 325 b , . . . . 325 N), are generated and passed to the nodes.
- Each node calculates the scattering, i.e. diffraction, of the incident plane wave by the photomask at step 330 ( 330 a , 330 b , . . . . 330 N).
- the diffraction calculation is performed by the rigorous coupled wave analysis (RCWA) which explicitly takes into account that the pattern on the photomask is periodic in one or two dimensions.
- the result of the diffraction calculation is a set of plane waves (diffraction orders) that propagate through the projection lens.
- Each diffracted order maps to a particular point at the aperture, i.e., the Fourier transform plane, of the projection lens.
- the step 330 can use other methods to accurately solve the electromagnetic scattering problem on the photomask, for example, an FDTD, FEM, or boundary integral method.
- an aperture phase and amplitude function is applied to the diffracted orders depending on which point they fall in the aperture of the projection lens, and the lens reduction (de-magnification) is applied to the diffracted orders by expanding the transverse component of their wave-vectors.
- step 340 ( 340 a , 340 b , . . . 340 N), the interaction of the diffracted planewaves with the film stack on the wafer is calculated and the phase shift due to defocus (axial position of the wafer) is applied.
- an RCWA calculation is performed at step 340 to account for the underlying patterned layer(s) on the wafer.
- the underlying pattern is not taken into account; hence, calculation 340 is reduced to calculating the propagation of plane waves in a layered (planarly-stratified) medium.
- a calculate step 345 ( 345 a , 345 b , . . . 345 N) the image intensity due to diffracted planewaves is calculated.
- the outputs from the calculate steps at 345 a , 345 b , . . . 345 N at each node is an image that is formed by a planewave illumination of the photomask, which is a coherent form of illumination.
- the total image is obtained at step 350 by incoherently summing (adding intensities) of coherent images.
- the resist model 355 includes a model of dissolution of the resist by a developer solution.
- the dissolution model is a threshold that is applied to the latent image.
- a model of wafer etch is applied to the resist pattern calculated at 355 .
- a figure-of-merit or figure-of-demerit of the mask layout is calculated at a calculate step 362 .
- the figure-of-merit indicates how well the mask layout satisfies a design goal or a desired compromise between multiple goals.
- the calculation of the figure-of-merit comprises a term that is a measure of the differences between a target pattern and the wafer pattern calculated at 360 at one or more dose and defocus conditions.
- the calculation of the figure-of-merit may comprise a term that measures the magnitude of the image slope at the edges of the resist pattern.
- the image slope is available at step 355 .
- the current mask layout is stored and transmitted for mask writing and the process stops at step 380 . If the design goal is not met, changes are made to the mask layout that will increase the figure of merit at step 370 .
- the change is calculated according to a standard optimization algorithm such as: Gauss-Newton, Levenberg-Marquardt, quasi-Newton, steepest-descent (See: P. E. Gill, W. Murray, M. H. Wright, Practical Optimization , Chapter 4, Elsevier, 1986), genetic algorithm (See: J. Koza, Genetic Programming , MIT Press, Cambridge, Mass., 1992), or simulated annealing (S. Kirkpatrick et al., “Optimization by Annealing,” Science, Vol. 220, No. 4598, 1983).
- the current mask layout is updated at an update step 315 , the new layout is distributed to the nodes 1 , . . . , N, and another iteration is started and proceeds as previously described.
- N the number of nodes or threads (N) is less than the number of incident (illuminating) plane waves, then at least one of the nodes or threads sequentially performs calculations provided by steps 325 - 345 for multiple incident plane waves.
- the goals of photomask design include one or more of:
- I(x j ,y j ; z q ) is the latent image intensity calculated at step 355 .
- I(x j ,y j ; z q ) is the intensity at a target point (x j ,y j ) in the wafer, for a defocus value of z q , which is the misplacement of the wafer in the z-direction.
- the (x j ,y j ; z q ) are coordinates in the wafer in the equations of figure-of-demerit, and should not be confused with the coordinate in the mask.
- the target points (x j ,y j ); j 1, . . .
- Equation 4 t denotes a threshold which is equal to the ratio: (dose-to-clear/dose).
- a positive photoresist dissolves if I(x j ,y j ; z q )>t, and it does not dissolve if I(x j ,y j ; z q ) ⁇ t at the location (x j ,y j ) on the wafer when the defocus is z q .
- the inequalities are reversed for a negative photoresist.
- a weighting factor w jq is optional in
- Using a single focus value reduces the computation time.
- the target points are points selected on the edges of the target polygons.
- the image intensity is band-limited with a Nyquist sampling rate of 0.25 ⁇ /NA where ⁇ is the exposure wavelength and NA is the numerical aperture. Since the image is band-limited, placing the target points arbitrarily densely increases computation time with little benefit.
- a preferred value for the spacing of target points on an edge is ⁇ 0.2 ⁇ /NA. Not placing target points on corners of target polygons is good practice since resist edge cannot have sharp corners.
- Goals 1, 2 and 3 can be achieved by minimizing F 2 with respect to the mask layout:
- ⁇ I ⁇ n ⁇ ( x j , y j ; z q ) is the directional derivative of the image in the direction that is perpendicular to the target edge.
- the following term is the first-order estimate of the edge-placement error:
- Edge ⁇ ⁇ ⁇ placement ⁇ ⁇ ⁇ error ⁇ ⁇ ⁇ at ⁇ ⁇ ( x j , y j ; z q ) I ⁇ ( x j , y j ; z q ) - t ⁇ I ⁇ n ⁇ ( x j , y j ; z q ) Equation ⁇ ⁇ 6
- Equation 5 The optional, positive parameter ⁇ in the numerator of Equation 5 is used to emphasize the requirement of large image slope at the edges of the target polygons.
- a high image slope increases the dose latitude and decreases the sensitivity of the printed pattern to lens flare (scattered light).
- the terms in Equation 5 are summed over the target points and over a few focus settings z 1 , z 2 , . . . , z Q .
- Minimizing F forces the resist edge to go through the target points but that does not preclude presence of resist edges where there are no target points. Without additional constraints, minimizing the figure-of-demerit in Equation 4 or Equation 5 can result in spurious resist features in large clear areas, away from the target points. It can also cause spurious holes in large resist areas away from the target points.
- control points are placed where resist edges are not wanted, that is, anywhere other than the edges of the target polygons.
- control points may be placed on assist features and in between features where the process window is likely to be narrow.
- Constraint Equation 8 assumes a positive photoresist. For negative photoresists, the words opaque and clear are to be interchanged.
- the intensity safety margins t U and t L are determined by the desired dose latitude. Typical spacing of the control points is 0.25 ⁇ /NA.
- the figure-of-demerit F 1 or F 2 is minimized subject to the constraints of Equation 8.
- the RCWA engine in FIGS. 2 and 3 is implemented by coding a general purpose computer 30 as will be described hereinafter in greater detail with reference to FIG. 1 .
- the RCWA engine can be implemented by coding a DSP, or it can be implemented by a dedicated hardware.
- the computer program product 70 is a computer usable medium that has encoded thereon computer readable codes.
- the computer readable codes enable a user, via the CAD system 10 , to generate a computational model of a patterning process that leads to more accurate optical proximity corrections where photomask features are comparable or smaller than the exposure wavelength.
- the computer program product 70 has encoded thereon computer readable program code that causes the computer 30 in one preferred method 1039 to take the following actions:
- the computer readable program code also causes the computer 30 to take the following additional actions;
- the photolithographic data set as mentioned in the preceding paragraph corresponds to position-dependent variations in the optical refractive index n (x, y, z) of at least of a part of a photomask. Also when the computer 30 uses the first computational model to calculate the first figure-of-merit, it calculates a scattering of illuminating electromagnetic waves relative to the position-dependent variations in the optical refractive index n (x, y, z).
- the first computational model calculates at least one of the following:
- the first computational model uses any one of the following algorithms:
- the computer readable code has been described as being encoded on a disc 70 that can be entered into the computer memory 40 by the disc drive 60 , which reads and transfers the code under computer control.
- the code could be entered remotely from another computer, through a high speed cable or satellite connection, or directly from any other input device that is capable of communication with the computer 30 .
- FIG. 1 although only a single computer is illustrated in FIG. 1 , the use of two or more processors in the computer is clearly contemplated.
- a first computational model contemplates using a plurality of processors wherein at least two of the processors operate in parallel and where each of the processors calculate the scattering of illuminating electromagnetic waves for different sets of polarizations and directions of incidence.
- One preferred method of synthesizing a photolithographic data set comprises using a first computational model to calculate a first figure-of-merit of the photolithographic data set; changing a first part of the photolithographic data set to increase said first figure-of-merit; using a second computational model to calculate a second figure-of-merit of the photolithographic data set, wherein the second computational model executes faster than said first computational model; and changing a second part of the photolithographic data set to increase the second figure-of-merit.
- the first computational model substantially predicts at least one of the following effects: proximity and fogging in mask writing; mask etch; photoresist blur; photoresist develop; and wafer etch.
- changing the first part, and changing the second part generally comprises inserting a sub-resolution feature in the photolithographic data set.
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Theoretical Computer Science (AREA)
- Computer Hardware Design (AREA)
- Evolutionary Computation (AREA)
- Geometry (AREA)
- General Engineering & Computer Science (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Preparing Plates And Mask In Photomechanical Process (AREA)
Abstract
Description
-
- 1. to select a first more critical part of a photomask layout;
- 2. to select a second less critical part of the photomask layout;
- 3. to apply optical proximity correction to the second part utilizing a Kirchhoff approximation; and
- 4. to apply optical proximity correction to the first part utilizing another approximation which is more accurate than the Kirchhoff approximation.
E Scattered =m(x,y)E Incident Equation 1
where CDCALC and CDMEAS refer to calculated and measured dimensions, respectively, the index p labels test structures and the index q labels process conditions such as dose and focus.
-
- 1. placing the edges of the resist pattern at the corresponding edges of a target pattern;
- 2. maximizing the image contrast at the resist edges thereby increasing the exposure dose latitude and immunity to flare;
- 3. maximizing the depth of focus, i.e., enforcing 1. and 2. for a range of focus values.
Goals 1 and 3 can be achieved by minimizing a figure-of-demerit F1 with respect to the mask layout:
Since the squared terms in Equation 4 are summed over target points (xj,yj); j=1, . . . , M and focus values z1, . . . , zQ, resist edges go through all M target points, for all Q focus values if and only if F1=0.
is the directional derivative of the image in the direction that is perpendicular to the target edge. The following term is the first-order estimate of the edge-placement error:
I(x j (c) ,y j (c) ; z q)≧t U >t for (xj (c),yj (c)), in clear areas and opaque assist features
I(x j (c) ,y j (c) ; z q)≧t L >t for (xj (c),yj (c)), in opaque areas and clear assist features Equation 8
-
- 1. to select a first more critical part of a photomask layout;
- 2. to select a second less critical part of the photomask layout;
- 3. to apply optical proximity correction to the second part utilizing a Kirchhoff approximation; and
- 4. to apply optical proximity correction to the first part utilizing another approximation which is more accurate than the Kirchhoff approximation.
-
- 1. to use a first computational model for calculating a first figure-of-merit for a photolithographic data set;
- 2. to change a first part of the photolithographic data set to increase the first figure-of-merit;
- 3. to use a second computational model for calculating a second figure-of-merit where the second computational model executes faster than the first computational model; and
- 4. to change a second part of the photolithographic data set to increase the second figure-of-merit.
Claims (33)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/203,330 US7743359B2 (en) | 2005-05-02 | 2005-08-13 | Apparatus and method for photomask design |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US67710405P | 2005-05-02 | 2005-05-02 | |
US11/203,330 US7743359B2 (en) | 2005-05-02 | 2005-08-13 | Apparatus and method for photomask design |
Publications (2)
Publication Number | Publication Date |
---|---|
US20060248498A1 US20060248498A1 (en) | 2006-11-02 |
US7743359B2 true US7743359B2 (en) | 2010-06-22 |
Family
ID=37235916
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/203,330 Active 2025-09-06 US7743359B2 (en) | 2005-05-02 | 2005-08-13 | Apparatus and method for photomask design |
Country Status (1)
Country | Link |
---|---|
US (1) | US7743359B2 (en) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20080301621A1 (en) * | 2007-05-31 | 2008-12-04 | Kazuya Fukuhara | Mask pattern correcting method |
US20100180251A1 (en) * | 2006-02-03 | 2010-07-15 | Brion Technology, Inc. | Method for process window optimized optical proximity correction |
US20180329311A1 (en) * | 2015-11-13 | 2018-11-15 | Asml Netherland B.V. | Methods for identifying a process window boundary |
US11119404B2 (en) | 2019-10-10 | 2021-09-14 | Kla Corporation | System and method for reducing printable defects on extreme ultraviolet pattern masks |
Families Citing this family (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7536670B2 (en) * | 2005-05-31 | 2009-05-19 | Cadence Design Systems, Inc. | Method for verifying and choosing lithography model |
US7600212B2 (en) | 2005-10-03 | 2009-10-06 | Cadence Design Systems, Inc. | Method of compensating photomask data for the effects of etch and lithography processes |
US8059885B2 (en) * | 2008-01-17 | 2011-11-15 | International Business Machines Corporation | Calculating image intensity of mask by decomposing Manhattan polygon based on parallel edge |
US8571845B2 (en) * | 2008-06-03 | 2013-10-29 | Asml Netherlands B.V. | Model-based scanner tuning systems and methods |
US8078995B2 (en) * | 2009-01-06 | 2011-12-13 | International Business Machines Corporation | Efficient isotropic modeling approach to incorporate electromagnetic effects into lithographic process simulations |
JP5149321B2 (en) * | 2010-03-24 | 2013-02-20 | 株式会社東芝 | Electromagnetic field simulation method, electromagnetic field simulation apparatus, and semiconductor device manufacturing method |
CN104395828B (en) * | 2012-05-31 | 2018-02-02 | Asml荷兰有限公司 | Pattern and evaluation point selection based on gradient |
KR101757777B1 (en) * | 2013-02-22 | 2017-07-14 | 에이에스엠엘 네델란즈 비.브이. | A lithography model for three-dimensional patterning device |
US11353797B2 (en) | 2016-12-09 | 2022-06-07 | Asml Netherlands B.V. | Method and apparatus for controlling a computing process |
Citations (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6563566B2 (en) * | 2001-01-29 | 2003-05-13 | International Business Machines Corporation | System and method for printing semiconductor patterns using an optimized illumination and reticle |
US6584609B1 (en) * | 2000-02-28 | 2003-06-24 | Numerical Technologies, Inc. | Method and apparatus for mixed-mode optical proximity correction |
US20030152873A1 (en) * | 2002-02-14 | 2003-08-14 | Yasushi Tainaka | Fabrication method of semiconductor integrated circuit device |
US6700095B2 (en) | 2000-04-17 | 2004-03-02 | Micronic Laser Systems Ab | Pattern generation system using a spatial light modulator |
US6777146B1 (en) * | 2003-02-21 | 2004-08-17 | International Business Machines Corporation | Method of optical proximity correction with sub-resolution assists |
US20040221254A1 (en) * | 1999-04-30 | 2004-11-04 | Mentor Graphics Corporation | Mixed mode optical proximity correction |
US20050081180A1 (en) * | 2003-08-20 | 2005-04-14 | Toshiya Kotani | Pattern dimension correction method and verification method using OPC, mask and semiconductor device fabricated by using the correction method, and system and software product for executing the correction method |
US20050086629A1 (en) * | 2003-10-17 | 2005-04-21 | Jaw-Jung Shin | Method of defining forbidden pitches for a lithography exposure tool |
US20050229125A1 (en) * | 2004-04-02 | 2005-10-13 | Tabery Cyrus E | System and method for integrated circuit device design and manufacture using optical rule checking to screen resolution enhancement techniques |
US6978438B1 (en) * | 2003-10-01 | 2005-12-20 | Advanced Micro Devices, Inc. | Optical proximity correction (OPC) technique using generalized figure of merit for photolithograhic processing |
US20060057475A1 (en) * | 2003-02-28 | 2006-03-16 | Liebmann Lars W | Binary OPC for assist feature layout optimization |
US20060085772A1 (en) * | 2004-10-15 | 2006-04-20 | Youping Zhang | Model-based pattern characterization to generate rules for rule-model-based hybrid optical proximity correction |
US7043712B2 (en) * | 2003-09-09 | 2006-05-09 | International Business Machines Corporation | Method for adaptive segment refinement in optical proximity correction |
US7063920B2 (en) * | 2003-05-16 | 2006-06-20 | Asml Holding, N.V. | Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems |
US7065738B1 (en) * | 2004-05-04 | 2006-06-20 | Advanced Micro Devices, Inc. | Method of verifying an optical proximity correction (OPC) model |
US7120285B1 (en) * | 2000-02-29 | 2006-10-10 | Advanced Micro Devices, Inc. | Method for evaluation of reticle image using aerial image simulator |
US7221989B2 (en) * | 2004-07-08 | 2007-05-22 | Tokyo Electron Limited | Optical metrology model optimization for process control |
US7224471B2 (en) * | 2003-10-28 | 2007-05-29 | Timbre Technologies, Inc. | Azimuthal scanning of a structure formed on a semiconductor wafer |
-
2005
- 2005-08-13 US US11/203,330 patent/US7743359B2/en active Active
Patent Citations (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040221254A1 (en) * | 1999-04-30 | 2004-11-04 | Mentor Graphics Corporation | Mixed mode optical proximity correction |
US6584609B1 (en) * | 2000-02-28 | 2003-06-24 | Numerical Technologies, Inc. | Method and apparatus for mixed-mode optical proximity correction |
US7120285B1 (en) * | 2000-02-29 | 2006-10-10 | Advanced Micro Devices, Inc. | Method for evaluation of reticle image using aerial image simulator |
US6700095B2 (en) | 2000-04-17 | 2004-03-02 | Micronic Laser Systems Ab | Pattern generation system using a spatial light modulator |
US6563566B2 (en) * | 2001-01-29 | 2003-05-13 | International Business Machines Corporation | System and method for printing semiconductor patterns using an optimized illumination and reticle |
US20030152873A1 (en) * | 2002-02-14 | 2003-08-14 | Yasushi Tainaka | Fabrication method of semiconductor integrated circuit device |
US6777146B1 (en) * | 2003-02-21 | 2004-08-17 | International Business Machines Corporation | Method of optical proximity correction with sub-resolution assists |
US20060057475A1 (en) * | 2003-02-28 | 2006-03-16 | Liebmann Lars W | Binary OPC for assist feature layout optimization |
US7063920B2 (en) * | 2003-05-16 | 2006-06-20 | Asml Holding, N.V. | Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems |
US20050081180A1 (en) * | 2003-08-20 | 2005-04-14 | Toshiya Kotani | Pattern dimension correction method and verification method using OPC, mask and semiconductor device fabricated by using the correction method, and system and software product for executing the correction method |
US7043712B2 (en) * | 2003-09-09 | 2006-05-09 | International Business Machines Corporation | Method for adaptive segment refinement in optical proximity correction |
US6978438B1 (en) * | 2003-10-01 | 2005-12-20 | Advanced Micro Devices, Inc. | Optical proximity correction (OPC) technique using generalized figure of merit for photolithograhic processing |
US20050086629A1 (en) * | 2003-10-17 | 2005-04-21 | Jaw-Jung Shin | Method of defining forbidden pitches for a lithography exposure tool |
US7224471B2 (en) * | 2003-10-28 | 2007-05-29 | Timbre Technologies, Inc. | Azimuthal scanning of a structure formed on a semiconductor wafer |
US20050229125A1 (en) * | 2004-04-02 | 2005-10-13 | Tabery Cyrus E | System and method for integrated circuit device design and manufacture using optical rule checking to screen resolution enhancement techniques |
US7065738B1 (en) * | 2004-05-04 | 2006-06-20 | Advanced Micro Devices, Inc. | Method of verifying an optical proximity correction (OPC) model |
US7221989B2 (en) * | 2004-07-08 | 2007-05-22 | Tokyo Electron Limited | Optical metrology model optimization for process control |
US20060085772A1 (en) * | 2004-10-15 | 2006-04-20 | Youping Zhang | Model-based pattern characterization to generate rules for rule-model-based hybrid optical proximity correction |
Non-Patent Citations (35)
Title |
---|
A. Estroff, et al., "Mask induced polarization," (Proc. SPIE vol. 5377, p. 1069-1080, May 2004). |
C. A. Mack, "Lithographic Simulation: A Review", KLA-Tenkor, FINLE Division, SPIE vol. 4440, pp. 59-72 (2001). |
C. Hsu et al., "LAVA, Lithography Analysis using Virtual Access", (Proc. SPIE vol. 3334, p. 197-201, Jun. 1998). |
C. Mack, Inside PROLITH, Chapter 9, FINLE Technologies, Austin, Texas, 1997. |
C. Mack, Inside PROLITH, p. 100-103, FINLE Technologies, Austin, Texas, 1997. |
D. Cole, et al., "Using Advanced Simulation to Aid Microlithography Development", (Proc. IEEE, vol. 89, No. 8, p. 1194-1213, Aug. 2001). |
Guerrieri, R. et al., "Massively Parallel Algorithms for Scattering in Optical Lithography", Sep. 1991, IEEE Transactions on Computer-Aided Design, vol. 10, No. 9, pp. 1091-1100. * |
J. A. Kong, Electromagnetic Wave Theory, Section 3.4, pp. 370-403, EMW Publishing, Cambridge, MA 2000. |
J. Koza, Genetic Programming, MIT Press, Cambridge, MA, 1992, Chapters 5 & 6. |
K. Bubke, et al., "Investigation of Polarization Effects on new Mask Materials," (Proc. SPIE, vol. 5754, paper 55, pp. 587-598, 2005). |
K. Ozawa et al., "Defect Printability of Alteranative Phase-Shift Mask: A Critical Comparison of Simulation and Experiment", (Proc. SPIE vol. 4691, p. 1009-1020, Jul. 2002). |
K. Ozawa et al., "Defect Printability of ArF Alternative Phase-Shift Mask: A Critical Comparison of Simulation and Experiment", (Proc. SPIE vol. 4754, p. 630-639, Aug. 2002). |
Konstantinos Adam, "Modeling of Electromagnetic Effects from Mask Topography at Full-Chip Scale," (Proc. SPIE 5754, paper 498, 2005). |
Lifeng Li et al., "Convergence of the coupled-wave method for metallic lamellar diffraction gratings", Journal of the Optical Society of America, (JOSA A, vol. 10, No. 6, pp. 1184-1189, Jun. 1993). |
Lifeng Li, "Exponantially convergent and numerically efficient solution of Maxwell's equations for lamellar gratings: coment", Journal of the Optical Society of America, (JOSA A, vol. 13, No. 3, pp. 541-542, Mar. 1996). |
Lifeng Li, "Multilayer modal method for diffraction gratings of arbitrary profile, depth, and permittivity", Journal of the Optical Society of America, (JOSA A, vol. 10, No. 12, pp. 2581-2591, Dec. 1993). |
Lifeng Li, "Periodic multilayer gratings of arbitrary shape: comment", Journal of the Optical Society of America, (JOSA A, JOSA A, vol. 13, No. 7, p. 1475-1476, Jul. 1996). |
Lifeng Li, "Use of Fourier series in the analysis of discontinuous periodic structures", Journal of the Optical Society of America, (JOSA A, vol. 13, No. 9, p. 1870-1876, Sep. 1996). |
M. Born , et al., entitled "Principles of Optics", (Section 8.3.2, pp. 421-425 7th Edition, Cambridge University Press, 1999). |
M. G. Moharam and T. K. Gaylord, "Coupled-wave analysis of reflection gratings",Applied Optics, vol. 20, No. 2, pp. 240-24, Jan. 1981. |
M. G. Moharam and T. K. Gaylord, "Three-dimensional vector coupled-wave anlysis of planar-grating diffraction", Journal of the Optical Society of America (JOSA), vol. 73, No. 9, pp. 1105-1112, Sep. 1983. |
M. J. Brukman et al., Simulation of EUV Multilayer Mirror Buried Defects, (Proc. SPIE vol. 3997, pp. 799-806, Jul. 2000). |
M. S. Yeung et al., "Three-Dimensional Mask Transmission Simulation Using a Single Integral Equation Method",(Proc. SPIE vol. 3334, p. 704-713, Jun. 1998). |
P. E. Gill, et al., Practical Optimization, Chapter 4, Elsevier, 1986). |
S. Hotta et al., "Effects of shifter edge topography on through focus performance", (Proc. SPIE vol. 4186, p. 827-837, Jan. 2001). |
S. Kirkpatrick et al., "Optimization by Simulated Annealing," Science, vol. 220, No. 4598, 1983, pp. 671-680. |
T. Brunner et al., "Impact of resist blur on MEF, OPC and CD control", (Proc. SPIE vol. 5377, p. 141-149, May 2004). |
T. Cwik et al., "Scalable, finite element analysis of electromagnetic scattering and radiation. Erorr estimation and H-adaptivity", Advances in Engineering Software, 1998, 29 (3-6): pp. 289-296. |
T. V. Pistor et al., "Bias Optimization Through Simulation for Contact Array Pattern", (SPIE vol. 5256, pp. 1017-1026, 2003). |
T. V. Pistor et al., "Calculating Aerial Images from EUV Masks", (Proc. SPIE vol. 3676, p. 679-696, Jun. 1999). |
T. V. Pistor, "Rigorous 3D Simulation of Phase Defects in Alternating Phase-Shifting Masks", (Proc. SPIE vol. 4562, p. 1038-1050, Mar. 2002). |
W. C. Chew, "Waves and Fields in Inhomogeneous Media", (Section 4.6.2, pp. 239-243, Van Nostrand Reinhold, 1990). |
Y. Deng et al., "EUV Phasse-shifting Masks and Aberration Monitors", (Proc. SPIE vol. 4688, p. 495-502, Jul. 2002). |
Y. Deng et al., "Simulation of Exposure and Alignment for Nano-imprint Lithography", (Proc. SPIE vol. 4688, p. 842-849, Jul. 2002). |
Y. Deng, et al., "Rigorous electromagnetic simulation applied to alignment systems",(Proc. SPIE vol. 4346, p. 1533-1540, Sep. 2001). |
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20100180251A1 (en) * | 2006-02-03 | 2010-07-15 | Brion Technology, Inc. | Method for process window optimized optical proximity correction |
US8413081B2 (en) * | 2006-02-03 | 2013-04-02 | Asml Netherlands B.V. | Method for process window optimized optical proximity correction |
US8832610B2 (en) | 2006-02-03 | 2014-09-09 | Asml Netherlands B.V. | Method for process window optimized optical proximity correction |
US20080301621A1 (en) * | 2007-05-31 | 2008-12-04 | Kazuya Fukuhara | Mask pattern correcting method |
US8122385B2 (en) * | 2007-05-31 | 2012-02-21 | Kabushiki Kaisha Toshiba | Mask pattern correcting method |
US20180329311A1 (en) * | 2015-11-13 | 2018-11-15 | Asml Netherland B.V. | Methods for identifying a process window boundary |
US11126092B2 (en) * | 2015-11-13 | 2021-09-21 | Asml Netherlands B.V. | Methods for determining an approximate value of a processing parameter at which a characteristic of the patterning process has a target value |
US11119404B2 (en) | 2019-10-10 | 2021-09-14 | Kla Corporation | System and method for reducing printable defects on extreme ultraviolet pattern masks |
Also Published As
Publication number | Publication date |
---|---|
US20060248498A1 (en) | 2006-11-02 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7488933B2 (en) | Method for lithography model calibration | |
US10839131B2 (en) | Three-dimensional mask model for photolithography simulation | |
US8279409B1 (en) | System and method for calibrating a lithography model | |
US7747978B2 (en) | System and method for creating a focus-exposure model of a lithography process | |
KR101096145B1 (en) | Methods of Performing Model-Based Lithography Guided Layout Design | |
JP5756739B2 (en) | Method and system for simulating a lithography process window | |
CN101738872B (en) | Methods and system for lithography calibration | |
JP4938242B2 (en) | Manufacturing reliability inspection and lithography process verification method using calibration eigendecomposition model | |
TWI778305B (en) | Method for determining patterning device pattern based on manufacturability | |
US8122389B2 (en) | Apparatus and method for segmenting edges for optical proximity correction | |
US20040063000A1 (en) | Chromeless phase mask layout generation | |
JP2002311564A (en) | Method and apparatus for determining mask rule using scatterometry | |
US7743359B2 (en) | Apparatus and method for photomask design | |
US9779186B2 (en) | Methods for performing model-based lithography guided layout design | |
TW202201118A (en) | Machine learning based subresolution assist feature placement | |
TWI654476B (en) | Method and apparatus for inducing phase using patterned device topography | |
Cole et al. | Using advanced simulation to aid microlithography development | |
Azpiroz et al. | Massively-parallel FDTD simulations to address mask electromagnetic effects in hyper–NA immersion lithography | |
US10496780B1 (en) | Dynamic model generation for lithographic simulation | |
Xu | Inverse image modeling for defect detection and optical system characterization | |
Schellenberg | Modeling and computational lithography | |
Melvin III et al. | Three dimensional mask effects in OPC process model development from first principles simulation | |
WO2025021417A1 (en) | Mask 3d (m3d) modeling for lithography simulation | |
Klostermann et al. | Calibration of physical resist models for simulation of extreme ultraviolet lithography | |
Evanschitzky et al. | Image simulation of projection systems in photolithography |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: INVARIUM, INC.,CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SEZGINER, ABCURRAHMAN;PRASAD, ROY;HORNG, CHI-SONG;AND OTHERS;REEL/FRAME:017064/0504 Effective date: 20051123 Owner name: INVARIUM, INC., CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SEZGINER, ABCURRAHMAN;PRASAD, ROY;HORNG, CHI-SONG;AND OTHERS;REEL/FRAME:017064/0504 Effective date: 20051123 |
|
AS | Assignment |
Owner name: CADENCE DESIGN SYSTEMS, INC., CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INVARIUM, INC.;REEL/FRAME:021122/0662 Effective date: 20080604 Owner name: CADENCE DESIGN SYSTEMS, INC.,CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INVARIUM, INC.;REEL/FRAME:021122/0662 Effective date: 20080604 |
|
FEPP | Fee payment procedure |
Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |
|
STCF | Information on status: patent grant |
Free format text: PATENTED CASE |
|
FPAY | Fee payment |
Year of fee payment: 4 |
|
MAFP | Maintenance fee payment |
Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552) Year of fee payment: 8 |
|
MAFP | Maintenance fee payment |
Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY Year of fee payment: 12 |