TW449793B - Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool - Google Patents
Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool Download PDFInfo
- Publication number
- TW449793B TW449793B TW087108668A TW87108668A TW449793B TW 449793 B TW449793 B TW 449793B TW 087108668 A TW087108668 A TW 087108668A TW 87108668 A TW87108668 A TW 87108668A TW 449793 B TW449793 B TW 449793B
- Authority
- TW
- Taiwan
- Prior art keywords
- wafer
- program
- letter
- routine
- chamber
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67276—Production flow monitoring, e.g. for increasing throughput
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70491—Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
- G03F7/70533—Controlling abnormal operating mode, e.g. taking account of waiting time, decision to rework or rework flow
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/907—Continuous processing
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/907—Continuous processing
- Y10S438/908—Utilizing cluster apparatus
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Automation & Control Theory (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- General Factory Administration (AREA)
Description
A7 B7 449793 五、發明説明( 發明領域 本發明關於一多室晶圓處理工具’更明白地說,關於 一種用以自動產生用於在多室半導體晶圓處理工具内之 半導體晶圓程序之方法與設備。 發明背景: 半導體晶圓使用多種序向處理步驟’來生產積體電 路。這些步騾使用多處理室加以執行。由一晶圓傳送機械 手所服務之一组處理室係被稱為多室半導禮晶圓處理工 具或群组工具》第1圖部份地描述出一例示性群組·工具之 示意圖,該工具係為美國加州聖塔卡拉之應用材料公司所 製造之Endura®系統。 經濟部中央楳準局負工消资合作社印皱 儲存傳 該群组工具100例如包含四處理室108’ 110,一傳送室112,一預淨室114,一緩衝室丨16,一晶 圓定向/去氣室118,一冷卻室1〇2,及一對負載鎖固室120 及122。每一宣代表半導體晶圓處理之不同階段或時期。 緩衝室1 1 6係於負載固鎖室1 20及1 22 ’晶圓定向/去氣.室 118,預淨室114及冷卻室102之中間。為了於這些宣間 作動晶圓傳送,緩衝室116包含一第一機械手傳送機構 1 24。晶圓1 2 8係典型地於塑膠傳送匣1 26中由μ # 至系統中,傳送匣係放置在負載室1 20或1 22之 械手送機構124 —次一個傳送晶圓128,由匣126 三個室II8 ’ 1〇2或114之一。典型地,已知晶圓 首先 放置於晶圓定向/去氣室118中,然後,被移動^# 疋預淨室 至 中。 傳送 本紙浪尺度適用中國國家標隼(〇1^)/\4堤枋(210'/297公兌 經濟部中央標隼局負工消费合作社印製 4 49 79 3 A7 ___ B7 五、發明説明() "" 114» V钟罜102係通常不使用,直到晶圓在處理室ι〇4, I 06 ’ 1 08 ’ 1 1 〇中被處理之後。個別晶圓係被傳輸於—晶 圆傳輸葉彳13〇上’該葉片係位於第一機械手機構124之 末端。該傳輸操作係由一序向器} 36所控制。 傳送室112係被四個處理室104,106,1〇8及110 , 以及預淨室m及冷卻纟102所包園並可接近諸室。為了 起動於室間之晶圓傳送,傳送宣112包含一第二機械手支 接機構132。機構132具有一晶圓傳送葉片134附於其末 端,用以承載個別晶圓:於操作中,第二傳送機構132之 晶圓傳送葉片134由預淨室! 14取回一晶圓並承载該晶圓 至第一階段之處理,例如,一在室1〇4中之物理氣相沉積 (PVD)階段》—旦晶圓被處理並且pVD階段沉積材料於該 晶圓上,晶圓然後可以被移動至第二暗段處理等等。 一旦處理冗成於處理室中,傳送機構132由處理室移 動晶圓並傳送該晶圓至冷卻室1 〇 2 ^晶圓是然後被由冷卻 室使用在緩衝器室116中之第一傳送機構ί24所移開。最 後,晶圓係被放置在負載鎖固室1 22内之傳送g J 26内。 一般而言,一群組工具包含η室,由Cl,C2, ,Cn所表 示,一或多數傳送室(機械手)112及116,及一多數負載固 鎖室120及122。室,機械手及負載鎖固之精確配置被稱 為工具之"架構"。一予以被處理之晶圓Wa係被由一負载 鎖固室取出,當每一室執行一特定處理於該晶圓上時,順 序地放置各種室中。 一晶圓之軌跡是經由一群組工具之一特定晶圓彈 第s頁 本紙诔疋度適用中國國家棉準(CNS )刎坭格(21〇X2W公人·) ----------- - j t ί. (請先閱讀背。面之注意事項再填寫本頁) -訂 4 4 49 793 A7 B7 五、發明説明( 道;即,一軌跡係為-晶圓所訪問諸室之順序(不必是在 Ci後為Ci+1)。這應有料名稱”處理序向",其係施加處理 (:睹)至-晶圓之順序β若多於一室執行相同處理(平行 ) 處理序向可以滿足幾個不同軌跡。 心成其處理序向之晶圓係被回到負載鎖固室者,予 以被工具所處理。夫致碲也 ^ 大致說來,—工具之產能係為工具於每 時間單位所處理晶圄乏叙 ㈣之數I β即’若該工具需要t秒處理. lit晶圓,則
St := nt/t (3) 是量測於間距[〇,t]所量得之工具產能。 對於一已知處理序向,有很多方法改良工具產能。然 而 重要改良疋使用有效排序常式,用於一已知處理序 向。 排序之最佳化涉及用以決定何時由—室傳送一晶圓 至下一里 < 選擇準則(及哪些晶圓應被移開,若有的話, 在移動之前)。一經由群組工具排序晶園移動之常式(基於 一已知處理序向)係被稱為"排序常式,,。 經濟部di-央標隼局負工消贽合作社印製 在排序常式A下之工具之穩態產能係被S(A)所表 示β若n> 1,則取決於一已知處理序向,吾人可以考量執 行該處理序向之排序常式之數量。該最大產能之值之常式 被視為”最佳"常式’以及,最大可取得產能值係被稱為工 具之’’容量"。即,若A為該組用於一已知處理序向之所有 可能排序常式,則A*為最佳,如果 S(A*) = max{s(A)|AeA} (4) 第6頁 衣紙張尺度適用中國國家標孪(CMS ) /U規栝(2IOX297,公兑) 449 793 A7 B7 五、發明説明( 明顯地,工具之容量S(A·)取決於一已知處理序向以 及室及在處理.序向内之機械手參數。尋找有效排序常式給 一已知處理序向之問題(若有可能的話,特別是尋找最佳 常式)是相當實務重要。 現在,決定最佳排序沒有自動方法,假設_特定軌 跡’其提供最高產能用於該軌跡。典型地,一試誤法係被 使用,直到一程序被決定,其提供足夠之產能。然而,足 夠產能不見得是已知軌跡可能之最佳產能。 因此’於本技藝中有一需要以方法與設備,其決定— 已知特定軌跡之所有可能程序,並且,使用產能模型程式 決定用於每一可能程序之產能,並選擇—程序,用於—群 組工具’其提供最大產能給已知轨跡β Ι-Μ目的及概怵: 因此,相關於先前技藝之缺點係被本發明之用 所有可能程序之方法與設備所克服,其完成了 — 跡,施加一產能模型至一程序,並決定—具最高產 序或諸程序。 經濟部中夬標隼局貞工消費合作杜印狀 以決定 已知軌 能之程 算各種 更明白地說’本發明使用—組決定規則,以計 程序。首先,一程序係被定義成為一序列之,,字母” 成字元"。每一於字元中之字母定義於一群组工 抑囷之可能定位。當然’在該工具中之定位必須實 跡,即每一字母必須依據定義該軌跡之特定組規則 隨一前一字母。 第7頁
本紙張尺皮適用中國國家椋车(CNS A7 07 449793 五、發明説明( 已知一代表現在晶圓位置之字母(輸入字母),本發明 計算所有可能後續晶圓位置,即所 見 【所有可能有效後續字及對 於該輸入字母之後績袈夕她奴 . " 預器芝總數。本發明提供個別之,,模組 ,用於串列軌跡,並聯軌跡及混合執跡之後續器計算。 使用-回溯技.術’以重覆&由任何—字母計算所有可能之 後續字母’然後’計算所有該後績字母之可後續字母,而 導出-程序樹。程序樹包含所有可能實現一已知軌跡之程 序。每一程序可以然後被模組化,以決定每_程序之期待 產能藉由比較每一程序之產能,最佳程序係被指出。 本發明之教導可以藉由思考以下之詳細說明配合上 附圖而迅速了解。 圖式簡.簞說明: 第丨圖為一多室半導體晶圓處理工具之示意圖,該工具 被一序向器所控制’該序向器使用一依據本發明 程序產生器所產生之排程常式加以操作。 第2圖描繪出程序產生器之方塊圈,產生器執行依據本 明之操作步驟。 經濟部中失標浪局员工消费合作社印犁 圖 程 流 之 跡 軌 列 串 室 樹 於 之 圓 序-. 程 出 能 示 可 , 。 有 圖 圖所 意 程之 示 。流跡 之 圖之軌 跡 程式列 軌 流常_ 列 之佳室 串 跡最2 室 軌序一 2 合程於 之 混之用 圖 宣明表 6 4 4發代。第 一 1 本一圖 為為為為狀 圖圖圖圖 3 4 5 6 I 第第第第 第
為 圖 A 貫 8 第 S (CN 一辈 家 國 一國 i中 用 適 I度 尺 張 紙 本 -各 -In 規 4 49 79 3 A7 B7 _ 五、發明説明() 位置(ι,〇)。 第7圖為代表三室申列軌跡之所有可能程序之樹狀圖。 第7A圖為第7圖之三室串列軌跡之示意圖,示出晶圓於 位置(〇,1,〇); 第8圖描繪出代表用於軌跡:LL-Ci-CChCQ-LL之所有可 能程序之樹狀圖。 第8A圖為第8圖之3室混合轨跡之示意圖,示出晶圓於 位置(1,1,〇)。 第9圖描繪出一樹狀圖,其包含使本發明所構建之部份程 序;及 第10圖描繪出使用一回溯技術,用於產生用於一已知軌 跡之所有可能程序之常式之流程圖》 為了容易了解,相同之參考號已儘可能地指定共用於 諸圖中之相同元件。 (請先閱讀背面之注意事項再填寫本頁) 速濟部中央標象局負工消费合作社印製 圖號對照說明: 50 程序產生器 100 群组工具 102 冷卻室 104 處理室 106 處理室 108 處理室 110 處理室 112 傳送宣 1 14 預淨室 116 緩衝室 118 晶圓定向/去氣室 120 加載鎖定 122 加載鎖室 124 機械傳送機構 126 塑膠傳送匣 128 晶圓 第 9"M" 本紙張尺度適用中國®家標準(CNS ) /以况格(2IOXW7公蛄) A7 449793 _____B7 五、發明説明() 132 傳送機構 134 傳送片 136 序向器 200 微處理機 202 記憶體 206 支援電路 210 程序產生常式 212 程序最佳常式 發明#細說明: A ·發明概要 如上所述’第1圖描繪出一傳统多數室半導體晶圓處 理工具之部份示意圖。所描繪之群組工具1〇〇係被一序向 器所控制,該序向器執行由本發明所決定之排序常式。本 發明被實施於一程序產生器50中,其產生為序向器136 所執行之排序常式。 第2圖描繪出一排序產生器50之方塊圖,該產生器 產生為序向器所執行之排序常式’以控制第1圖之群組工 具100»另外’排序產生器5〇操作以決定對於—已知處理 序向及工具架構之最佳序向常式β雖然,序向產生器被示 出以遠端產生程序並下載一或多數程序至序向器,但是熟 習於本技藝者可以知道,本發明可以實行於在序向器内之 一處理機中。 程序產生器50包含一微處理機2〇〇及記憶體202,用 以儲存一程序產生常式210’ 一程序最佳常式212及由常 式210及212所產生之排序常式。微處理機2〇〇配合傳統 支援電路2 0 6 ’例如電源供應,時.鐘電路,快取,等及協 助執行體常式之電路。因此,考慮於此所討論之部份處理 ____第10頁 本紙張尺度適用中國國家從卷(CNS ) 枋(2丨0Χ 2们一~ _ 一 '~ (诗先Μ讀背面之注意事項苒填r) 訂 經濟部中央標涞局負工消费合作社印裝 4 49 79 3 A7 ------B7 五、發明説明() 步驟為軟植可執行於硬體内,例如,電路配合微處理機以 執行各種處理步驟。程序產生器50同時包含輸入/輸出電 路2〇8’其形成於傳統輸入/輸出(I/O)裝置214,例如鍵盤, 滑鼠及顯示器間之界面與一至序向器之界面。雖然程序產 生器50係被插繪為--般目的電腦,其係被規劃以依據 本發明之排序常式,本發明可以被執行於硬體,作為一應 用指定積體電路(ASIC) β因此,於此所述之處理步驟係用 以廣泛地解釋’相等於由軟體’硬體或其組合所執行者β 本發明之自動程序產生器50執行一程序產生常式 210,其產生所有對於一已知軌跡之可能程序β 一程序最 佳常式212使得一自動處理’以生產一最佳程序給-已知 群組工具,其使用一對於所有可能程序執行一排外搜尋。 以下之定義係通用於本揭示中: "工具架構"描述在一群组工具中之宣之實體放置。例 如’工具可以具有室(^,(^,(^,及^^’一負載鎖固仏^及 其他一或多數機械手。 經濟部中央標準局员工消资合作社印^ 處理序向"是處理被施加至一已知晶圓之順序a例 如,是第π處理(例如蝕刻)及Ρ!,Ρ2,Ρ3(其同時也可被窝 成Pi—是一處理序向》 一群組工具之"處理容量”是映圖一所需處理序向至 該工具内該組室之結果。此映圖之影像係被稱為”軌蹄,,。 例如,一處理序Ρ丨->Ρ2—Ρ3可以被映囷至四個室C“c2c3 及C4,以產生一軌跡
LL->Ci — (C2VC3)-^C4~>LL 第11頁 本紙張尺度適用中國國家摞绛(CN’S ) Λ4规格< 2I0X297公兑 449793 Α7 Β7 經濟部中央標準局負工消费合作社印裝 五、發明説明() 注意處理P 1及P 2被分別映圖至C 1及c 4 ’而處理P 2 被映圖至CzvC;3(處理P2被執行於C:2及C3) »宣c2及c3 係被說成平行,因為一晶圆拜訪(被放入)室C2或C3(而非 兩者)。換句話說,於CsvC3中之符號v代表一互斥或閘運 算。 "階段"是一組之一或多室,其相關於相同處理。晶圓 由一己知階.段拜諸一室s表示法(CxvCyV/CZ)表示晶圓可以 移動至任一室Cx或Cy或Cz,但只進入一室。即(CxvCyvCz) 是一階竹包含三個"平行"室《
一般說來’名詞,,程序”表示一有限或可重覆晶圓序 向’及機械手移動經該群組工具。更明白地說,假設s為 該組所有可能晶圓及機械手狀態。一串列來自一有限組狀 態s之符號(字母)係被稱為一字元。符號係被代表由字母 S之字母。例如’若S = {0,1 }2,則於字母s中,(0,0),(0,〇, (1’0)及(1,1)為所有可能字母,及(01)(1,1)(01)是—具有三 字元長之字元在3上。每一字母指明工具之瞬間狀態。例 如’如於以下之詳述’ 一字母可以定義一晶圓之特定定位 或者在一工具中之晶圓或諸晶圓於軌跡中之特定點D 廣泛說來,不論特定字母,一程序s被代表為一字 元, s = xyz...uv...rtx5 其以相同之字母開始及結束(例如,x),達是唯一重覆 字母’及一已知字母u之下一個v必須滿足字母取決規 則,即定義有效軌跡之規則。 -------- 第 12 頁 _ {請先閲讀背面之注意事項再填i's5本頁}
ttr. ...w· . 本紙張尺度適用中國國本標革() I 210Χ297-;>^ 經濟部中央標举局貝工消费合作社印製 A7 '1 9J7 9 3______Β7 五、發明説明() — 軌跡係可用於三個不同架構中,— ^ ^ Λ ^ ^ 軌跡是—並聯軌跡 若其包含只有一階段;一軌跡是一串 甲聯軌跡若每一階段只 具有一室,以及,一軌跡是一混合軏 Q鄉’右其既不是串聯 也不是並聯。(明白地說,其有一混合 勒•称,於軌跡中之 數量至少為三)。於該軌跡中,若有— 至其名稱出現多於 一次,則一軌跡被說成是打結(即,相 、丨 棚閜處理序向包含— 處理環)。為了示範’第3及4囷分別y給山』 ⑴拖績出4階段串聯 及混合軌跡。 第5圖描續出程序最佳化常式212夕 、以芝高階流程圖。最 佳化常式包含—程序產生常式210,其產生於由一已知軌 跡所感應之字母中之所有可能程序。常式212是—自動處 理,其執行以下步驟: a) 輸入軌琳L(步驟500), b) 使用兩步驟處理,來產生於L上所有可能程序(常 式210) ’其中第—步驟(步驟5〇8)產生所有可能之後續位 置(字母)’ 一晶圓可以由一現在位置(字母)移動至該續續 位置,以及第二步驟(步驟510)使用—回溯技術’以改變 晶圓位置,使得其他後續位置(字母)可以為步驟5〇8所計 算, * c) 估計於步驟(b)中相關於產能之每一程序相(對於一 已知組機械手及處理參數)(步驟504), d) 記錄一程序或一組程序,其對於已知軌跡乙具有一 最高產能(步驟506)。 因為步驟(c)需要一產能模擬程式,以計算效率,步鄉 (請先閱讀背面之注意事項再填寫本頁) 訂
本紙悵尺度適用中國國家標象(CNS 第13頁 )A4規祜(210X297公犮 4 4s 793 A7 B7 五、發明説明( (a) (b)及(d)係大致被併入模擬程式中。 本發月之多數實施例係被討論於以下揭示之段落 B,C,D及E中。明白地說,於一 {0,〗^字母中之程序定義’ 一已知芋母之產生後續器之㈣,及需用《計算後續器之 模组係被說明於段落B之争聯軌跡及段落C中之混合及並 聯軌跡中。於段落D中,這些處理係被延伸以包含於計算 中利用機械手。最後一種可以用以任何具機械手或不具 機械手之軌跡之總結回溯常式係被示於段落£中’ / 一已知軌跡中產生所有可能程序。 以由 B_用於串聯軌跡之程序產生 一 η室争聯軌跡(如於第3圖中所示包含—
Cl,C2’…,Cn者係相關於來自字母{〇,1}"之η重元紐,則: 於1=1,2,…,η; 7⑴=〇,若室C'為空的? [i卜卜則c. 包含-晶I®。依據此代表,一程序s係有1 η重元組。 』< ~進制 Ϊ5…戈, 經濟部中夾標i?-局貝工消费合作社印製 其以相同二進制η重元组開始及結束’並且,·含 重覆於串列…重元組。另外,任意於串列中之::是 績η重元组/z及3;係依據以下„加以相關,其中,/ 為汉之後者,不同於多數兩座標,規則為·· 、 ’ Ρ係 _s,)若及[1]=0,則? [1]=1。對於所有匕 —這相當於晶圓被由固鎖移動至c。 S2)若及[n] = 1,則I; [n] = 〇。對於所有匕〈口 =以k]。(這相當於晶圓由Cn被移動至加载鎖; 第u頁 ΜΛ張尺度適用中國國家標準(CMS ) Λ4规捎(2丨ΟΧ2<πϋΓ 經濟部中央標準局負工消资合作社印製 4 49 793 A7 B7 ~ ·_- " ~~—. 五、發明説明() S3)若一些 k £{0,n}’ 万[k] = 1 及互[k+ 1] = 0,則 p [k =0 及 +1]=1。對於所有之 i 5i{k,k+i},] =汉[i]。(這相當於一晶圓被由Ck移動至ck+1) 第6圖示出所有可能之於一兩室串聯軌跡中之可用程 序(即,兩程序)。第6A圖描繪出第6圓之兩室串聯軌称 之示意圖,其具有一晶圓於由2重元絚(1,〇)所代表之位置 中。這些η重元组係被稱為晶圓定位之座標。由位置(丨,〇), 第6圖之程序描繪出晶圓下一次被移動至由2重元組(〇,〇 所代表之位置,即晶圓於室C2並且於室c!中没有晶圓。 隨後,程序可以沿著兩路徑之一進行,不是於C2中之晶 圓被移至加載鎖(晶圓位置由2重元組(〇,〇)所代表,就是 另一晶圓被移動至室C,(一晶圓位置係被2重元組dj)所 代表)。因此,每一 2重元组代表一組用於一晶圓或諸晶 圓之可能位置,其可以有效地執行軌跡中之步驟。 同樣地,第7圖示出可用於3室争聯軌跡中之七個可 能程序’以及第7A圖描繪一第7圖之軌跡之示意圖,其 具有一為3重元组(〇,1,〇)所代表之晶圓位置。由第7圖 中,串列
Sp = (l,1,1)(1,1,0)(1,0,1)(0,1,1)(1,1,1) sw=(1,0,0)(0,1,0)(0,0,1)(0,0,0)(1,0,0)
Sx=(l,0,1)(0,1,1)(0,1,0)(1,1,0)(1,0,1) 代表為程序產生器所產生之用於特定程序常式,用於 一三室串聯軌跡。 諸程序可以包含一組機械手及室參數,其得到較於該 ___ 第15頁 本紙張尺度適用中國國家標準(CNS ) Λ4规格(2l〇X29DH;,厂 |_;II ^-----7, ' , ( (請先閱讀背面之注$項再填蹲本頁) 訂 -線-ΛΎ 經濟部中央樣"·局員工消費合作社印^ 44979 3 ΑΊ Β7 五、發明説明( 組程序中之其他程序為高或低之產能。因此,決定最隹程 序之唯一方法係在所有可能程序下測試’決定哪一程序是 最佳。 如上所述,211二進制η重元组(位置座標)係被視為來 自字母{〇, 1}η之字母。字母之有限串列被稱為字元·例如’ 串列SP,SW及Sx均是5字母長之字元。於此名稱中’長度 k之部分程序s係一反字母長之字元S(1)S(2)…S(K),其 中,下一字母S(i+1)只取決於前一字母S(i),i = l,2,…,k-1, 並依據上述之規則(Si),(S2)及(S3)加以建立。依據這些規 則,於部份.程序中之所有字母均不同。一滿程序是一字元 W(l)W(2)...W(n)使得 W(1)W(2)…W(n-l)是一部份程序及 W(n) = W(l)» 例如’字元评=(1,1,1)(1,1,〇)(1,〇,1)(〇,1,1)是 一部份程序’其中 w(0 = (1,1,1)及 W(4) = (〇,l,l)。(一般說 來,若 則 W(i)=pi)» 由一程序之定義’若仁ΐίί2·..Αίΐς是一部份程序,則 厂同時也是一程序(部份或全),假設+ 1 是由k依規則(si)(s2)(s3)獲得。一已知字母厂k可以由1 至[n/2] + 1後續器y k+i獲得。後續器之數量(變數nmb以 下)係可以由以下函數容易決定: faction SerCount:( u>j(: lattej:};, i, nj^ib : integer; begin ηπώ: =0 for i:=l to n-1 if、[ί]:ΐ and tifc[i + l]=:Q then Μώ:=ππώ+1; mnb-mnij+uJ/j] +({l+ujl]) (mod2)) return (nmb) end; 第16頁 本紙張尺度適用中國國家棉準(CNS )八4规格(2丨〇><297公焓) (請先閱讀背面之注意事項再填寫本茛)
integer; var A7 B7 449793 五、發明説明( 其中’ SerCount(云k)代表於一串聯^室軌跡中,之 後續器數量。於一全試搜尋中,因為所有之後續器均被檢 測’所以前述虛擬碼決定必須被計算之後績字母之總數, 以完成一全試搜尋。 產生一已知字母云之所有SerCount(汉)後績器並不是 特別困難。因為每一沄被產生,並儲存於具有SerCount(疋) 列及(n+1)行之二進制矩陣z中β z之最後一行是被保留用 於布林變數,若後續器被用於一部份程序中,該變數被設 定為真,若後續器未被使用,則設定為假。這輸入是於後 績之回潮常式中使用(參考第圖說明如後),該常式產生 對於一已知軌跡之所有可能程序β 一已知程序之後續器係 被決定如下。 —】—^-----— I ί請先閲讀背面之注意事項再填^本貧) 丁 經濟部中央標準局員工消费合作社印製 function SerGenerator ( u : letter): matrix; var i:integer; begin if u[l] = 0 then begin . copy {« , v); v[i] = i; store { v, z); end; if u [n] = 1 then begin copy { u , v); v[n] = 0j store (v,2); end; for i:=1 to n-1 if «[i]=l and u[i' + l]=Cl then begin copy {u, v); v[i]=0; v[i + l]:=l; store (v,2); end; * return <Z); end; 線〇-
J 第17頁 本紙張尺度適用中國國家標準(CNS ) Λ4現掊(2l〇x2W公筇) 4 49 793 A7 B7 五、發明説明() 一 於上述虚擬碼中有兩個函數被重覆使用。函數C〇py(7T, v )完成字母JT *其係為字母*5;之複製。執行规則(si),(S2) 及(S3)之方式中’常式首先拷貝7至孓,然後修改17。該 但方法並沒有效率’因為於大多數雨座標中一及一並不相 同。函數store( y,z)拷貝字母17至矩陣2之適當列。注意 上述模組,常式拷貝一二進制n重元組兩次,明顯地,於 實施時’常式直接拷貝汉之後績器(略改變η重元組7)至 矩陣Ζ之適當列中。 使用前述虚擬碼及給予於一串聯軌跡中之字母互,虛 擬碼產生μ之所有可能後續字母並儲存它們於矩陣Ζ之 中。例如,於第7圖中,假設字母(〇,〇,〇),虚擬碼產生一 串列之有效後續字母,例如字母〇,〇」),(〇」,〇),(H0) 及(0,0,0)。已知一不同啟始字母,不同有效組之後續器係 被產生,例如字母(0,1,0)可以產生字母(11,〇),, (1,0,0)及(0,1,0) C.產生用於混合及並聯軌跡之後續器 一由二進制π重TL組所混合之η室合軌跡之代表係略 涉及,因為由一已知階段剛好一室被晶圓所拜訪,以及沒 有晶圆傳送於該階段中。因此,程序產生常式必須認識不 同階段以及在一階段中之並聯室。第4圖描續一例示性混 合軌跡,其包含具穴室之四階段,其中室q及c4係串聯, 以及,室對 C2(a),C2(b)i c3(a),C3(b)係並聯。 在沒有損失一般性下,假設一 n室混合軌跡係包含k 後績階段’ F!,F2,…Fk,kgn。若1,2,…n是於二進制η 第18頁 本紙張尺度適用中國國家標準(CNS ) Λ4说格(210x2^)7公#"Τ" " tr— (請先閱讀背面之注意事項再功寫本頁)
bL _ -='3 kj Ό_ 經濟部中央標隼扃貝工消费合作社印製 經濟部中央標來局貞工消費合作社印^ 449793 Α7 Β7 五、發明説明() 重元組X中之個別位置’其相當於室Cl,c2,…,Cn,則位置 卜2’…’ I Fil相當於階段1中之諸室,位置丨Fi| +1, 1 丨+2,…丨[丨丨+ i F2 |相當於階段2中之諸室,以此 類推。若宣C i屬於階段F t ’則於相關二進制η重元組ΪΓ 之位置i屬於Ft及ieFt(同時,事實上,」是於*7中後績位 置丨Ft丨位置之一)。 於此代表例中,一程序係二進制η重元組之有限串 列,其以相同二進制η重元組開始並結束,這是於該字元 中之唯一重覆字母。另外,若Ρ是反之下—個,則;7及万 於兩座標中係不同,以及之規則定義汉及孓之關係: mt)若一些UF丨,反[1] = 03則[i] =卜對於所有k竽i,了 [k]=JT [k](這相當於一晶圓被由加載鎖移動至階段1 β ) m2)若一些ieFk,A [i] = l,則y [i] = 〇。對於所有j竽卜7 ϋ]= f ϋ](這相當於一晶圓被由最後階段被移動至加載 鎖)。 m3)若一些 ieFt 及一些 j eFt + 1,汉[i] = 1,一 口] = 0 則节 [i] = 〇及7 [j] = l。對於所有(這相當於一 晶圓被由階段Ft移動至下一階段Ft+i。) 於決定一已知字母斤之後續器之數量時,將難以定義 一序·向M^O及
Mt= I Fi I + 1 I +·+ I Ft | 其中I Ft丨是階段Ft之大小(室數量)。上序向反映瓦之 指數組之部份進入階段中。明顯地,Mk=n,其中,n為室數 量。7Γ之後績器之數量係由以下函數決定: _ 第19頁 本紙張尺度適用中國®家標進(CNS ) ΐιο.χΐιπϋ'Τ (請先閲讀背面之注意事項再填寫本頁) 1. — I I .
-I i I 449793 五、發明説明( )function MixCount {ίΓ : letter) :inteoer; var " t, i, j, nmbzinteger; begin nmb:=0 for i : =1 to if u[i] = 0 Xlien πίτώ: =nmb+l for J:=l+^_2 to Mk if u[i]^\ then nmb:=nmi>Hl for t:=l to Jc-1 ’ for i;=l+ATCeI to Mt for j:=l+Mt to Mt_hl if ίϊ[ϊ] = 1 and u[j] = 0 then imb : =iiinb+1 ; return (nmb) end; ---------<.ly>-- - - _v ) - . V (請先閱讀背面之注意事項再填"本頁) 經濟部中夾標嗥局員工消贤合作社印製 明顯地,於上述虚擬碼中,若 Mt = t 及 k=n則 MicC ount( )等於SerCount( T )。同時,對於一純並聯η 室軌跡,由於於上述虛擬碼中之k=l,3巢狀"for”敘述為 零;藉由接合前兩環(因為只有一階段),虛擬碼被降低為: function ParCount ( ΰ :,letter) : integer; var i,nmb:integer; begin nmb:=0; for i:=1 to n if ΰ[ί] = 0 or ΰ[ί] = 1 then runb: =nmb+l; return (nmb) ' end; 其一直完成nmb = n。因此,於一純並聯n室軌跡中, 任何已知字母具有η後績器。 一產生並儲存於一混合軌跡中之已知字母之所有後續 第20頁 本紙張尺度適用中國國家掠準(CNS ) Λ4^枯(2ΙΟ_χ2π·:Μί「) 訂 線, 449793 A7 B7 五、發明説明() 經濟部中央標隼局貝工消费合作社印裝 器之函數是: function MixGenerator {ΐΐ : letter) :matrix; var integer; begin for i:=l to Mi if i7[i] = 0 then begin copy {ΰ, v ); v[i] := 1; store (v ,Z) end; for j:=1+Μ^_ι to if u[j] = 1 then begin copy{U , i;); v[;]:=0; store{v tZ) end; for t:=1 to -te-I for 1:=1+^(..2 to Mt for j:=l+Mt to Mt+1 if u[/] = l and i7[y] = 〇 then begin copy (ΰ, v ); v[7]:=l; store( ΰ, z) end; return (2); enc; 函數copy(友,U )及st〇re(云,Z)係相同於用於串聯軌 跡中之相關常式"(注意這時間矩陣Z具有MixCount这列 及(n+1)行)再者,於上述函數中,若Mt = t及k = n,則 MixGenerator (苁)變成 SerGenerator(/T)。對於純並聯軌 跡’由於k=l,一產生一已知字母互·之後績器之函數為: _ 第21肓 本紙張尺賴射關$標隼(CNS ) Λ4ϋ 210 X ^Γ) (請先閲讀背面之注意事項再填寫本頁)
A7 B7 經濟部中央標隼局貝工消费合作社印^ 449793 五、發明说明() fxinction ParGenerator( i7 : letter) :matrix; var 'i:integer; begin for i :=1 to π . begin copy (i7, v); if u[/] = l then v[i] ?= 0 else v[/j := 1 store (v,Z) end; . return (Z) end; 注意於計數後續器函數與產生後續器函數間之相# 性。事實上,於此二函數中,識別一後績器之狀況是相同 的,不同的是,_旦狀況被檢出,所執行的是什麼。 第8圖描繪用於3室混合軌跡之例示性程序樹(例如 LL—>C1—(C2vC3) — LL),其中,一特定數之後續器係使用 Mi Generator (互")虛擬碼加以決定。第8 A圖例示第8圖軌 跡之示意圖,其中晶圓已固定於位置(1,1,〇)。 D.包含機械手位置之後續器之產生 當程序產生常式包含機械手動作時,對於—包含室 Ci,C2,…Cn之η室串聯軌跡,常式必須由{〇,ι)η χ i 相關於(n+1)重元組》若艾是此一重元組,若室心為空的, 則對於i=l,2,…,n,3?[i] = 0,’及若Ci包含一晶圓,則-^] = 1。 因此,如同先前,於又座標中之第一個n是由機械 手位置係由最後Γ座標所描述,即於3重元組(χι,χ2·χ3) 中之X3,其中’ Xl及U係晶圓座標及&是機械手座標。 當設定5T[n+l]=k時,若(只有當)機械手是於室中之本 ______________第 22 頁 不紙張尺度制中關家縣(⑽)( 〜 ---- 广诸先聞讀#^面之注意事項存琪艿本貧)
载鎖 1;2);U,o; ‘49 79 3 五、發明説明() 位置。若x[n+1 ] = 0,則機械手被定位於加 假設Sn代表上述關係之字母。 ^ 包含十二個3重元組,即 ’則S2 s2=u〇,〇;〇),(〇,〇;i),(〇,〇;2);(0,1;0),(0,1;1)(〇 對於η至串聯軌跡,丨Sn|是來自{〇,ι)η“〇ι 、 (Π+1)重元组之數量’因此,| Sn i =(n+1)2n。這些 重元組被稱為來自字母sn之字母^如同先前,一字元是 由S η來之子母有限串列。例如, (0,0;0)(!50;1)(〇,1;2)(〇,1;〇)(!,!;i)(ijl.2)(l5〇.〇)(1〇;1 是一 8字母字元。注意一字元可以包含重覆字母。例 如,abcdaxy是一字元,但不是一程序。 於此實施中,一程序S是一字元(上述(n + 1)重元組之 串列), S =泛…ΐΐν_..>·Χ , 其以相同字母開始及結束,並且,其是唯一重覆字母。 再者’於多數三座標中任何兩連續7Γ及V (其中7是万之 後續器)是不同’並以以下規則加以呈關聯性: a) 若及 Π] = 〇 及 JT [η+1] = 0,則 Τ Π] = 1 及 Γ [η+1] = 1。對 於所有4{1,11+1},夕[丨]=疋[丨]。(這相當於一晶圓被由加載 鎖移動至 b) 若疋[η] = ι 及汉[n+1]=n,則 7 [n] = 0 及 17 [η+1] = 〇。對 第23頁 本纸張尺度適用中國國本掠隼(CNS ) Λ4規怙(2Ι0Χ 公垃) ——-.I—^-----ο—— (靖先1«}讀背面之注意事項再填巧本頁) -訂 經濟部中央標隼局負工消费合作社印¾ ¢49 經濟部中央標挛局貝工消费合作社印製 後續 A7 B7 五、發明説明() 於所有丨《2{11,11+1},1;[丨]=汊[〖]。(這相當於一晶圓被由(:11 移動回到加載鎖) c) 若部份 rg{0,n},及[r] = l 及;jj[r+i] = 〇 及 7r[n + l]=r’ 則 Ί7 [r] = 0,及 U [r+l] = l 及 V [n+l]wH。對於全部 ig{r,r+l,n+l},IT [i]=苁[i](這相當於一晶圓被由g被移動 至Cpn ’其中Ck或Cq + u均不是一加載鎖。) d) 若汉[1] = 0 及;ΰ [n+l]=j,其中 j 竽 〇,則·]J [n+l] = 〇 ° 對 於所有i关n+1,I; [i]=7T [i]。(這相當於一機械手由於Cj之 本位置被移動於加載鎖中之本位置,用以準備一晶圓由加 載鎖移動至C i。) e) 若私[n] = l 及# [n+l]=j,其中 j 參 n,則 7 [η+1]=η〇 對於 所有i妾η+1,17 [丨]=云[i]。(這相當於一機械手由q之本位 置移動至Cn之本位置,用以準備由{^移動至加載鎖。) 〇若部份 re {0,η},ΐ [Γ] = 1 及;[Γ+1 ] = 〇 及 7Γ [n+1]=j, 其中j ^ r ’則ΤΓ [n+l]=r »對於全部n+l [i卜三[丨](這 相當於一機械手由Cj之本位置被移動至Cr之本位置,用 以準備一晶圓由Cr移動至Cr+1;C。代表加載鎖。 注意當機械手已經被預定位置至移動一晶圓時, 以上 之規則(a),(b),(c)分別事實上為(Sl),(s2),(s3),而 (e)及(f)相當於定位機械手至由規則(a),(b)及(c)所定 動作。 計算一已知字母之後續器數量及尋找及儲存這些 器之常式係被以相類似於先前之混合及串聯軌蹄冷v 〜例予 之方式加以設計(這次以步驟(a)至(f))。於此常式中, 第24頁 本紙張尺度適用中國國家樣準(CNS ) Λ4说栴(2丨0X2W公筇 (請先|«请背面之注$項存填寫本頁)
449 79 3 AT B7 經濟部中央標準局®C工消费合作社印製 五、發明説明() 器之數量係相當地大,因為每_次均可能傳送一晶圓(例 如,;ZZ [1] = 〇或万[η] = ι或芘[i] = 1及苁[i+1] = 〇, 一機械手可 以必須定位(例如由任何之n_丨位置,〗关〇或】# n或』竽丨)。 為了完成決疋後續器及後績器本身包含機械手位置之數 量之常式’新函數係用以修正Serc〇unt(瓦)及
SerGenerator(汉)(或 MijiCount(/T)及 MixGenerator(^))» 己知 SerCount(互),SerGenerat〇r(贫),MixC〇unt(瓦)及 MixGenerator(Ji)之前述說明,熟習於本技藝者可以使用 前述规則(a)至(f)考量機械手位置以修改這些函數。 E,使用一回溯技術,由一軌跡產生程序 回潮演澤法使用特殊之問題調整技術,來系統性探索 有關之圖案(樹)。諸演繹法於本技藝者係為已知的。於程 序產生常式中’ 一回溯演繹法係配合一或多數先前討論之 後續器產生¥式(例如 SerGenerator(瓦)或 MixGenerator( μ ))加以使用,以在一已知軌跡中產生所有 可能之程序。 假設互1.為一程序之開始字母。藉由使用諸規則,以加 上如段落B,C,或D所討論之後績器字母,前述常式建立一 部份程序’即S=私!辽2,.,以k。每次新字母云k + 1加入部份 敕跡S中有兩個問題必須回答: a) 是否/Z 1万2…云kjETfc + ι為滿程序? b) 是否友1茂2·.. ί k汉k + 1為滿程扁,是否有其他滿程序 未被記錄? 若字元被依據用於後續字母之規則加以建構及若有一 第25育 衣紙張尺度適用中國國家摞準(CNS ) Λ4現#, ( 210X297公 (讀先閱讀背面之注意事項再填寫本頁)
4/ 4S 793 經濟部中央標準局WJCX消费合作社印t A7 B7 五、發明説明( 指數i<fc+1出現_,使得云丨=5…及所有字母TTJ 2...Kk 均不同,則字元歹门2…及fc+l被视為滿程序。因此,為了 決定一滿程序,—常式檢查是否 ^ k+1 ^ ^ ij i = l,2,…k 對於每一新附字母,其係為汉>^之適當後續器。 一旦發現對於部份,該常式不是印出 就是儲存滿程序ί k+1。為了尋找其他程序,常 式由滿程序S除去这fc+i並察看其他矿未使用之後績 器》若有一後績器,字母z,常式檢查是否万卜^以為一 滿程序。若汊i...jrkZ不是一滿程序’則常式查看z之其 他未使用後績器,以此類推。若…ikZ為一滿程序, 常式移去Z並看;Tk之其他未使用後績器。若沒有其他 未使用後績器,則常式回到(回溯)並看一之未使用後績 器’以此類推’直到常式回到開始室母云t。基本上,常 式包含以向序向步驟: 1‘(啟始)選擇一程序之字母並到步驟2 β 2 ·若程序/ζ丨及2 . _云k未完成,到步驟3。否則至步驟 4 〇 3. 尋找一未使用後續器(於程序中之最後字母汉〇,將 其附至部件程序並至步驟2。若沒有未使用後續器,到步 騾5。 4. 列印或儲存程序並到步驟5。 5. 若沒有其他程序,則停止,否則至步驟6。 ό.(回溯)由完整程序除去最後字母,並至步驟3 ^ 笫26頁 Μ民張尺度適用中國國家標率(CNS ) Λ4规祐(210Χ2π,ϋ' (請先閱讀背面之注意事項再填.?5本頁)
經濟部中央標卑局貝工消费合作社印焚 44^ 793 A7 __.〜____B7 五、發明説明() ' ~ 明顯地》常式必須確保其於步驟4中未列印(儲存)重 製程序,並確信常式已經列印(儲存)所有可能程序。前者 係完成於步驟3,其中常式只附上最後字母之一未使用後 續器至部份程序。後者係被適當端結條件所確保(例如’ 常式係於(啟始字母)及沒有其他未使用後續器選擇 第一字母苁!是方便的,因此,它只具有一後續器,例如 #1=〇或/Zl==ei,其中以是―ηΧη單位矩陣之第让行 (列)。 例如’如第9圖所示’開始字母〇,常式建立一樹, 其節點係字母°若7是此一節點,則X之子樹係字母艾之 後續器,對於串聯軌跡,後續器就是SerCount(i)。因此, 常式產生0,5ei,0eie2作為後續部份程序。(字元〇5ι三2是由 節點δ*至節點石2之直接路徑)因為η·1+·^2及芒3是ε2之後績 器(子樹)’所以字元00!三2(1+^2)及OSitl也是部份程序。 現在,6具有兩後績器(Ti+l3及苍4),而只具有一後 績器(言l+h)。因此,5^^2(^1+72)(1+1)及 备iGd+h) 及係部份程序,以此類推。 如先前所述,包含一已知字元(或部份程序)s之字母係 可由其位置區別;因此S(l)是S中之第一字母,S(2)是第 二位置,以此類推。一搜尋樹之位階係被L所標示’這同 時也是一部份程序之長度。長度L之部份程序因此是於搜 尋樹中之長度L之路徑。函數scnt(jT)完成I之後續器之數 量。因此,scnt(S)不是 SerVoun1:(x)就是 MixCount(x)或者 包含機械動作之模型之任一者。若s是長度L之部份程 第27頁 _ _ 本紙杀尺度適用中國國家#準(CNS )如現梢(2丨0X297公/ί ) I — ^^1 I - I _ - !--1 - - n - c (锖先闡讀背面之注意事項#填Γί?本貫) 1Τ
五、發明説明() 經濟部中决標準局員工消费合作社印裝 序’則S + w或Sw是長度L+1及sa+l) = w之部份程序β 類似地,若S長度為L及S(l)=w,則S_w具有長度L>>1(簡 要地說,+表示附上一字母及-表示移去—字母)a 最後,於一有效設計常式中,需要對資料组織(結構) 有約束。記住室數量(因此一已知字元之後續器之數量)係 相當地小。因此,常式產生一已知字母之所有後績器並將 其儲存否已經沒有差別,這是相反於需要時一個接著一個 而動態產生後績器。 一基本程序產生器常式可以被總結成以下之五步驟: 1.啟始程序:S — 及L—1並至步驟2。 2 5—S(L)及— cnt⑺。儲存 5 後續 器’然後’標示其為未使用*至步驟3。 3.S — S+$!及L — L+!及3 】β標示i未使用並到 步驟4 · 4.分別比較 3(1〇與 s⑴,s(2)”s(L_1)e 若 s⑴= S(L), 對於i < 1 ’則列印S及L並至步騾5,否則至步驟2 * 5-S<~S-S(L)及L — L-1。若L=l,停止,否則至步驟石。 6.若3 = 〇,至步驟5。否則(5 > 〇),附_ s(L)未使用 後績器至3’標示其為使用’ 並至步騾2β 於步帮1中’常式啟始程序s,搜尋樹之位準Le明顯 地,L必須啟始至丨•至於,於程序§中之啟始字母,為 方便起見,常式使用一字母,其只有一後績器。一字母5* 相對於一狀況,其中所有之室為空(即工具剛開始處理晶 圓)° _______ _第 28 貫 本紙張尺度適用中國國家榡羋() Λ4规枋() I ! (請先間讀背面之注意事項再填荇本頁)
IHI 晒j 訂 4 3 A7 B7 五、 發明説明() J|~Λν—I (請先閲讀背面之注意事項再嗔寫本頁) 於步驟2中,常式首先找出後績器5之數目,即於部 份程序S中之x(明顯地,jf = s(l))。函數cntf)完成一已知 字母7之後續器數量。然後,藉由使用決定一已知字母之 後績器之規則’常式尋找,儲存及標示丈之未使用之後續 器。結果,一後續器可以是具有兩攔位之記錄:第一欄位 為實際字母’而第二襴位為布林變數,其於後績器未使用 於一特定部份程序S中時為真值,否則值為虚。(或者, 常式可以使用一矩陣代表說明於段落C及D中之後績器 列).》 於步郷3中,最後字母7之未使用後續器之一係被附 加至部份程序S,程序S之長度l係被加!及7之未使用 後續器數量被減1。(對於任何已知字母均永久有至少一後 續器)。 於步驟4中’常式藉由比較新加入(最後)字母s(L)與 所有前一個字母S(1),S(2),...S(L-1),來檢查是否s是一滿 程序。(其應於每次新字母被加入部件程序中時完成。)若 S(L)是一重覆字母,則常式列印(儲存)程序,否則,常式 持續建立該程序》 蛵濟部中央標隼局Η工消費合作社印裂 當一部份程序變成滿程序時,s(1),s(2) S(L),在儲存 程序後,常式除去最後字母並尋找S(L-1)之其他未使 用後績器。若有其他未使用後績器,則常式附加—後績器 至茲部份程序,尋找其後續器,附上諸後績器之_,以此 類推。若沒有後㈣,常式由s除去叫⑴並尋找s(L-2) 之未使用後續器,以此類推。當L=1及占=〇時(即表示第 第 29"Μ" 本紙張尺度適用中國國家標挛(CNS ) Λ4规格(2Ι0χ 2Μ公垃 449 79 3 經濟部中央標準局員工消f合作社印製 Α7 Β7 五、發明説明() —字母沒有未使用後續器時),程式結束。 以上之常式係有用於任何排序問題之代表β即,無論 是串聯或混合軌跡具有字母由{0,1}η或者具有機械手位置 之諸軌跡之一係模型之一部份(因此,由{〇,1}ηχ {0,1,…,η})。明顯地,計算並產生一已知字母之後續器之 函數係每次不同。 第10圖描繪一程序產生器常式1000之流程圖,其操 作大致如以上所討論者。常式i 〇〇〇於步驟1〇〇2開始,藉 由啟始程序,例如,設定一啟始字母至一 n重元組(n串列) 零。於步驟,1004’常式尋找,儲存並標示於部份程序中之 最後字母之所有未使用後續器。後績器字母使用虚擬碼常 式 SerCount,ParGenerator 及 MixGenerator 加以決定,及 用於每一字母之後續字母之數量係使用 SerCount,ParCount及MixCount加以決定°當然,如上所 .述,若機械手位置被列入考慮,這些虚擬碼常式必須適當 加以修正’以配合延伸之字母及後續器產生之修改規則。 然後,於步驟1 006,常式附加最後字母之未使用後續 器至一部份程序,並將程序之長度加1及未使用後續器之 數量減.1。於步驟1 〇〇8,常式質問是否已經到遠部份軌跡 之最後字母。若回答為否,則常式沿著“否”路徑進行至 步驟1004。若回答為是,則常式進行至步騾1010,其中, 程序係被列印或儲存。 步驟1012’1014’1016代表一回潮處理。於步驟 常式除去程序之最後字母,以產生部份程序並將程序長度 _ 第30頁 _ 本紙張尺度適用中國國家標準(CNS ) Λ4规枯(2〗0>< 297公^ 、vs (請先閱讀背面之注意寧項再填寫本頁)
449793 經濟部中央標率局貝工消费合作社印製 Μ Β7 五、發明説明() 減1。然後,於步驟1014,常式詢問是否程序之長度為J。 若回答為是,則常式於步驟1016停止。然而,若回答為 否,則常式進行至步驟1 〇 i 8。於步驟丨〇丨8,常式詢問是 否有最後字母有一未使用後績器。若回答為否定,則常式 沿著"否"路徑進行至步驟1012。否則,常式進行至 1020其中 未使用後續器係被附加至部份程序。常式 然後回到步驟1〇〇4。 一旦所有可能程序被決定並儲存於記憶體中,程序係 被一傳統產能模型所處理。明白地說,每_程序係被該模 S1所使用,以預測該程序之產能。用於所有程序之產能係 被相互比較,以尋找具有最高(最佳)產能之程序。具有最 高產能之程序係被視為最佳並被用以控制該序向器β產能 模型可以執行於該序向器電腦上或可以被執行於一達端 電腦上’並且’最佳程序被下載至該序向器。 本發明快速地計算用於一已知群組工具架構之所有可 能程序。諸程序然後被一傳統產能模型所執行,以決定最 佳程序。因此,本發明使得-最佳程序之自動決定t以一 快速正確方式加以進行,這是先前技藝所未達成者。 雖然本發明之教導中已經示出各種實施例,並被詳細 說明,但熟習於本技藝者可以藉由本發明之教導而導出各 種其他實施例。 ---------- 第31貫 本紙張尺度咖 (讀先間讀背面之注意事項再填寫本頁} ,1Τ
Claims (1)
- 公告本 申請曰期 ^7..乙.二. a— -18 7 1 〇86 6 8 _ (以上各欄由本局填註) A4 C4 443793專利説明書 中 文 發明 細 名稱 在一多反應室半導體晶圓處理工具中對晶圓處理自動地產生 排程之設備與方法 英 文 METHOD AND APPMATUS FOR AUTOMATICALLY GENERATINGiSM S ffiS ®IN A MULTICHAMBER 姓名 國籍 都森傑維迪克 美國 裝 發明 人 住、居所 美國加州聖大克勞拉市#34B莎拉圖根大街444號 姓 名 (名稱) 美商·應用材料股份有限公司 線 .經濟办中央禕準局員工消费合作社印製 申請人 國 籍 住、居所 (事務所) 代表人 姓 名 美國 美國加州聖大克勞拉市波爾斯大道3050號 瓊西J.史維尼 本纸張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 449 793 (由本局填冩)A6 B6 本案已向: 國(地區)申請專利,申請日期: 案號: ,οίτ □無主張優先權本案已向美國申請專利;申請曰:1997年6月9曰案號:08/871, 746號 有關微生物已寄存於: ,寄存日期: ,寄存號碼: ---"---裝------訂---- (請先閣讀背面之注意事項再填寫本頁各欄) :"· 線 锼漭部f央螵準扃員工消f合作社印製 第2頁 本紙張尺度通用中國國家標準(CNS ) Α4規格(210Χ297公釐)
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/871,746 US6201999B1 (en) | 1997-06-09 | 1997-06-09 | Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool |
Publications (1)
Publication Number | Publication Date |
---|---|
TW449793B true TW449793B (en) | 2001-08-11 |
Family
ID=25358037
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW087108668A TW449793B (en) | 1997-06-09 | 1998-06-02 | Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool |
Country Status (6)
Country | Link |
---|---|
US (3) | US6201999B1 (zh) |
EP (1) | EP1021821A1 (zh) |
JP (1) | JP2002511193A (zh) |
KR (1) | KR20010013606A (zh) |
TW (1) | TW449793B (zh) |
WO (1) | WO1998057358A1 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN118893020A (zh) * | 2024-09-30 | 2024-11-05 | 矽电半导体设备(深圳)股份有限公司 | 芯片分选方法及半导体加工设备 |
Families Citing this family (510)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6201999B1 (en) * | 1997-06-09 | 2001-03-13 | Applied Materials, Inc. | Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool |
US6418356B1 (en) * | 1998-12-31 | 2002-07-09 | Silicon Valley Group, Inc. | Method and apparatus for resolving conflicts in a substrate processing system |
US6865437B1 (en) * | 1998-12-31 | 2005-03-08 | Asml Holdings N.V. | Robot pre-positioning in a wafer processing system |
US6678572B1 (en) * | 1998-12-31 | 2004-01-13 | Asml Holdings, N.V. | Recipe cascading in a wafer processing system |
US6768930B2 (en) | 1998-12-31 | 2004-07-27 | Asml Holding N.V. | Method and apparatus for resolving conflicts in a substrate processing system |
US6556949B1 (en) | 1999-05-18 | 2003-04-29 | Applied Materials, Inc. | Semiconductor processing techniques |
US6456894B1 (en) * | 1999-06-01 | 2002-09-24 | Applied Materials, Inc. | Semiconductor processing techniques |
US6408220B1 (en) | 1999-06-01 | 2002-06-18 | Applied Materials, Inc. | Semiconductor processing techniques |
KR100508680B1 (ko) * | 1999-06-23 | 2005-08-17 | 실리콘 밸리 그룹, 인크. | 웨이퍼 공정 시스템에서 로봇의 선 위치결정 |
US6889110B1 (en) | 1999-10-01 | 2005-05-03 | Novellus Systems, Inc. | Operational lists for simultaneous wafer scheduling and system event scheduling |
JP2001159910A (ja) * | 1999-12-03 | 2001-06-12 | Mitsubishi Electric Corp | 工程装置選択システムおよび選択方法 |
US6772029B2 (en) * | 2000-01-17 | 2004-08-03 | Ebara Corporation | Wafer transfer control apparatus and method for transferring wafer |
US6405144B1 (en) * | 2000-01-18 | 2002-06-11 | Advanced Micro Devices, Inc. | Method and apparatus for programmed latency for improving wafer-to-wafer uniformity |
US6519498B1 (en) * | 2000-03-10 | 2003-02-11 | Applied Materials, Inc. | Method and apparatus for managing scheduling in a multiple cluster tool |
DE10112681B4 (de) | 2000-03-31 | 2004-02-12 | International Business Machines Corp. | Computersystem mit Verfahren zum Planen von Vorgängen in einem Stahlwerk und Programmspeichereinrichtung zum Durchführen des Verfahrens |
US6952656B1 (en) | 2000-04-28 | 2005-10-04 | Applied Materials, Inc. | Wafer fabrication data acquisition and management systems |
US6759255B2 (en) | 2000-05-10 | 2004-07-06 | Kla-Tencor Technologies Corp. | Method and system for detecting metal contamination on a semiconductor wafer |
US7010788B1 (en) | 2000-05-19 | 2006-03-07 | Hewlett-Packard Development Company, L.P. | System for computing the optimal static schedule using the stored task execution costs with recent schedule execution costs |
US6418350B1 (en) * | 2000-06-09 | 2002-07-09 | Brooks Automation Inc. | Periodic scheduler for dual-arm robots in cluster tools with process-module residency constraints |
EP2031638A3 (en) * | 2000-07-07 | 2012-04-04 | Tokyo Electron Limited | A method of automatically resetting a processing apparatus |
US6917419B2 (en) | 2000-09-20 | 2005-07-12 | Kla-Tencor Technologies Corp. | Methods and systems for determining flatness, a presence of defects, and a thin film characteristic of a specimen |
US6919957B2 (en) | 2000-09-20 | 2005-07-19 | Kla-Tencor Technologies Corp. | Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen |
US6812045B1 (en) | 2000-09-20 | 2004-11-02 | Kla-Tencor, Inc. | Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation |
US6673637B2 (en) * | 2000-09-20 | 2004-01-06 | Kla-Tencor Technologies | Methods and systems for determining a presence of macro defects and overlay of a specimen |
US6891627B1 (en) | 2000-09-20 | 2005-05-10 | Kla-Tencor Technologies Corp. | Methods and systems for determining a critical dimension and overlay of a specimen |
US7349090B2 (en) | 2000-09-20 | 2008-03-25 | Kla-Tencor Technologies Corp. | Methods and systems for determining a property of a specimen prior to, during, or subsequent to lithography |
US7130029B2 (en) | 2000-09-20 | 2006-10-31 | Kla-Tencor Technologies Corp. | Methods and systems for determining an adhesion characteristic and a thickness of a specimen |
US6694284B1 (en) | 2000-09-20 | 2004-02-17 | Kla-Tencor Technologies Corp. | Methods and systems for determining at least four properties of a specimen |
US7106425B1 (en) | 2000-09-20 | 2006-09-12 | Kla-Tencor Technologies Corp. | Methods and systems for determining a presence of defects and a thin film characteristic of a specimen |
US6782337B2 (en) * | 2000-09-20 | 2004-08-24 | Kla-Tencor Technologies Corp. | Methods and systems for determining a critical dimension an a presence of defects on a specimen |
US6599368B1 (en) * | 2000-10-05 | 2003-07-29 | Applied Materials, Inc. | System architecture of semiconductor manufacturing equipment |
US6560507B1 (en) | 2000-10-20 | 2003-05-06 | Novellus Systems Inc. | Module classification approach for moving semiconductor wafers in a wafer processing system |
US20020142568A1 (en) * | 2001-03-27 | 2002-10-03 | Taiwan Semiconductor Manufacturing Co., Ltd., | Method and system for efficiently scheduling multi-chamber fabrication tool capacity |
US7539630B2 (en) * | 2001-12-27 | 2009-05-26 | Jda Software Group | System, method, and computer program for replenishment by manufacture with attribute based planning |
JP2003203963A (ja) * | 2002-01-08 | 2003-07-18 | Tokyo Electron Ltd | 搬送機構、処理システム及び搬送方法 |
US7236847B2 (en) * | 2002-01-16 | 2007-06-26 | Kla-Tencor Technologies Corp. | Systems and methods for closed loop defect reduction |
US20030171972A1 (en) * | 2002-01-28 | 2003-09-11 | James Heskin | Scheduling system and method |
US6701205B2 (en) | 2002-03-06 | 2004-03-02 | Massachusetts Institute Of Technology | System integration based on time-dependent periodic complexity |
US6642151B2 (en) | 2002-03-06 | 2003-11-04 | Applied Materials, Inc | Techniques for plasma etching silicon-germanium |
US20040030428A1 (en) * | 2002-05-03 | 2004-02-12 | Manugistics, Inc. | System and method for scheduling and sequencing supply chain resources |
AU2003245592A1 (en) * | 2002-06-21 | 2004-01-06 | Applied Materials, Inc. | Transfer chamber for vacuum processing system |
US7012438B1 (en) | 2002-07-10 | 2006-03-14 | Kla-Tencor Technologies Corp. | Methods and systems for determining a property of an insulating film |
US7064565B1 (en) | 2002-10-31 | 2006-06-20 | Kla-Tencor Technologies Corp. | Methods and systems for determining an electrical property of an insulating film |
US7248062B1 (en) | 2002-11-04 | 2007-07-24 | Kla-Tencor Technologies Corp. | Contactless charge measurement of product wafers and control of corona generation and deposition |
JP2004335750A (ja) * | 2003-05-08 | 2004-11-25 | Tokyo Electron Ltd | 処理スケジュール作成方法 |
US20050221603A1 (en) * | 2003-06-23 | 2005-10-06 | Applied Materials, Inc. | System architecture of semiconductor manufacturing equipment |
JP4209373B2 (ja) * | 2003-10-13 | 2009-01-14 | エーエスエムエル ネザーランズ ビー.ブイ. | リソグラフィ装置またはリソグラフィ処理セルの作動方法、リソグラフィ装置およびリソグラフィ処理セル |
US7103484B1 (en) | 2003-10-31 | 2006-09-05 | Kla-Tencor Technologies Corp. | Non-contact methods for measuring electrical thickness and determining nitrogen content of insulating films |
US6876894B1 (en) * | 2003-11-05 | 2005-04-05 | Taiwan Semiconductor Maufacturing Company, Ltd. | Forecast test-out of probed fabrication by using dispatching simulation method |
KR101056142B1 (ko) * | 2004-01-29 | 2011-08-10 | 케이엘에이-텐코 코포레이션 | 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법 |
US7784164B2 (en) * | 2004-06-02 | 2010-08-31 | Applied Materials, Inc. | Electronic device manufacturing chamber method |
CN101866828B (zh) * | 2004-06-02 | 2013-03-20 | 应用材料公司 | 电子装置制造室及其形成方法 |
TWI231526B (en) * | 2004-06-23 | 2005-04-21 | Powerchip Semiconductor Corp | Chamber based dispatch method |
US7477956B2 (en) * | 2004-07-12 | 2009-01-13 | Applied Materials, Inc. | Methods and apparatus for enhancing electronic device manufacturing throughput |
US7266417B2 (en) * | 2004-09-03 | 2007-09-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for semiconductor manufacturing automation |
US20060137609A1 (en) * | 2004-09-13 | 2006-06-29 | Puchacz Jerzy P | Multi-single wafer processing apparatus |
JP4904034B2 (ja) * | 2004-09-14 | 2012-03-28 | ケーエルエー−テンカー コーポレイション | レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体 |
DE102005032601A1 (de) * | 2005-01-07 | 2006-07-20 | Heidelberger Druckmaschinen Ag | Druckmaschine |
US20060167583A1 (en) * | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Method and apparatus for on the fly positioning and continuous monitoring of a substrate in a chamber |
US7477958B2 (en) * | 2005-05-11 | 2009-01-13 | International Business Machines Corporation | Method of release and product flow management for a manufacturing facility |
US7769225B2 (en) | 2005-08-02 | 2010-08-03 | Kla-Tencor Technologies Corp. | Methods and systems for detecting defects in a reticle design pattern |
US7893703B2 (en) * | 2005-08-19 | 2011-02-22 | Kla-Tencor Technologies Corp. | Systems and methods for controlling deposition of a charge on a wafer for measurement of one or more electrical properties of the wafer |
JP4884801B2 (ja) * | 2005-10-06 | 2012-02-29 | 東京エレクトロン株式会社 | 処理システム |
US7676077B2 (en) * | 2005-11-18 | 2010-03-09 | Kla-Tencor Technologies Corp. | Methods and systems for utilizing design data in combination with inspection data |
US7570796B2 (en) * | 2005-11-18 | 2009-08-04 | Kla-Tencor Technologies Corp. | Methods and systems for utilizing design data in combination with inspection data |
US8041103B2 (en) * | 2005-11-18 | 2011-10-18 | Kla-Tencor Technologies Corp. | Methods and systems for determining a position of inspection data in design data space |
US7289860B1 (en) * | 2006-01-25 | 2007-10-30 | Advanced Micro Devices, Inc. | Method and apparatus for reserving a processing tool in a semiconductor fabrication facility |
US20080025821A1 (en) * | 2006-07-25 | 2008-01-31 | Applied Materials, Inc. | Octagon transfer chamber |
US7715936B2 (en) * | 2006-08-25 | 2010-05-11 | I-Factory Inc. | System and method for the production of goods or products |
JP5427609B2 (ja) | 2006-12-19 | 2014-02-26 | ケーエルエー−テンカー・コーポレーション | 検査レシピ作成システムおよびその方法 |
WO2008086282A2 (en) * | 2007-01-05 | 2008-07-17 | Kla-Tencor Corporation | Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions |
US8950998B2 (en) * | 2007-02-27 | 2015-02-10 | Brooks Automation, Inc. | Batch substrate handling |
WO2008133286A1 (ja) | 2007-04-20 | 2008-11-06 | Ebara Corporation | 研磨装置及びそのプログラム |
US7738093B2 (en) | 2007-05-07 | 2010-06-15 | Kla-Tencor Corp. | Methods for detecting and classifying defects on a reticle |
US7962863B2 (en) * | 2007-05-07 | 2011-06-14 | Kla-Tencor Corp. | Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer |
US8213704B2 (en) * | 2007-05-09 | 2012-07-03 | Kla-Tencor Corp. | Methods and systems for detecting defects in a reticle design pattern |
US7796804B2 (en) * | 2007-07-20 | 2010-09-14 | Kla-Tencor Corp. | Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer |
US7711514B2 (en) * | 2007-08-10 | 2010-05-04 | Kla-Tencor Technologies Corp. | Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan |
US7975245B2 (en) * | 2007-08-20 | 2011-07-05 | Kla-Tencor Corp. | Computer-implemented methods for determining if actual defects are potentially systematic defects or potentially random defects |
US8725667B2 (en) * | 2008-03-08 | 2014-05-13 | Tokyo Electron Limited | Method and system for detection of tool performance degradation and mismatch |
US8190543B2 (en) | 2008-03-08 | 2012-05-29 | Tokyo Electron Limited | Autonomous biologically based learning tool |
US8396582B2 (en) * | 2008-03-08 | 2013-03-12 | Tokyo Electron Limited | Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool |
US8139844B2 (en) * | 2008-04-14 | 2012-03-20 | Kla-Tencor Corp. | Methods and systems for determining a defect criticality index for defects on wafers |
KR101841897B1 (ko) | 2008-07-28 | 2018-03-23 | 케이엘에이-텐코어 코오포레이션 | 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들 |
JP5261085B2 (ja) * | 2008-09-05 | 2013-08-14 | 東京エレクトロン株式会社 | 基板載置機構、基板処理装置、基板載置機構の制御方法及び記憶媒体 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
DE102009046751A1 (de) * | 2008-12-31 | 2010-09-09 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren und System zum Synchronisieren der Prozesskammerabschaltzeiten durch Steuern der Transportreihenfolge in eine Prozessanlage |
US8775101B2 (en) | 2009-02-13 | 2014-07-08 | Kla-Tencor Corp. | Detecting defects on a wafer |
US8204297B1 (en) | 2009-02-27 | 2012-06-19 | Kla-Tencor Corp. | Methods and systems for classifying defects detected on a reticle |
US8112241B2 (en) * | 2009-03-13 | 2012-02-07 | Kla-Tencor Corp. | Methods and systems for generating an inspection process for a wafer |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8483861B2 (en) * | 2009-08-31 | 2013-07-09 | Applied Materials, Inc. | Scheduling modeling system for adaptive, automated data collection and performance analysis of manufacturing system for optimal scheduling |
JP5282021B2 (ja) * | 2009-12-14 | 2013-09-04 | 株式会社日立ハイテクノロジーズ | 半導体処理システム及び半導体処理方法 |
US8655472B2 (en) | 2010-01-12 | 2014-02-18 | Ebara Corporation | Scheduler, substrate processing apparatus, and method of transferring substrates in substrate processing apparatus |
JP5586271B2 (ja) * | 2010-03-02 | 2014-09-10 | 株式会社日立ハイテクノロジーズ | 真空処理装置及びプログラム |
JP5392190B2 (ja) * | 2010-06-01 | 2014-01-22 | 東京エレクトロン株式会社 | 基板処理システム及び基板処理方法 |
JP2012028659A (ja) * | 2010-07-27 | 2012-02-09 | Hitachi High-Technologies Corp | 真空処理装置 |
US8781781B2 (en) | 2010-07-30 | 2014-07-15 | Kla-Tencor Corp. | Dynamic care areas |
US9170211B2 (en) | 2011-03-25 | 2015-10-27 | Kla-Tencor Corp. | Design-based inspection using repeating structures |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9087367B2 (en) | 2011-09-13 | 2015-07-21 | Kla-Tencor Corp. | Determining design coordinates for wafer defects |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9997384B2 (en) * | 2011-12-01 | 2018-06-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for transporting wafers between wafer holders and chambers |
US8831334B2 (en) | 2012-01-20 | 2014-09-09 | Kla-Tencor Corp. | Segmentation for wafer inspection |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
JP5738796B2 (ja) * | 2012-04-11 | 2015-06-24 | 株式会社日立ハイテクノロジーズ | 処理室割当設定装置及び処理室割当設定プログラム |
TWI622664B (zh) | 2012-05-02 | 2018-05-01 | Asm智慧財產控股公司 | 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法 |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8826200B2 (en) | 2012-05-25 | 2014-09-02 | Kla-Tencor Corp. | Alteration for wafer inspection |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9659799B2 (en) * | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
KR102064391B1 (ko) * | 2012-08-31 | 2020-01-10 | 삼성디스플레이 주식회사 | 기판 처리 장치 |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
JP6002532B2 (ja) * | 2012-10-10 | 2016-10-05 | 株式会社日立ハイテクノロジーズ | 真空処理装置及び真空処理方法 |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9189844B2 (en) | 2012-10-15 | 2015-11-17 | Kla-Tencor Corp. | Detecting defects on a wafer using defect-specific information |
JP6094148B2 (ja) * | 2012-10-29 | 2017-03-15 | 東京エレクトロン株式会社 | 基板処理装置 |
US9146551B2 (en) * | 2012-11-29 | 2015-09-29 | Asm Ip Holding B.V. | Scheduler for processing system |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US9053527B2 (en) | 2013-01-02 | 2015-06-09 | Kla-Tencor Corp. | Detecting defects on a wafer |
US9134254B2 (en) | 2013-01-07 | 2015-09-15 | Kla-Tencor Corp. | Determining a position of inspection system output in design data space |
US9311698B2 (en) | 2013-01-09 | 2016-04-12 | Kla-Tencor Corp. | Detecting defects on a wafer using template image matching |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US9092846B2 (en) | 2013-02-01 | 2015-07-28 | Kla-Tencor Corp. | Detecting defects on a wafer using defect-specific and multi-channel information |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9865512B2 (en) | 2013-04-08 | 2018-01-09 | Kla-Tencor Corp. | Dynamic design attributes for wafer inspection |
US9310320B2 (en) | 2013-04-15 | 2016-04-12 | Kla-Tencor Corp. | Based sampling and binning for yield critical defects |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9824318B1 (en) * | 2013-09-25 | 2017-11-21 | Amazon Technologies, Inc. | Generating labor requirements |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
JP2015211043A (ja) * | 2014-04-23 | 2015-11-24 | 株式会社荏原製作所 | 基板処理方法 |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
KR102296919B1 (ko) * | 2015-01-16 | 2021-09-02 | 삼성디스플레이 주식회사 | 박막봉지 제조장치 및 박막봉지 제조방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10295979B2 (en) * | 2015-09-15 | 2019-05-21 | Applied Materials, Inc. | Scheduling in manufacturing environments |
US10073444B2 (en) * | 2015-09-20 | 2018-09-11 | Macau University Of Science And Technology | Petri net-based optimal one-wafer cyclic scheduling of treelike hybrid multi-cluster tools |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR102762543B1 (ko) | 2016-12-14 | 2025-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10043693B1 (en) | 2017-06-06 | 2018-08-07 | Applied Materials, Inc. | Method and apparatus for handling substrates in a processing system having a buffer chamber |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10359769B2 (en) | 2017-09-15 | 2019-07-23 | Applied Materials, Inc. | Substrate routing and throughput modeling |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102633318B1 (ko) | 2017-11-27 | 2024-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 청정 소형 구역을 포함한 장치 |
CN111316417B (zh) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | 与批式炉偕同使用的用于储存晶圆匣的储存装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI852426B (zh) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 沈積方法 |
WO2019142055A2 (en) | 2018-01-19 | 2019-07-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102600229B1 (ko) | 2018-04-09 | 2023-11-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US10698392B2 (en) * | 2018-06-22 | 2020-06-30 | Applied Materials, Inc. | Using graphics processing unit for substrate routing and throughput modeling |
TWI819010B (zh) | 2018-06-27 | 2023-10-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102748291B1 (ko) | 2018-11-02 | 2024-12-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI866480B (zh) | 2019-01-17 | 2024-12-11 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR102727227B1 (ko) | 2019-01-22 | 2024-11-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP7603377B2 (ja) | 2019-02-20 | 2024-12-20 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR102762833B1 (ko) | 2019-03-08 | 2025-02-04 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR102782593B1 (ko) | 2019-03-08 | 2025-03-14 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP7612342B2 (ja) | 2019-05-16 | 2025-01-14 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP7598201B2 (ja) | 2019-05-16 | 2024-12-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200141931A (ko) | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | 석영 에피택셜 챔버를 세정하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210015655A (ko) | 2019-07-30 | 2021-02-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 방법 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
KR20210018761A (ko) | 2019-08-09 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 장치를 포함한 히터 어셈블리 및 이를 사용하는 방법 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR102733104B1 (ko) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
KR20210089077A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템 |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
CN113284789A (zh) | 2020-02-03 | 2021-08-20 | Asm Ip私人控股有限公司 | 形成包括钒或铟层的结构的方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
KR20210103956A (ko) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법 |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR102775390B1 (ko) | 2020-03-12 | 2025-02-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
KR102755229B1 (ko) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202143328A (zh) | 2020-04-21 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於調整膜應力之方法 |
TW202200505A (zh) | 2020-04-24 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於穩定釩化合物之方法及設備 |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
TW202208671A (zh) | 2020-04-24 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括硼化釩及磷化釩層的結構之方法 |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
JP2021181612A (ja) | 2020-04-29 | 2021-11-25 | エーエスエム・アイピー・ホールディング・ベー・フェー | 固体ソースプリカーサ容器 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210137395A (ko) | 2020-05-07 | 2021-11-17 | 에이에스엠 아이피 홀딩 비.브이. | 불소계 라디칼을 이용하여 반응 챔버의 인시츄 식각을 수행하기 위한 장치 및 방법 |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145079A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 플랜지 및 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
KR20220002123A (ko) | 2020-06-30 | 2022-01-06 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI864307B (zh) | 2020-07-17 | 2024-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構、方法與系統 |
KR20220011092A (ko) | 2020-07-20 | 2022-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220021863A (ko) | 2020-08-14 | 2022-02-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202228863A (zh) | 2020-08-25 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 清潔基板的方法、選擇性沉積的方法、及反應器系統 |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
TW202217045A (zh) | 2020-09-10 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積間隙填充流體之方法及相關系統和裝置 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
KR20220036866A (ko) | 2020-09-16 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물 증착 방법 |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202218049A (zh) | 2020-09-25 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220050048A (ko) | 2020-10-15 | 2022-04-22 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
JP7643861B2 (ja) * | 2020-10-30 | 2025-03-11 | 株式会社荏原製作所 | 基板処理装置においてカセットからの基板の取り出しタイミングを決定する方法、装置、プログラム、および基板処理装置 |
TW202229620A (zh) | 2020-11-12 | 2022-08-01 | 特文特大學 | 沉積系統、用於控制反應條件之方法、沉積方法 |
TW202229795A (zh) | 2020-11-23 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 具注入器之基板處理設備 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
US12255053B2 (en) | 2020-12-10 | 2025-03-18 | Asm Ip Holding B.V. | Methods and systems for depositing a layer |
TW202233884A (zh) | 2020-12-14 | 2022-09-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成臨限電壓控制用之結構的方法 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
USD1060598S1 (en) | 2021-12-03 | 2025-02-04 | Asm Ip Holding B.V. | Split showerhead cover |
Family Cites Families (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0616475B2 (ja) * | 1987-04-03 | 1994-03-02 | 三菱電機株式会社 | 物品の製造システム及び物品の製造方法 |
US4896269A (en) * | 1988-02-29 | 1990-01-23 | General Electric Company | Job shop scheduling and production method and apparatus |
JP2870117B2 (ja) * | 1990-04-27 | 1999-03-10 | 株式会社日立製作所 | 最適計画作成方法 |
US5354413A (en) * | 1993-03-18 | 1994-10-11 | Advanced Micro Devices, Inc. | Electrode position controller for a semiconductor etching device |
JPH07237095A (ja) * | 1993-12-27 | 1995-09-12 | Hitachi Ltd | 多品種連続生産方法及び装置 |
US5580419A (en) * | 1994-03-23 | 1996-12-03 | Trw Inc. | Process of making semiconductor device using focused ion beam for resistless in situ etching, deposition, and nucleation |
JP2982038B2 (ja) * | 1994-04-01 | 1999-11-22 | 東京エレクトロン株式会社 | 被処理体の処理のスケジューリング方法及びその装置 |
US5444632A (en) | 1994-04-28 | 1995-08-22 | Texas Instruments Incorporated | Apparatus and method for controlling and scheduling processing machines |
US5580819A (en) * | 1995-03-22 | 1996-12-03 | Ppg Industries, Inc. | Coating composition, process for producing antireflective coatings, and coated articles |
US5654903A (en) * | 1995-11-07 | 1997-08-05 | Lucent Technologies Inc. | Method and apparatus for real time monitoring of wafer attributes in a plasma etch process |
US5904487A (en) * | 1996-10-08 | 1999-05-18 | Advanced Micro Devices, Inc. | Electrode reshaping in a semiconductor etching device |
US5928389A (en) | 1996-10-21 | 1999-07-27 | Applied Materials, Inc. | Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool |
US5855681A (en) * | 1996-11-18 | 1999-01-05 | Applied Materials, Inc. | Ultra high throughput wafer vacuum processing system |
US5909994A (en) * | 1996-11-18 | 1999-06-08 | Applied Materials, Inc. | Vertical dual loadlock chamber |
US6201999B1 (en) * | 1997-06-09 | 2001-03-13 | Applied Materials, Inc. | Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool |
US6336204B1 (en) * | 1998-05-07 | 2002-01-01 | Applied Materials, Inc. | Method and apparatus for handling deadlocks in multiple chamber cluster tools |
US6456894B1 (en) | 1999-06-01 | 2002-09-24 | Applied Materials, Inc. | Semiconductor processing techniques |
EP1200885A1 (en) | 1999-06-22 | 2002-05-02 | Brooks Automation, Inc. | Run-to-run controller for use in microelectronic fabrication |
-
1997
- 1997-06-09 US US08/871,746 patent/US6201999B1/en not_active Expired - Fee Related
-
1998
- 1998-06-02 TW TW087108668A patent/TW449793B/zh active
- 1998-06-08 EP EP98930057A patent/EP1021821A1/en not_active Withdrawn
- 1998-06-08 KR KR19997011616A patent/KR20010013606A/ko not_active Application Discontinuation
- 1998-06-08 JP JP50276899A patent/JP2002511193A/ja active Pending
- 1998-06-08 WO PCT/US1998/011320 patent/WO1998057358A1/en not_active Application Discontinuation
-
2001
- 2001-01-26 US US09/771,254 patent/US6725114B1/en not_active Expired - Fee Related
- 2001-03-13 US US09/804,808 patent/US6496746B2/en not_active Expired - Fee Related
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN118893020A (zh) * | 2024-09-30 | 2024-11-05 | 矽电半导体设备(深圳)股份有限公司 | 芯片分选方法及半导体加工设备 |
Also Published As
Publication number | Publication date |
---|---|
EP1021821A1 (en) | 2000-07-26 |
US6725114B1 (en) | 2004-04-20 |
WO1998057358A1 (en) | 1998-12-17 |
US6496746B2 (en) | 2002-12-17 |
US20010011198A1 (en) | 2001-08-02 |
JP2002511193A (ja) | 2002-04-09 |
KR20010013606A (ko) | 2001-02-26 |
US6201999B1 (en) | 2001-03-13 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW449793B (en) | Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool | |
Adleman et al. | Running time and program size for self-assembled squares | |
Rotman | An introduction to the theory of groups | |
Healy | Matrices for statistics | |
Hawkins | Cauchy and the spectral theory of matrices | |
Shannon | A universal Turing machine with two internal states | |
TW594502B (en) | Length-scalable fast Fourier transformation digital signal processing architecture | |
JPH02236660A (ja) | コンピュータ | |
Mazur | Perturbations, deformations, and variations (and “near-misses") in geometry, physics, and number theory | |
Gustafson | On products of involutions | |
Wormer | Intermolecular forces and the group theory of many-body systems | |
Arratia et al. | A tale of three couplings: Poisson–Dirichlet and GEM approximations for random permutations | |
Cohendet et al. | Fokker-Planck equation associated with the Wigner function of a quantum system with a finite number of states | |
TW526530B (en) | Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool | |
Shareshian | Combinatorial properties of subgroup lattices of finite groups | |
Gluck | Character value estimates for groups of Lie type | |
Bailey et al. | An approach to programming process interconnection structures: aggregate rewriting graph grammars | |
Ceterchi et al. | Simulating the bitonic sort using P systems | |
Dubhashi | Algorithmic investigations inp-adic fields | |
Hoggatt Jr | Generalized rabbits for generalized Fibonacci numbers | |
Fischer et al. | A Practical Succinct Data Structure for Tree-Like Graphs | |
Nair | Proofs of the Parisi and Coppersmith-Sorkin conjectures in the random assignment problem | |
Kong | Wave-vector dependent susceptibility of the two-dimensional Ising model | |
Islam | Sequential and parallel algorithms for generalized coloring of trees | |
Hart | Pattern analysis as a tool for inventing algorithms |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GD4A | Issue of patent certificate for granted invention patent |