[go: up one dir, main page]

TW202507421A - Metrology method and associated metrology tool - Google Patents

Metrology method and associated metrology tool Download PDF

Info

Publication number
TW202507421A
TW202507421A TW113104203A TW113104203A TW202507421A TW 202507421 A TW202507421 A TW 202507421A TW 113104203 A TW113104203 A TW 113104203A TW 113104203 A TW113104203 A TW 113104203A TW 202507421 A TW202507421 A TW 202507421A
Authority
TW
Taiwan
Prior art keywords
radiation
scattered
metrology
source
beams
Prior art date
Application number
TW113104203A
Other languages
Chinese (zh)
Inventor
登 波斯 西特喜 希巨門 凡
史蒂芬 愛德華
喬漢 雷尼克
雨果 奧格斯提納斯 約瑟夫 克瑞馬
特尼思 威廉 塔克爾
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202507421A publication Critical patent/TW202507421A/en

Links

Abstract

Disclosed is a metrology method and metrology tool comprising a first dispersive element operable to receive and scatter source radiation so as to generate first scattered radiation; a final focusing element being configured to: collect at least a portion of said first scattered radiation, the collected first scattered radiation comprising a plurality of first scattered beams, each having been scattered in a respective different direction; and focus said collected first scattered radiation on a second dispersive element such that each of said first scattered beams is incident on said second dispersive element at a respective different angle of incidence; and at least one detector operable to detect second scattered radiation having been scattered by said second dispersive element.

Description

度量衡方法及相關的度量衡工具Measurement methods and related measurement tools

本發明係關於一種可例如用於判定基板上之結構之特性的度量衡方法及裝置。The present invention relates to a metrology method and apparatus which can be used, for example, to determine the properties of structures on a substrate.

微影設備為經建構以將所要圖案施加至基板上之機器。微影設備可用於(例如)積體電路(IC)之製造中。微影設備可例如將圖案化裝置(例如,遮罩)處之圖案(亦常常稱為「設計佈局」或「設計」)投射至提供於基板(例如,晶圓)上之輻射敏感材料(抗蝕劑)層上。A lithography apparatus is a machine constructed to apply a desired pattern onto a substrate. A lithography apparatus may be used, for example, in the manufacture of integrated circuits (ICs). A lithography apparatus may, for example, project a pattern (also often referred to as a "design layout" or "design") at a patterned device (e.g., a mask) onto a layer of radiation-sensitive material (resist) provided on a substrate (e.g., a wafer).

為了將圖案投射於基板上,微影設備可使用電磁輻射。此輻射之波長判定可形成於基板上之特徵的最小大小。當前使用之典型波長為365 nm (i線)、248 nm、193 nm及13.5 nm。相比於使用例如具有193 nm之波長之輻射的微影設備,使用具有在4 nm至20 nm之範圍內之波長(例如6.7 nm或13.5 nm)之極紫外光(EUV)輻射的微影設備可用於在基板上形成較小特徵。To project a pattern onto a substrate, lithography equipment may use electromagnetic radiation. The wavelength of this radiation determines the minimum size of features that can be formed on the substrate. Typical wavelengths currently used are 365 nm (i-line), 248 nm, 193 nm, and 13.5 nm. Lithography equipment using extreme ultraviolet (EUV) radiation with a wavelength in the range of 4 nm to 20 nm, such as 6.7 nm or 13.5 nm, can be used to form smaller features on a substrate than lithography equipment using radiation with a wavelength of, for example, 193 nm.

低k 1微影可用於處理尺寸小於微影設備之經典解析度極限的特徵。在此程序中,可將解析度公式表示為CD = k 1×λ/NA,其中λ為所使用輻射之波長,NA為微影設備中之投影光學件之數值孔徑,CD為「關鍵尺寸」(通常為經印刷之最小特徵大小,但在此種狀況下為半間距),且k 1為經驗解析度因數。一般而言,k 1愈小,則愈難以在基板上再生類似於由電路設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案。為了克服此等困難,可將複雜微調步驟應用於微影投影設備及/或設計佈局。此等步驟包括(例如)但不限於NA之最佳化、定製照明方案、使用相移圖案化裝置、例如設計佈局中之光學近接校正(OPC,有時亦被稱作「光學及製程校正」)之設計佈局的各種最佳化,或通常經定義為「解析度增強技術」(RET)之其他方法。替代地,用於控制微影設備之穩定性之嚴格控制環路可用於改良在低k 1下之圖案之再現。 Low- k1 lithography can be used to process features smaller than the classical resolution limit of the lithography equipment. In this procedure, the resolution formula can be expressed as CD = k1 × λ/NA, where λ is the wavelength of the radiation used, NA is the numerical aperture of the projection optics in the lithography equipment, CD is the "critical dimension" (usually the smallest feature size printed, but in this case half-pitch), and k1 is an empirical resolution factor. In general, the smaller k1 is, the more difficult it is to reproduce on the substrate a pattern that resembles the shape and size planned by the circuit designer to achieve specific electrical functionality and performance. To overcome these difficulties, complex fine-tuning steps can be applied to the lithography projection equipment and/or the design layout. Such steps include, for example, but are not limited to, optimization of the NA, customizing the illumination scheme, using phase-shift patterning devices, various optimizations of the design layout such as optical proximity correction (OPC, sometimes also referred to as "optical and process correction") in the design layout, or other methods generally defined as "resolution enhancement technology" (RET). Alternatively, a tight control loop for controlling the stability of the lithography equipment can be used to improve the reproduction of the pattern at low k1 .

在微影程序以及其他製造程序中,需要頻繁地量測所關注參數,亦即,對所產生結構進行量測,例如以用於程序控制及驗證。用於進行此等量測之各種工具為吾人所知,包括常常用以量測關鍵尺寸(CD)之掃描電子顯微鏡,及用以量測疊對(裝置中兩個層之對準準確度)之特殊化工具。近來,已開發供微影領域中使用之各種形式之散射計。In lithography processes, as well as other manufacturing processes, it is frequently necessary to measure parameters of interest, i.e., measurements of the resulting structures, e.g., for process control and verification. Various tools are known for making such measurements, including scanning electron microscopes, which are often used to measure critical dimensions (CD), and specialized tools for measuring overlay (the alignment accuracy of two layers in a device). More recently, various forms of scatterometers have been developed for use in lithography.

製造程序可為例如微影、蝕刻、沉積、化學機械平坦化、氧化、離子植入、擴散或其中之兩者或更多者之組合。The fabrication process may be, for example, lithography, etching, deposition, chemical mechanical planarization, oxidation, ion implantation, diffusion, or a combination of two or more thereof.

已知散射計之實例通常依賴於專用度量衡目標之佈建。舉例而言,方法可需要呈簡單光柵之形式的目標,該光柵足夠大以使得量測射束產生小於光柵之光點(亦即,光柵填充不足)。在所謂重建構方法中,可藉由模擬散射輻射與目標結構之數學模型的相互作用來計算光柵之屬性。調整該模型之參數直至經模擬相互作用產生類似於自真實目標觀測之繞射圖案的繞射圖案為止。Examples of known scatterometers usually rely on the deployment of dedicated metrology targets. For example, the method may require a target in the form of a simple grating that is large enough so that the measurement beam produces a light spot that is smaller than the grating (i.e., the grating is underfilled). In the so-called reconstruction method, the properties of the grating can be calculated by simulating the interaction of the scattered radiation with a mathematical model of the target structure. The parameters of the model are adjusted until a diffraction pattern similar to the diffraction pattern observed from a real target is produced by the simulated interaction.

除了藉由重建構進行特徵形狀之量測以外,亦可使用此設備來量測基於繞射之疊對,如公開專利申請案US2006066855A1中所描述。使用繞射階之暗場成像的基於繞射之疊對度量衡實現對較小目標之疊對量測。此等目標可小於照明光點且可由晶圓上之產品結構圍繞。可在諸如US2011102753A1及US20120044470A之眾多公開專利申請案中發現暗場成像度量衡之實例。可使用複合光柵目標而在一個影像中量測多個光柵。已知散射計趨向於使用在可見光或近紅外光(IR)波範圍內之光,此要求光柵之間距比屬性實際上受到關注之實際產品結構粗略得多。可使用具有短得多的波長之深紫外光(DUV)、極紫外光(EUV)或X射線輻射來界定此類產品特徵。令人遺憾地,此等波長通常不可用於或不能用於度量衡。In addition to feature shape measurement by reconstruction, this device can also be used to measure diffraction-based overlays as described in published patent application US2006066855A1. Diffraction-based overlay metrology using dark field imaging of the diffraction stage enables overlay measurement of smaller targets. These targets can be smaller than the illumination spot and can be surrounded by product structures on the wafer. Examples of dark field imaging metrology can be found in many published patent applications such as US2011102753A1 and US20120044470A. Multiple gratings can be measured in one image using compound grating targets. Known scatterometers tend to use light in the visible or near infrared (IR) wave range, which requires the spacing of the grating to be much coarser than the actual product structure where the properties are actually of interest. Such product features can be defined using deep ultraviolet (DUV), extreme ultraviolet (EUV) or X-ray radiation, which have much shorter wavelengths. Unfortunately, these wavelengths are generally not available or usable for metrology.

另一方面,現代產品結構之尺寸如此小使得其無法藉由光學度量衡技術而成像。小特徵包括(例如)藉由多重圖案化程序及/或間距倍增形成之特徵。因此,用於大容量度量衡之目標通常使用比疊對誤差或關鍵尺寸為所關注屬性之產品大得多的特徵。量測結果僅與真實產品結構之尺寸間接地相關,且可能不準確,此係因為度量衡目標不遭受微影設備中之光學投影下的相同失真,及/或製造程序之其他步驟中之不同處理。雖然掃描電子顯微法(SEM)能夠直接地解析此等現代產品結構,但SEM比光學量測耗時多得多。此外,電子不能夠穿透厚製程層,此使得電子較不適合於度量衡應用。諸如使用接觸墊量測電屬性之其他技術亦為吾人所知,但其僅提供真實產品結構之間接跡象。On the other hand, the dimensions of modern product structures are so small that they cannot be imaged by optical metrology techniques. Small features include, for example, features formed by multiple patterning processes and/or pitch multiplication. Therefore, targets used for high-volume metrology typically use features that are much larger than the product where the overlay error or critical dimension is the attribute of interest. The measurement results are only indirectly related to the dimensions of the real product structure and may not be accurate because the metrology targets are not subject to the same distortions under optical projection in the lithography equipment and/or different processing in other steps of the manufacturing process. Although scanning electron microscopy (SEM) can directly resolve these modern product structures, SEM is much more time consuming than optical metrology. In addition, electrons cannot penetrate thick process layers, which makes electrons less suitable for metrology applications. Other techniques are known, such as using contact pads to measure electrical properties, but they only provide an indirect indication of the actual product structure.

藉由減小在度量衡期間使用之該輻射之波長,有可能解析較小結構,以增加對該等結構之結構變化之敏感度及/或進一步穿透至該等產品結構中。產生適當高頻率輻射(例如,硬X射線、軟X射線及/或EUV輻射)之一種此方法可使用泵輻射(例如,紅外光IR輻射)以激勵產生介質,藉此產生發射輻射,視情況包含高頻率輻射之高階諧波產生。By reducing the wavelength of the radiation used during metrology, it is possible to resolve smaller structures, to increase sensitivity to structural changes in the structures and/or to penetrate further into the product structures. One such method of generating suitable high frequency radiation (e.g., hard X-rays, soft X-rays and/or EUV radiation) may use pump radiation (e.g., infrared IR radiation) to excite a production medium, thereby generating emission radiation, optionally including higher order harmonic generation of the high frequency radiation.

為了判定與經量測目標相關的所關注參數,需要最大化或增加經偵測信號中之信號分集。In order to determine the parameters of interest associated with the measured targets, it is necessary to maximize or increase the signal diversity in the detected signals.

在本發明之一第一態樣中,提供一種度量衡工具,其包含:一第一色散元件,其可操作以接收且散射源輻射以便產生第一散射輻射;一最終聚焦元件,其經組態以:收集該第一散射輻射之至少一部分,該經收集第一散射輻射包含複數個第一散射射束,其各自已在一各別不同的方向上散射;及將該經收集第一散射輻射聚焦在一第二色散元件上,使得該等第一散射射束中之各者以一各別不同的入射角入射於該第二色散元件上;及至少一個偵測器,其可操作以偵測已由該第二色散元件散射之第二散射輻射。In a first aspect of the present invention, a metrology tool is provided, which includes: a first dispersive element, which is operable to receive and scatter source radiation to generate first scattered radiation; a final focusing element, which is configured to: collect at least a portion of the first scattered radiation, the collected first scattered radiation includes a plurality of first scattered beams, each of which has been scattered in a respective different direction; and focus the collected first scattered radiation on a second dispersive element so that each of the first scattered beams is incident on the second dispersive element at a respective different incident angle; and at least one detector, which is operable to detect the second scattered radiation that has been scattered by the second dispersive element.

在本發明之一第二態樣中,提供一種聚焦鏡面元件,其包含一中空長橢球體部分,該中空長橢球體部分具有一鏡像內部表面且包含:一輸入端處之一第一開口及一輸出端處之一第二開口。In a second aspect of the present invention, a focusing mirror element is provided, which includes a hollow elliptical sphere portion having a mirroring inner surface and including: a first opening at an input end and a second opening at an output end.

在本發明之一第三態樣中,提供一種製造該第二態樣之該聚焦鏡面元件之方法,其包含:提供包含一長橢球體部分之一軸向對稱的心軸;圍繞該心軸形成一聚焦鏡面元件主體;及自該心軸釋放該聚焦鏡面元件主體;藉此該聚焦鏡面元件主體具有由該聚焦鏡面元件主體之該內部表面界定的一光學表面。In a third aspect of the present invention, a method for manufacturing the focusing mirror element of the second aspect is provided, comprising: providing an axially symmetrical spindle comprising a long elliptical portion; forming a focusing mirror element body around the spindle; and releasing the focusing mirror element body from the spindle; whereby the focusing mirror element body has an optical surface defined by the inner surface of the focusing mirror element body.

在本發明之一第四態樣中,提供一種度量衡方法,其包含:產生源輻射;散射該源輻射以便產生第一散射輻射;收集該第一散射輻射之至少一部分,該經收集第一散射輻射包含複數個第一散射射束,其各自已在一各別不同的方向上散射;將該經收集第一散射輻射聚焦在一目標上,使得該等第一散射射束中之各者以一各別不同的入射角入射於該目標上;及偵測已由該目標散射之第二散射輻射。In a fourth aspect of the present invention, a metrology method is provided, which includes: generating source radiation; scattering the source radiation to generate first scattered radiation; collecting at least a portion of the first scattered radiation, the collected first scattered radiation including a plurality of first scattered beams, each of which has been scattered in a respective different direction; focusing the collected first scattered radiation on a target so that each of the first scattered beams is incident on the target at a respective different incident angle; and detecting second scattered radiation that has been scattered by the target.

將根據對例示性實施例之以下描述及圖式之考慮而瞭解本文中所揭示之設備及方法之此等及其他態樣以及優點。These and other aspects and advantages of the apparatus and methods disclosed herein will be understood from a consideration of the following description and drawings of exemplary embodiments.

在本發明文件中,術語「輻射」及「射束」用於涵蓋所有類型之電磁輻射及粒子輻射,包括紫外光輻射(例如,具有為365、248、193、157或126 nm之波長)、極紫外光輻射(EUV,例如具有在約5至100 nm的範圍內之波長)、X射線輻射、電子射束輻射及其他粒子輻射。In this invention document, the terms "radiation" and "beam" are used to cover all types of electromagnetic radiation and particle radiation, including ultraviolet radiation (e.g., having a wavelength of 365, 248, 193, 157 or 126 nm), extreme ultraviolet radiation (EUV, e.g., having a wavelength in the range of about 5 to 100 nm), X-ray radiation, electron beam radiation and other particle radiation.

如本文中所採用之術語「倍縮光罩」、「遮罩」或「圖案化裝置」可廣泛地解釋為係指可用以向入射輻射射束賦予圖案化橫截面之通用圖案化裝置,該圖案化橫截面對應於待在基板之目標部分中產生之圖案。在此上下文中,亦可使用術語「光閥」。除經典遮罩(透射或反射,二元、相移、混合式等)以外,其他此類圖案化裝置之實例包括可程式化鏡面陣列及可程式化LCD陣列。As used herein, the term "reduction mask", "mask" or "patterning device" may be broadly interpreted as referring to a general purpose patterning device that can be used to impart a patterned cross-section to an incident radiation beam, which corresponds to the pattern to be produced in a target portion of a substrate. In this context, the term "light valve" may also be used. In addition to classical masks (transmissive or reflective, binary, phase-shifting, hybrid, etc.), other examples of such patterning devices include programmable mirror arrays and programmable LCD arrays.

圖1示意性地描繪微影設備LA。該微影設備LA包括:照明系統(亦被稱作照明器) IL,其經組態以調節輻射射束B (例如UV輻射、DUV輻射、EUV輻射或X射線輻射);遮罩支撐件(例如遮罩台) T,其經建構以支撐圖案化裝置(例如遮罩) MA且連接至經組態以根據某些參數來準確地定位該圖案化裝置MA之第一定位器PM;基板支撐件(例如晶圓台) WT,其經建構以固持基板(例如抗蝕劑塗佈晶圓) W且連接至經組態以根據某些參數來準確地定位該基板支撐件之第二定位器PW;及投影系統(例如折射投影透鏡系統) PS,其經組態以將由圖案化裝置MA賦予至輻射射束B之圖案投射至基板W之目標部分C (例如包含一或多個晶粒)上。FIG1 schematically depicts a lithography apparatus LA. The lithography apparatus LA comprises an illumination system (also referred to as an illuminator) IL configured to condition a radiation beam B (e.g., UV radiation, DUV radiation, EUV radiation, or X-ray radiation); a mask support (e.g., a mask stage) T constructed to support a patterning device (e.g., a mask) MA and connected to a first positioner PM configured to accurately position the patterning device MA according to certain parameters; a substrate support (e.g., a wafer stage) WT constructed to hold a substrate (e.g., a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate support according to certain parameters; and a projection system (e.g., a refractive projection lens system) PS is configured to project the pattern imparted to the radiation beam B by the patterning device MA onto a target portion C of the substrate W (eg, comprising one or more dies).

在操作中,照明系統IL例如經由射束遞送系統BD自輻射源SO接收輻射射束。照明系統IL可包括各種類型的光學組件,諸如折射、反射、繞射、磁性、電磁、靜電及/或其他類型的光學組件或其任何組合以引導、塑形及/或控制輻射。照明器IL可用以調節輻射射束B,以在圖案化裝置MA之平面處在其橫截面中具有所要空間及角強度分佈。In operation, the illumination system IL receives a radiation beam from a radiation source SO, for example via a beam delivery system BD. The illumination system IL may include various types of optical components, such as refractive, reflective, diffractive, magnetic, electromagnetic, electrostatic and/or other types of optical components or any combination thereof to direct, shape and/or control the radiation. The illuminator IL may be used to condition the radiation beam B to have a desired spatial and angular intensity distribution in its cross-section at the plane of the patterning device MA.

本文中所使用之術語「投影系統」PS應被廣泛地解譯為涵蓋適於所使用之曝光輻射及/或適於諸如浸潤液體之使用或真空之使用之其他因素的各種類型之投影系統,包括折射、反射、繞射、反射折射、合成、磁性、電磁及/或靜電光學系統,或其任何組合。可認為本文中對術語「投影透鏡」之任何使用與更一般之術語「投影系統」PS同義。The term "projection system" PS as used herein should be interpreted broadly as covering various types of projection systems appropriate to the exposure radiation used and/or to other factors such as the use of an immersion liquid or the use of a vacuum, including refractive, reflective, diffractive, catadioptric, synthetic, magnetic, electromagnetic and/or electro-optical systems, or any combination thereof. Any use of the term "projection lens" herein should be considered synonymous with the more general term "projection system" PS.

微影設備LA可屬於如下所述的類型:其中基板之至少一部分可由具有相對高折射率之例如水之液體覆蓋,以便填充投影系統PS與基板W之間的空間--此亦稱為浸潤微影。在以全文引用之方式併入本文中之US6952253中給出了關於浸潤技術之更多資訊。The lithography apparatus LA may be of a type in which at least a portion of the substrate may be covered by a liquid, such as water, having a relatively high refractive index, so as to fill the space between the projection system PS and the substrate W - this is also known as immersion lithography. More information on immersion technology is given in US6952253, which is incorporated herein by reference in its entirety.

微影設備LA亦可屬於具有兩個或更多個基板支撐件WT (又名「雙載物台」)之類型。在此「多載物台」機器中,可並行地使用基板支撐件WT,及/或可對位於基板支撐件WT中之一者上的基板W進行準備基板W之後續曝光的步驟,同時將另一基板支撐件WT上之另一基板W用於在另一基板W上曝光圖案。The lithography apparatus LA may also be of a type having two or more substrate supports WT (also known as a "dual stage"). In such a "multi-stage" machine, the substrate supports WT may be used in parallel, and/or a step of preparing the substrate W for subsequent exposure may be performed on a substrate W on one of the substrate supports WT while another substrate W on another substrate support WT is being used to expose a pattern on another substrate W.

除了基板支撐件WT以外,微影設備LA亦可包含量測載物台。量測載物台經配置以固持感測器及/或清潔裝置。感測器可經配置以量測投影系統PS之屬性或輻射射束B之屬性。量測載物台可固持多個感測器。清潔裝置可經配置以清潔微影設備之部分,例如,投影系統PS之部分或提供浸潤液體之系統之部分。量測載物台可在基板支撐件WT遠離投影系統PS時在投影系統PS之下移動。In addition to the substrate support WT, the lithography apparatus LA may also comprise a measurement stage. The measurement stage is configured to hold sensors and/or cleaning devices. The sensors may be configured to measure properties of the projection system PS or of the radiation beam B. The measurement stage may hold a plurality of sensors. The cleaning device may be configured to clean parts of the lithography apparatus, for example parts of the projection system PS or parts of a system for providing an immersion liquid. The measurement stage may be moved under the projection system PS when the substrate support WT is away from the projection system PS.

在操作中,輻射射束B入射於被固持於遮罩支撐件T上之圖案化裝置(例如遮罩) MA上,且係藉由存在於圖案化裝置MA上之圖案(設計佈局)而圖案化。在已橫穿遮罩MA的情況下,輻射射束B穿過投影系統PS,該投影系統PS將該射束聚焦至基板W之目標部分C上。藉助於第二定位器PW及位置量測系統IF,可準確地移動該基板支撐件WT,例如以便使不同目標部分C在該輻射射束B之該路徑中定位於經聚焦且對準之位置處。類似地,第一定位器PM及可能另一位置感測器(其未在圖1中明確地描繪)可用以相對於輻射射束B之路徑來準確地定位圖案化裝置MA。可使用遮罩對準標記M1、M2及基板對準標記P1、P2來對準圖案化裝置MA及基板W。儘管如所繪示之基板對準標記P1、P2佔據專用目標部分,但其可位於目標部分之間的空間中。在基板對準標記P1、P2位於目標部分C之間時,此等基板對準標記稱為切割道對準標記。In operation, a radiation beam B is incident on a patterning device (e.g. a mask) MA held on a mask support T and is patterned by a pattern (design layout) present on the patterning device MA. Having traversed the mask MA, the radiation beam B passes through a projection system PS which focuses the beam onto a target portion C of the substrate W. With the aid of a second positioner PW and a position measurement system IF, the substrate support WT can be accurately moved, for example so that different target portions C are positioned at focused and aligned positions in the path of the radiation beam B. Similarly, a first positioner PM and possibly a further position sensor (which is not explicitly depicted in FIG. 1 ) can be used to accurately position the patterning device MA relative to the path of the radiation beam B. The mask alignment marks M1, M2 and substrate alignment marks P1, P2 may be used to align the patterning device MA and the substrate W. Although the substrate alignment marks P1, P2 as shown occupy dedicated target portions, they may be located in the space between target portions. When the substrate alignment marks P1, P2 are located between target portions C, they are referred to as scribe line alignment marks.

如圖2中所展示,微影設備LA可形成微影單元LC (有時亦被稱作微影單元(lithocell)或(微影)叢集)之部分,微影單元LC常常亦包括用以對基板W執行曝光前程序及曝光後程序之設備。習知地,此等設備包括用以沉積抗蝕劑層之旋塗器SC、用以顯影經曝光抗蝕劑之顯影器DE、例如用於調節基板W之溫度(例如用於調節抗蝕劑層中之溶劑)的冷卻板CH,及烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取基板W、在不同程序設備之間移動基板W且將基板W遞送至微影設備LA之裝載區LB。微影單元中常常亦統稱為自動化光阻塗佈及顯影系統之裝置可處於自動化光阻塗佈及顯影系統控制單元TCU之控制下,該自動化光阻塗佈及顯影系統控制單元自身可由監督控制系統SCS控制,該監督控制系統亦可例如經由微影控制單元LACU控制微影設備LA。As shown in FIG. 2 , the lithography apparatus LA may form part of a lithography cell LC (sometimes also referred to as a lithocell or a (lithography) cluster), which often also includes equipment for performing pre-exposure and post-exposure processes on a substrate W. As is known, these equipment include a spin coater SC for depositing a resist layer, a developer DE for developing the exposed resist, a cooling plate CH, for example for regulating the temperature of the substrate W (for example for regulating the solvent in the resist layer), and a baking plate BK. A substrate handler or robot RO picks up a substrate W from an input/output port I/O1, I/O2, moves the substrate W between the different process equipment and delivers the substrate W to a loading area LB of the lithography apparatus LA. The devices in the lithography unit, which are often collectively referred to as automated photoresist coating and development systems, may be under the control of an automated photoresist coating and development system control unit TCU. The automated photoresist coating and development system control unit itself may be controlled by a supervisory control system SCS, which may also control the lithography equipment LA, for example, via a lithography control unit LACU.

在微影程序中,需要頻繁地對所產生之結構進行量測,例如,用於程序控制及驗證。用以進行此量測之工具可被稱為度量衡工具MT。用於進行此類量測之不同類型的度量衡工具MT為吾人所知,包括掃描電子顯微鏡或各種形式之散射計度量衡工具MT。散射計為多功能儀器,其允許藉由在光瞳或與散射計之物鏡之光瞳共軛的平面中或附近具有感測器來量測微影程序之參數,量測通常稱為基於光瞳之量測,或藉由在影像平面或與影像平面共軛之平面中或附近具有感測器來量測微影程序之參數,在此情況下量測通常稱為基於影像或場之量測。以全文引用之方式併入本文中之專利申請案US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP1,628,164A中進一步描述了此類散射計及相關量測技術。前述散射計可使用來自硬X射線(HXR)、軟X射線(SXR)、極紫外光(EUV)、可見光至近紅外光(IR)及IR波長範圍之光來量測光柵。在輻射為硬X射線或軟X射線之情況下,該等前述散射計可視情況為一小角度X射線散射度量衡工具。In lithography processes it is frequently necessary to carry out measurements of the produced structures, e.g. for process control and verification. The tool used to carry out such measurements may be referred to as a metrology tool MT. Different types of metrology tools MT for carrying out such measurements are known, including scanning electron microscopes or various forms of scatterometer metrology tools MT. Scatterometers are versatile instruments which allow measuring parameters of the lithography process by having sensors in or near the pupil, or in a plane conjugated to the pupil of the objective of the scatterometer, the measurements being usually referred to as pupil-based measurements, or by having sensors in or near the image plane, or in a plane conjugated to the image plane, in which case the measurements are usually referred to as image- or field-based measurements. Such scatterometers and related measurement techniques are further described in patent applications US20100328655, US2011102753A1, US20120044470A, US20110249244, US20110026032 or EP1,628,164A, which are incorporated herein by reference in their entirety. The aforementioned scatterometers can use light from the hard X-ray (HXR), soft X-ray (SXR), extreme ultraviolet (EUV), visible to near infrared (IR) and IR wavelength ranges to measure gratings. In the case where the radiation is hard X-ray or soft X-ray, the aforementioned scatterometers can be a small angle X-ray scattering metrology tool as the case may be.

為了正確且一致地曝光由微影設備LA曝光之基板W,需要檢測基板以量測經圖案化結構之屬性,諸如後續層之間的疊對誤差、線的粗細、關鍵尺寸(CD)、結構之形狀等。出於此目的,可在微影單元LC中包括檢測工具及/或度量衡工具(圖中未示)。若偵測到誤差,則可對後續基板之曝光或對待對基板W執行之其他處理步驟進行例如調整,在同一批量或批次之其他基板W仍待曝光或處理之前進行檢測的情況下尤其如此。In order to correctly and consistently expose a substrate W exposed by the lithography apparatus LA, it is necessary to inspect the substrate to measure properties of the patterned structure, such as overlay errors between subsequent layers, line thickness, critical dimensions (CD), shape of the structure, etc. For this purpose, inspection tools and/or metrology tools (not shown) may be included in the lithography unit LC. If an error is detected, exposure of subsequent substrates or other processing steps to be performed on the substrate W may be adjusted, for example, especially if the inspection is performed before other substrates W of the same batch or lot are still to be exposed or processed.

亦可被稱作度量衡設備之檢驗設備用以判定基板W之屬性,且尤其係判定不同基板W之屬性如何變化或與同一基板W之不同層相關之屬性在層與層間如何變化。檢測設備可替代地經建構以識別基板W上之缺陷,且可例如為微影單元LC之一部分,或可整合至微影設備LA中,或可甚至為單機裝置。檢測設備可量測潛影(在曝光之後在抗蝕劑層中之影像)上之屬性,或半潛影(在曝光後烘烤步驟PEB之後在抗蝕劑層中之影像)上之屬性,或經顯影抗蝕劑影像(其中抗蝕劑之曝光部分或未曝光部分已被移除)上之屬性,或甚至為經蝕刻影像(在諸如蝕刻之圖案轉印步驟之後)上之屬性。The inspection apparatus, which may also be referred to as metrology apparatus, is used to determine properties of a substrate W and in particular to determine how properties vary between different substrates W or how properties relating to different layers of the same substrate W vary from layer to layer. The inspection apparatus may alternatively be constructed to identify defects on the substrate W and may, for example, be part of the lithography cell LC or may be integrated into the lithography apparatus LA or may even be a stand-alone device. The inspection equipment can measure properties on a latent image (an image in a resist layer after exposure), or on a semi-latent image (an image in a resist layer after a post-exposure bake step (PEB)), or on a developed resist image (where either the exposed or unexposed portions of the resist have been removed), or even on an etched image (after a pattern transfer step such as etching).

在第一實施例中,散射計MT係角度解析散射計。在此散射計中,重建構方法可應用於經量測信號以重建構或計算光柵之屬性。此重建構可例如由模擬散射輻射與目標結構之數學模型之相互作用且比較模擬結果與量測之結果引起。調整數學模型之參數直至經模擬相互作用產生類似於自真實目標觀測到之繞射圖案的繞射圖案為止。In a first embodiment, the scatterometer MT is an angle-resolving scatterometer. In such a scatterometer, reconstruction methods can be applied to the measured signal to reconstruct or calculate the properties of the grating. This reconstruction can, for example, result from simulating the interaction of the scattered radiation with a mathematical model of the target structure and comparing the simulated results with the measured results. The parameters of the mathematical model are adjusted until the simulated interaction produces a diffraction pattern that is similar to the diffraction pattern observed from a real target.

在第二實施例中,散射計MT係光譜散射計MT。在此光譜散射計MT中,由輻射源發射之輻射經引導至目標上且來自目標之反射、透射或散射輻射經引導至光譜儀偵測器,該光譜儀偵測器量測鏡面反射輻射之光譜(亦即隨波長而變之強度之量測)。根據此資料,可例如藉由嚴密耦合波分析及非線性回歸或藉由與經模擬光譜庫比較來重建構產生所偵測光譜的目標之結構或輪廓。In a second embodiment, the scatterometer MT is a spectroscopic scatterometer MT. In this spectroscopic scatterometer MT, radiation emitted by a radiation source is directed onto a target and reflected, transmitted or scattered radiation from the target is directed to a spectrometer detector which measures the spectrum of the spectroscopically reflected radiation (i.e. a measure of the intensity as a function of wavelength). From this data, the structure or profile of the target which gave rise to the detected spectrum can be reconstructed, for example by rigorous coupled wave analysis and nonlinear regression or by comparison with a library of simulated spectra.

在一第三實施例中,該散射計MT為一橢圓量測散射計。橢圓量測散射計允許藉由量測針對各偏振狀態之散射或透射輻射來判定微影程序之參數。此度量衡設備藉由在度量衡設備之照明區段中使用例如適當偏光濾光器來發射偏振光(諸如線性、環狀或橢圓)。適合於度量衡設備之源亦可提供偏振輻射。以全文引用之方式併入本文中之美國專利申請案11/451,599、11/708,678、12/256,780、12/486,449、12/920,968、12/922,587、13/000,229、13/033,135、13/533,110及13/891,410中描述現有橢圓量測散射計之各種實施例。In a third embodiment, the scatterometer MT is an elliptical metrology scatterometer. An elliptical metrology scatterometer allows to determine parameters of a lithography process by measuring the scattered or transmitted radiation for each polarization state. This metrology equipment emits polarized light (such as linear, annular or elliptical) by using, for example, appropriate polarization filters in the illumination section of the metrology equipment. A source suitable for the metrology equipment may also provide polarized radiation. Various embodiments of prior art elliptical measurement scatterometers are described in U.S. Patent Applications 11/451,599, 11/708,678, 12/256,780, 12/486,449, 12/920,968, 12/922,587, 13/000,229, 13/033,135, 13/533,110, and 13/891,410, which are incorporated herein by reference in their entirety.

在散射計MT之一個實施例中,散射計MT適於藉由量測反射光譜及/或偵測組態中之不對稱性(該不對稱性係與疊對之範圍有關)來量測兩個未對準光柵或週期性結構之疊對。可將兩個(可重疊)光柵結構施加於兩個不同層(未必為連續層)中,且該兩個光柵結構可形成為處於晶圓上實質上相同的位置。散射計可具有例如在共同擁有之專利申請案EP1,628,164A中所描述之對稱偵測組態,以使得任何不對稱性可清楚地區分。此提供用以量測光柵中之未對準之直接方式。可在全文係以引用方式併入本文中之PCT專利申請公開案第WO 2011/012624號或美國專利申請案US 20160161863中找到透過週期性結構之不對稱性量測含有週期性結構作為目標的兩個層之間的疊對誤差的其他實例。In one embodiment of the scatterometer MT, the scatterometer MT is adapted to measure the stacking of two misaligned gratings or periodic structures by measuring the reflected spectrum and/or detecting asymmetries in the configuration, which asymmetries are related to the extent of the stacking. The two (overlapping) grating structures may be applied in two different layers (not necessarily consecutive layers) and may be formed to be in substantially the same position on the wafer. The scatterometer may have a symmetric detection configuration such as described in the commonly owned patent application EP1,628,164A, so that any asymmetries are clearly distinguishable. This provides a direct way to measure misalignment in the gratings. Other examples of measuring the overlay error between two layers containing a periodic structure as a target through asymmetry of the periodic structure can be found in PCT Patent Application Publication No. WO 2011/012624 or U.S. Patent Application US 20160161863, which are incorporated herein by reference in their entirety.

其他所關注參數可為焦點及劑量。可藉由全文係以引用方式併入本文中之美國專利申請案US2011-0249244中所描述之散射量測(或替代地藉由掃描電子顯微法)同時判定焦點及劑量。可使用具有針對焦點能量矩陣(FEM--亦被稱作焦點曝光矩陣)中之各點之關鍵尺寸及側壁角量測之獨特組合的單一結構。若可得到關鍵尺寸及側壁角之此等獨特組合,則可根據此等量測獨特地判定焦點及劑量值。Other parameters of interest may be focus and dose. Focus and dose may be determined simultaneously by scatterometry as described in U.S. Patent Application US2011-0249244, which is incorporated herein by reference in its entirety (or alternatively by scanning electron microscopy). A single structure may be used that has a unique combination of critical dimension and sidewall angle measurements for each point in a focus energy matrix (FEM - also called a focus exposure matrix). If such unique combinations of critical dimensions and sidewall angles are available, focus and dose values may be uniquely determined based on these measurements.

度量衡目標可為藉由微影程序主要在抗蝕劑中形成且亦在其他製造程序(例如蝕刻程序)之後形成之複合光柵的集合。光柵中之結構之間距及線寬可在很大程度上取決於量測光學件(特定言之,光學件之NA)以能夠捕捉來自度量衡目標之繞射階。如較早所指示,繞射信號可用以判定兩個層之間的移位(亦被稱作「疊對」)或可用以重建構如藉由微影程序所產生的原始光柵之至少一部分。此重建構可用於提供微影程序之品質指導,且可用於控制微影程序之至少部分。目標可具有經組態以模仿目標中之設計佈局的功能性部分之尺寸的較小子分段。歸因於此子分段,目標將表現得更類似於設計佈局之功能性部分,使得總體程序參數量測更佳地類似於設計佈局之功能性部分。可在填充不足模式下或在填充過度模式下量測目標。在填充不足模式下,量測射束產生小於總體目標之光點。在填充過度模式下,量測射束產生大於總體目標之光點。在此填充過度模式中,亦有可能同時量測不同目標,因此同時判定不同處理參數。A metrology target can be a collection of composite gratings formed primarily in resist by a lithography process and also formed after other fabrication processes, such as an etching process. The pitch and linewidth of the structures in the grating can depend largely on the measurement optics (specifically, the NA of the optics) to be able to capture the diffraction order from the metrology target. As indicated earlier, the diffraction signal can be used to determine the shift between two layers (also known as "overlap") or can be used to reconstruct at least a portion of the original grating as produced by the lithography process. This reconstruction can be used to provide quality guidance for the lithography process and can be used to control at least a portion of the lithography process. The target can have smaller sub-segments configured to mimic the size of a functional portion of a design layout in the target. Due to this sub-segmentation, the target will behave more like a functional part of the design layout, making the overall process parameter measurement more similar to the functional part of the design layout. The target can be measured in the underfill mode or in the overfill mode. In the underfill mode, the measurement beam produces a spot that is smaller than the overall target. In the overfill mode, the measurement beam produces a spot that is larger than the overall target. In this overfill mode, it is also possible to measure different targets at the same time, and thus determine different processing parameters at the same time.

使用特定目標進行之微影參數之總體量測品質至少部分由用以量測此微影參數之量測配方判定。術語「基板量測配方」可包括量測自身之一或多個參數、經量測之一或多個圖案的一或多個參數,或兩者。舉例而言,若用於基板量測配方中之量測為基於繞射之光學量測,則量測之參數中之一或多者可包括輻射之波長、輻射之偏振、輻射相對於基板之入射角、輻射相對於基板上之圖案之定向等等。用以選擇量測配方之準則中之一者可例如為量測參數中之一者對於處理變化之敏感度。在以全文引用之方式併入本文中之美國專利申請案US2016-0161863及所公開的美國專利申請案US 2016/0370717A1中描述更多實例。The overall quality of a measurement of a lithography parameter performed using a particular target is determined at least in part by the measurement recipe used to measure the lithography parameter. The term "substrate measurement recipe" may include one or more parameters of the measurement itself, one or more parameters of one or more patterns being measured, or both. For example, if the measurement used in the substrate measurement recipe is a diffraction-based optical measurement, one or more of the measured parameters may include the wavelength of the radiation, the polarization of the radiation, the angle of incidence of the radiation relative to the substrate, the orientation of the radiation relative to the pattern on the substrate, and the like. One of the criteria used to select the measurement recipe may, for example, be the sensitivity of one of the measurement parameters to process variations. More examples are described in U.S. patent application US2016-0161863 and published U.S. patent application US 2016/0370717A1, which are incorporated herein by reference in their entirety.

微影設備LA中之圖案化程序可為在處理中之最具決定性步驟中的一者,其需要基板W上之結構之尺寸標定及置放之高準確度。為了確保此高準確度,可將三個系統組合於所謂的「整體」控制環境中,如圖3示意性地所描繪。此等系統中之一者係微影設備LA,其(實際上)連接至度量衡工具MT (第二系統)且連接至電腦系統CL (第三系統)。此「整體」環境之關鍵在於最佳化此等三個系統之間的協作以增強總體程序窗且提供嚴格控制環路,從而確保由微影設備LA執行之圖案化保持在程序窗內。程序窗界定程序參數(例如,劑量、焦點、疊對)之範圍,在該程序參數範圍內,特定製造程序產生所界定結果(例如,功能半導體裝置)-可在該程序參數範圍內,允許微影程序或圖案化程序中之程序參數變化。The patterning process in the lithography apparatus LA may be one of the most critical steps in the processing, requiring a high accuracy of the dimensioning and placement of the structures on the substrate W. In order to ensure this high accuracy, three systems may be combined in a so-called "holistic" control environment, as schematically depicted in FIG3 . One of these systems is the lithography apparatus LA, which is (actually) connected to a metrology tool MT (a second system) and to a computer system CL (a third system). The key to this "holistic" environment is to optimize the cooperation between these three systems to enhance the overall process window and to provide a tight control loop, thereby ensuring that the patterning performed by the lithography apparatus LA remains within the process window. A process window defines a range of process parameters (e.g., dose, focus, overlay) within which a particular fabrication process produces a defined result (e.g., a functional semiconductor device) - variations in process parameters in a lithography process or patterning process may be permitted within the process parameter range.

電腦系統CL可使用待圖案化之設計佈局(之一部分)以預測使用哪種解析度增強技術且執行計算微影模擬及計算以判定哪種遮罩佈局及微影設備設定達成圖案化程序之最大整個程序窗(由第一標度SC1中之雙箭頭在圖3中描繪)。解析度增強技術可經配置以匹配微影設備LA之圖案化可能性。電腦系統CL亦可用以偵測微影設備LA當前正在程序窗內何處操作(例如,使用來自度量衡工具MET之輸入)以預測歸因於例如次佳處理是否可存在缺陷(由第二標度SC2中之指向「0」之箭頭在圖3中描繪)。The computer system CL can use (a portion of) the design layout to be patterned to predict which resolution enhancement technique to use and perform computational lithography simulations and calculations to determine which mask layout and lithography equipment settings achieve the maximum overall process window for the patterning process (depicted in FIG. 3 by the double arrows in the first scale SC1). The resolution enhancement technique can be configured to match the patterning possibilities of the lithography equipment LA. The computer system CL can also be used to detect where within the process window the lithography equipment LA is currently operating (e.g., using input from a metrology tool MET) to predict whether defects may be present due to, for example, suboptimal processing (depicted in FIG. 3 by the arrow pointing to "0" in the second scale SC2).

度量衡工具MT可將輸入提供至電腦系統CL以實現準確模擬及預測,且可將回饋提供至微影設備LA以例如在微影設備LA之校準狀態中識別可能漂移(由第三標度SC3中之多個箭頭在圖3中描繪)。The metrology tool MT may provide input to the computer system CL to enable accurate simulations and predictions, and may provide feedback to the lithography apparatus LA to identify possible drifts in the calibration state of the lithography apparatus LA, for example (depicted in FIG. 3 by arrows in the third scale SC3).

可提供用於量測使用微影圖案化設備產生之結構之許多不同形式的度量衡工具MT。度量衡工具MT可使用電磁輻射來查詢結構。該輻射之屬性(例如,波長、頻寬、功率)可影響該工具之不同量測特性,其中較短波長通常允許增加之解析度。輻射波長對該度量衡工具可達成之解析度有影響。因此,為能夠運用具有小尺寸之特徵來量測結構,具有短波長輻射源之度量衡工具MT為較佳的。Many different forms of metrology tools MT are available for measuring structures produced using lithographic patterning equipment. The metrology tools MT can use electromagnetic radiation to interrogate structures. The properties of the radiation (e.g. wavelength, bandwidth, power) can affect different measurement characteristics of the tool, with shorter wavelengths generally allowing increased resolution. The wavelength of the radiation has an influence on the resolution that can be achieved by the metrology tool. Therefore, in order to be able to measure structures using features with small dimensions, metrology tools MT with short wavelength radiation sources are preferred.

輻射波長可影響量測特性之另一方式為穿透深度,及在輻射波長下待檢測之材料之透明度/不透明度。取決於不透明度及/或穿透深度,輻射可用於透射或反射之量測。量測之類型可影響是否獲得關於結構/基板之表面及/或塊體內部之資訊。因此,當選擇用於度量衡工具之輻射波長時,穿透深度及不透明度為待考量之另一元素。Another way that radiation wavelength can affect the measured properties is the penetration depth, and transparency/opacity of the material being inspected at the radiation wavelength. Depending on the opacity and/or penetration depth, radiation can be used for transmission or reflection measurements. The type of measurement can affect whether information is obtained about the surface and/or the interior of the bulk of the structure/substrate. Therefore, penetration depth and opacity are another factor to consider when selecting a radiation wavelength for a metrology tool.

為達成經微影圖案化之結構之量測的較高解析度,具有短波長之度量衡工具MT為較佳的。此可包括短於可見光波長之波長,例如,在該電磁光譜之UV、EUV及X射線部分中。諸如透射小角度X射線散射(TSAXS)之硬X射線方法利用高解析度及高穿透深度之硬X射線,且可因此在透射中操作。另一方面,軟X射線及EUV到目前為止並不穿透目標,而是可誘發待探測之材料中的豐富光學回應。此可歸因於許多半導體材料之光學屬性,且歸因於結構的大小與探測波長相當。因此,EUV及/或軟X射線度量衡工具MT可在反射中操作,例如藉由成像或藉由分析來自經微影圖案化之結構之繞射圖案。To achieve higher resolution for the measurement of lithographically patterned structures, metrology tools MT with short wavelengths are preferred. This may include wavelengths shorter than the wavelength of visible light, for example in the UV, EUV and X-ray parts of the electromagnetic spectrum. Hard X-ray methods such as transmission small angle X-ray scattering (TSAXS) exploit the high resolution and high penetration depth of hard X-rays and can therefore operate in transmission. On the other hand, soft X-rays and EUV do not penetrate the target so far, but can induce rich optical responses in the material to be probed. This can be attributed to the optical properties of many semiconductor materials and to the fact that the size of the structures is comparable to the probe wavelength. Thus, an EUV and/or soft X-ray metrology tool MT may operate in reflection, for example by imaging or by analyzing diffraction patterns from lithographically patterned structures.

對於硬X射線、軟X射線及EUV輻射,可由於在所需波長下不具有可用高輝度輻射源而限制高容量製造(HVM)應用中之應用。在硬X射線之情況下,工業應用中常用之源包括X射線管。包括進階X射線管(例如,基於液態金屬陽極或旋轉陽極)之X射線管可相對負擔得起且緊密,但可能缺乏HVM應用所需之輝度。當前存在諸如同步加速器光源(SLS)及X射線自由電子雷射(XFEL)之高輝度X射線源,但其大小(> 100 m)及高成本(多於1億歐元)使得其對於度量衡應用而言為過分大且昂貴。類似地,缺乏足夠明亮的EUV及軟X射線輻射源之可用性。For hard X-rays, soft X-rays, and EUV radiation, application in high volume manufacturing (HVM) applications can be limited by the unavailability of high-intensity radiation sources at the required wavelengths. In the case of hard X-rays, sources commonly used in industrial applications include X-ray tubes. X-ray tubes, including advanced X-ray tubes (e.g., based on liquid metal anodes or rotating anodes), can be relatively affordable and compact, but may lack the brightness required for HVM applications. Currently there are high-intensity X-ray sources such as synchrotron light sources (SLS) and X-ray free electron lasers (XFELs), but their size (>100 m) and high cost (more than 100 million Euros) make them too large and expensive for metrology applications. Similarly, there is a lack of availability of sufficiently bright EUV and soft X-ray radiation sources.

圖4中描繪度量衡設備之一個實例,諸如散射計。其可包含將輻射5投射至基板W上之寬頻帶(例如,白光)輻射投影儀2。反射或散射輻射10傳遞至光譜儀偵測器4,該光譜儀偵測器量測鏡面反射輻射之光譜6 (亦即依據波長λ而變化的強度I之量測)。根據此資料,可由處理單元PU例如藉由嚴密耦合波分析及非線性回歸或藉由與在圖4之底部處所展示的經模擬光譜庫之比較來重建構產生偵測到之光譜的結構或輪廓8。一般而言,對於重建構,結構之一般形式係已知的,且根據用於製造結構之程序之知識來假定一些參數,從而僅留下結構之幾個參數以待根據散射量測資料予以判定。此散射計可經組態為正入射散射計或斜入射散射計。An example of a metrology apparatus, such as a scatterometer, is depicted in Fig. 4. It may comprise a broadband (e.g. white light) radiation projector 2 which projects radiation 5 onto a substrate W. The reflected or scattered radiation 10 is transmitted to a spectrometer detector 4 which measures the spectrum 6 of the radiation reflected from the mirror (i.e. a measure of the intensity I as a function of the wavelength λ). From this data, the structure or profile 8 which produced the detected spectrum may be reconstructed by a processing unit PU, for example by rigorous coupled wave analysis and nonlinear regression or by comparison with a library of simulated spectra shown at the bottom of Fig. 4. Generally, for reconstruction, the general form of the structure is known and some parameters are assumed based on knowledge of the process used to make the structure, leaving only a few parameters of the structure to be determined from the scatterometry data. The scatterometer can be configured as either a normal-incidence scatterometer or an oblique-incidence scatterometer.

圖5中描繪度量衡設備之實例之透射版本,諸如圖4中所展示之散射計。透射輻射11傳遞至光譜儀偵測器4,該光譜儀偵測器量測如針對圖4所論述之光譜6。此散射計可經組態為正入射散射計或斜入射散射計。視情況,使用波長< 1 nm,視情況< 0.1 nm、視情況< 0.01 nm之硬X射線輻射之透射版本。A transmission version of an example of a metrology apparatus is depicted in FIG5, such as the scatterometer shown in FIG4. Transmitted radiation 11 is transmitted to a spectrometer detector 4, which measures spectrum 6 as discussed with respect to FIG4. This scatterometer can be configured as a normal incidence scatterometer or an oblique incidence scatterometer. Optionally, a transmission version of hard X-ray radiation is used with a wavelength < 1 nm, optionally < 0.1 nm, optionally < 0.01 nm.

作為對光學度量衡方法之替代方案,亦已考慮使用硬X射線、軟X射線或EUV輻射,例如具有以下波長範圍中之至少一者的輻射:< 0.01 nm、< 0.1 nm、< 1 nm、在0.01 nm與100 nm之間、在0.01 nm與50 nm之間、在1 nm與50 nm之間、在1 nm與20 nm之間、在5 nm與20 nm之間及在10 nm與20 nm之間。度量衡工具在上文所呈現之波長範圍中之一者中起作用的一個實例為透射小角度X射線散射(如US 2007224518A中之T-SAXS,該文獻之內容以全文引用之方式併入本文中)。Lemaillet等人在「Intercomparison between optical and X-ray scatterometry measurements of FinFET structures」(Proc. of SPIE,2013年,8681)中論述使用T-SAXS之輪廓(CD)量測。應注意,以全文引用之方式併入本文中的美國專利公開案第2019/003988A1號及美國專利公開案第2019/215940A1號中描述雷射產生電漿(LPP) x射線源之使用。在掠入射處使用X射線(GI-XRS)及極紫外光(EUV)輻射之反射量測技術可用於量測基板上之膜及層堆疊的屬性。在一般反射量測領域內,可應用測角及/或光譜技術。在測角中,可量測具有不同入射角之反射射束之變化。另一方面,光譜反射量測術量測在給定角度下反射之波長的光譜(使用寬頻帶輻射)。舉例而言,EUV反射量測術已在製造用於EUV微影中之倍縮光罩(圖案化裝置)之前用於遮罩基底之檢測。As an alternative to optical metrology methods, the use of hard X-rays, soft X-rays or EUV radiation, such as radiation having at least one of the following wavelength ranges: <0.01 nm, <0.1 nm, <1 nm, between 0.01 nm and 100 nm, between 0.01 nm and 50 nm, between 1 nm and 50 nm, between 1 nm and 20 nm, between 5 nm and 20 nm, and between 10 nm and 20 nm, has also been considered. An example of a metrology tool that functions in one of the wavelength ranges presented above is transmission small angle X-ray scattering (such as T-SAXS in US 2007224518A, the contents of which are incorporated herein by reference in their entirety). Lemaillet et al. discuss profile (CD) measurements using T-SAXS in "Intercomparison between optical and X-ray scatterometry measurements of FinFET structures" (Proc. of SPIE, 2013, 8681). It should be noted that the use of laser generated plasma (LPP) x-ray sources is described in U.S. Patent Publication No. 2019/003988A1 and U.S. Patent Publication No. 2019/215940A1, which are incorporated herein by reference in their entirety. Reflection measurement techniques using X-rays at grazing incidence (GI-XRS) and extreme ultraviolet (EUV) radiation can be used to measure the properties of films and layer stacks on substrates. Within the general field of reflection measurement, goniometric and/or spectroscopy techniques can be applied. In goniometric measurement, the variation of the reflected beam with different angles of incidence can be measured. Spectroscopic reflectometry, on the other hand, measures the spectrum of wavelengths reflected at a given angle (using broadband radiation). For example, EUV reflectometry has been used for inspection of mask substrates prior to manufacturing reticles (patterning devices) used in EUV lithography.

有可能的是,適用範圍使得在例如該硬X射線、軟X射線或EUV域中之波長之使用為不足夠的。已公開專利申請案US 20130304424A1及US2014019097A1 (Bakeman等人/KLA)描述混合度量衡技術,其中將使用x射線進行之量測及運用在120 nm與2000 nm之範圍內之波長的光學量測組合在一起以獲得諸如CD之參數之量測。藉由透過一或多個共同部分將x射線數學模型及光學數學模型耦合來獲得CD量測。所列舉美國專利申請案之內容以全文引用之方式併入本文中。It is possible that the scope of applicability is such that the use of wavelengths in, for example, the hard X-ray, soft X-ray, or EUV domains is insufficient. Published patent applications US 20130304424A1 and US2014019097A1 (Bakeman et al./KLA) describe hybrid metrology techniques in which measurements using x-rays and optical measurements using wavelengths in the range of 120 nm and 2000 nm are combined to obtain measurements of parameters such as CD. The CD measurement is obtained by coupling the x-ray mathematical model and the optical mathematical model through one or more common parts. The contents of the listed U.S. patent applications are incorporated herein by reference in their entirety.

圖6描繪其中該前述輻射可用於量測基板上之結構之參數的度量衡設備302之示意性表示。圖6中所呈現之度量衡設備302可適用於硬X射線、軟X射線及/或EUV域。Figure 6 depicts a schematic representation of a metrology apparatus 302 in which the aforementioned radiation may be used to measure parameters of structures on a substrate. The metrology apparatus 302 presented in Figure 6 may be applicable in the hard X-ray, soft X-ray and/or EUV domains.

圖6繪示包含視情況在掠入射中使用硬X射線、軟X射線及/或EUV輻射之光譜散射計的度量衡設備302之示意性實體配置,其純粹作為實例。檢測設備之替代形式可以角解析散射計之形式提供,該角解析散射計與在較長波長下操作之習知散射計類似可使用在正入射或近正入射下之輻射,且其亦可使用具有與平行於基板之方向所成角度大於1°或2°之方向的輻射。檢測設備之替代形式可以透射散射計之形式提供,圖5中之組態應用於該透射散射計。Figure 6 shows a schematic physical configuration of a metrology apparatus 302 comprising a spectroscopic scatterometer using hard X-rays, soft X-rays and/or EUV radiation at grazing incidence as appropriate, purely as an example. An alternative form of detection apparatus may be provided in the form of an angle-resolved scatterometer which, like conventional scatterometers operating at longer wavelengths, may use radiation at normal or near normal incidence, and which may also use radiation having a direction which makes an angle greater than 1° or 2° with respect to a direction parallel to the substrate. An alternative form of detection apparatus may be provided in the form of a transmission scatterometer, for which the configuration in Figure 5 applies.

檢測設備302包含輻射源或稱為照明源310、照明系統312、基板支撐件316、偵測系統318、398及度量衡處理單元(MPU) 320。The testing apparatus 302 includes a radiation source or illumination source 310 , an illumination system 312 , a substrate support 316 , a detection system 318 , 398 and a metrology processing unit (MPU) 320 .

此實例中之照明源310用於產生EUV、硬X射線或軟X射線輻射。照明源310可基於如圖6中所展示之高階諧波產生(HHG)技術,且其亦可為其他類型之照明源,例如液體金屬射流源、逆康普頓散射(ICS)源、電漿通道源、磁性波盪器源、自由電子雷射(FEL)源、緊密儲存環源、放電產生電漿源、軟X射線雷射源、旋轉陽極源、固體陽極源、粒子加速器源、微焦源或雷射產生電漿源。The illumination source 310 in this example is used to generate EUV, hard X-ray or soft X-ray radiation. The illumination source 310 may be based on the high order harmonic generation (HHG) technology as shown in FIG6 , and it may also be other types of illumination sources, such as a liquid metal jet source, an inverse Compton scattering (ICS) source, a plasma channel source, a magnetic undulator source, a free electron laser (FEL) source, a compact storage ring source, a discharge generated plasma source, a soft X-ray laser source, a rotating anode source, a solid anode source, a particle accelerator source, a microfocus source or a laser generated plasma source.

該HHG源可為氣體射流/噴嘴源、毛細管/光纖源或氣胞源。The HHG source can be a gas jet/nozzle source, a capillary/fiber source, or an air cell source.

對於HHG源之實例,如圖6中所展示,輻射源之主要組件為可操作以發射泵輻射之泵輻射源330以及氣體遞送系統332。視情況,泵輻射源330為雷射,視情況,泵輻射源330為脈衝式高功率紅外光或光學雷射。泵輻射源330可例如為具有光學放大器之基於光纖之雷射,從而產生每脈衝可持續例如小於1奈秒(1 ns)的紅外光輻射之脈衝,其中脈衝重複率視需要達至若干兆赫茲。紅外光輻射之波長可在200 nm至10 μm之範圍內,例如在1微米(1 μm)的區中。視情況,將雷射脈衝作為第一泵輻射340遞送至氣體遞送系統332,其中在氣體中將輻射之一部分轉換為比第一輻射更高的頻率而成為發射輻射342。氣體供應件334將合適氣體供應至氣體遞送系統332,其中該合適氣體視情況由電源336離子化。氣體遞送系統332可為切割管。For an example of a HHG source, as shown in FIG6 , the main components of the radiation source are a pump radiation source 330 operable to emit pump radiation and a gas delivery system 332. Optionally, the pump radiation source 330 is a laser, and optionally, the pump radiation source 330 is a pulsed high power infrared or optical laser. The pump radiation source 330 may be, for example, a fiber-optic based laser with an optical amplifier, thereby generating pulses of infrared radiation that may last, for example, less than 1 nanosecond (1 ns) per pulse, with a pulse repetition rate of up to several megahertz as desired. The wavelength of the infrared radiation may be in the range of 200 nm to 10 μm, for example in the region of 1 micrometer (1 μm). Optionally, the laser pulse is delivered as first pump radiation 340 to a gas delivery system 332, where a portion of the radiation is converted in the gas to a higher frequency than the first radiation as emission radiation 342. A gas supply 334 supplies a suitable gas to the gas delivery system 332, where the suitable gas is optionally ionized by a power source 336. The gas delivery system 332 may be a cutting tube.

由氣體遞送系統332提供之氣體界定氣體目標,其可為氣體流或靜態體積。氣體可為例如空氣、氖氣(Ne)、氦氣(He)、氮氣(N 2)、氧氣(O 2)、氬氣(Ar)、氪氣(Kr)、氙氣(Xe)、二氧化碳及其組合。此等氣體可為同一設備內可選擇的選項。該發射輻射可含有多個波長。若發射輻射為單色的,則可簡化量測計算(例如重建構),但較易於產生具有若干波長之輻射。該發射輻射之發射發散角可為與波長相關。不同波長將例如在使不同材料之結構成像時提供不同等級之對比度。舉例而言,為了檢測金屬結構或矽結構,可將不同波長選擇為用於成像(碳基)抗蝕劑之特徵或用於偵測此等不同材料之污染的波長。可提供一或多個濾光裝置344。舉例而言,諸如鋁(Al)或鋯(Zr)薄隔膜之濾光器可用以切斷基諧IR輻射以免進一步傳遞至檢測設備中。可提供光柵(圖中未示)以自產生之波長當中選擇一或多個特定波長。視情況,照明源包含經組態以待抽空之空間,且該氣體遞送系統經組態以在該空間中提供氣體目標。視情況,可在真空環境內含有該射束路徑中之一些或全部,應記住,SXR及/或EUV輻射當在空氣中行進時經吸收。輻射源310及照明光學件312之各種組件可為可調整的以在同一設備內實施不同度量衡「配方」。舉例而言,可使不同波長及/或偏振為可選擇的。 The gas provided by the gas delivery system 332 defines the gas target, which can be a gas stream or a static volume. The gas can be, for example, air, neon (Ne), helium (He), nitrogen (N 2 ), oxygen (O 2 ), argon (Ar), krypton (Kr), xenon (Xe), carbon dioxide, and combinations thereof. Such gases can be selectable options within the same device. The emitted radiation can contain multiple wavelengths. If the emitted radiation is monochromatic, measurement calculations (e.g., reconstruction) can be simplified, but it is easier to produce radiation with several wavelengths. The emission divergence angle of the emitted radiation can be wavelength dependent. Different wavelengths will provide different levels of contrast, for example when imaging structures of different materials. For example, to detect metal structures or silicon structures, different wavelengths may be selected as wavelengths for imaging features of (carbon-based) resists or for detecting contamination of these different materials. One or more filter devices 344 may be provided. For example, filters such as thin membranes of aluminum (Al) or zirconium (Zr) may be used to cut off fundamental IR radiation from further transmission into the detection equipment. A grating (not shown) may be provided to select one or more specific wavelengths from the wavelengths generated. Optionally, the illumination source includes a space configured to be evacuated, and the gas delivery system is configured to provide a gas target in the space. As appropriate, some or all of the beam path may be contained within a vacuum environment, keeping in mind that SXR and/or EUV radiation is absorbed when traveling in air. The various components of the radiation source 310 and illumination optics 312 may be adjustable to implement different metrology "recipes" within the same apparatus. For example, different wavelengths and/or polarizations may be made selectable.

取決於在檢測中之結構之材料,不同波長可提供至下部層中之所要程度之穿透。為了解析最小裝置特徵以及最小裝置特徵當中之缺陷,短波長很可能為較佳的。舉例而言,可選擇介於0.01至20 nm之範圍內或視情況介於1至10 nm之範圍內或視情況介於10至20 nm之範圍內的一或多個波長。短於5 nm之波長可在自半導體製造中之所關注材料反射時遭受極低臨界角。因此,選擇大於5 nm之波長可在較高入射角處提供較強信號。另一方面,若檢測任務係用於偵測某一材料之存在例如以偵測污染,則高達50 nm之波長可為有用的。Depending on the material of the structure under inspection, different wavelengths may provide the desired degree of penetration into underlying layers. In order to resolve the smallest device features and defects within the smallest device features, short wavelengths are likely to be preferred. For example, one or more wavelengths may be selected in the range of 0.01 to 20 nm, or optionally in the range of 1 to 10 nm, or optionally in the range of 10 to 20 nm. Wavelengths shorter than 5 nm may suffer from extremely low critical angles when reflected from materials of interest in semiconductor manufacturing. Therefore, selecting wavelengths greater than 5 nm may provide stronger signals at higher angles of incidence. On the other hand, if the inspection task is to detect the presence of a certain material, for example to detect contamination, wavelengths up to 50 nm may be useful.

經濾光射束342可自輻射源310進入檢測腔室350,在該檢測腔室中,包括所關注結構之基板W由基板支撐件316固持以用於在量測位置處檢測。所關注結構經標記為T。視情況,檢測腔室350內之氛圍可由真空泵352維持為接近真空,使得SXR及/或EUV輻射可在無不當衰減的情況下穿過該氛圍。照明系統312具有將輻射聚焦至聚焦射束356中之功能,且可包含例如二維曲面鏡面或一系列一維曲面鏡面,如上文所提及的已公開美國專利申請案US2017/0184981A1 (其內容以全文引用之方式併入本文中)中所描述。執行聚焦以在投射至所關注結構上時達成直徑低於10 μm之圓形或橢圓形光點S。基板支撐件316包含例如X-Y平移載物台及旋轉載物台,藉由X-Y平移載物台及旋轉載物台,可使該基板W之任何部分在所要定向上到達射束之焦點。因此,輻射光點S形成於所關注結構上。替代地或另外,基板支撐件316包含例如傾斜載物台,其可使基板W以某一角度傾斜來控制所關注結構T上之經聚焦射束的入射角。From the radiation source 310, the filtered light beam 342 may enter a detection chamber 350 in which a substrate W including a structure of interest is held by a substrate support 316 for detection at a measurement location. The structure of interest is labeled T. Optionally, the atmosphere within the detection chamber 350 may be maintained as a near vacuum by a vacuum pump 352 so that SXR and/or EUV radiation may pass through the atmosphere without undue attenuation. The illumination system 312 has the function of focusing the radiation into a focused beam 356 and may include, for example, a two-dimensional curved mirror or a series of one-dimensional curved mirrors, as described in the above-mentioned published U.S. patent application US2017/0184981A1 (the contents of which are incorporated herein by reference in their entirety). Focusing is performed to achieve a circular or elliptical spot S with a diameter of less than 10 μm when projected onto the structure of interest. The substrate support 316 includes, for example, an X-Y translation stage and a rotation stage, by which any part of the substrate W can be brought to the focus of the beam in a desired orientation. Thus, the radiation spot S is formed on the structure of interest. Alternatively or additionally, the substrate support 316 includes, for example, a tilt stage, which can tilt the substrate W at a certain angle to control the incident angle of the focused beam on the structure of interest T.

視情況,照明系統312將參考輻射射束提供至參考偵測器314,該參考偵測器可經組態以量測經濾光射束342中之不同波長之光譜及/或強度。參考偵測器314可經組態以產生被提供至處理器320之信號315,且濾光器可包含關於經濾光射束342之光譜及/或經濾光射束中之不同波長之強度的資訊。Optionally, illumination system 312 provides a reference radiation beam to a reference detector 314, which may be configured to measure the spectrum and/or intensity of different wavelengths in filtered light beam 342. Reference detector 314 may be configured to generate a signal 315 that is provided to processor 320, and the filter may include information about the spectrum of filtered light beam 342 and/or the intensity of different wavelengths in the filtered light beam.

反射輻射360係由偵測器318捕捉且光譜被提供至處理器320以用於計算目標結構T之屬性。照明系統312及偵測系統318因此形成檢測設備。此檢測設備可包含屬於內容以全文引用方式併入本文中之US2016282282A1中所描述之種類的硬X射線、軟X射線及/或EUV光譜反射計。Reflected radiation 360 is captured by detector 318 and the spectrum is provided to processor 320 for use in calculating properties of target structure T. Illumination system 312 and detection system 318 thus form a detection apparatus. This detection apparatus may include a hard X-ray, soft X-ray and/or EUV spectroscopic reflectometer of the type described in US2016282282A1, the contents of which are incorporated herein by reference in their entirety.

若該目標Ta具有某一週期性,則經聚焦射束356之輻射亦可經部分地繞射。繞射輻射397相對於入射角接著相對於反射輻射360以明確界定角度沿著另一路徑。在圖6中,經吸取繞射輻射397以示意性方式被吸取,且繞射輻射397可遵循除經吸取路徑以外的許多其他路徑。檢測設備302亦可包含偵測及/或成像繞射輻射397之至少一部分之另一偵測系統398。在圖6中,繪製了單一另外偵測系統398,但檢測設備302之實施例亦可包含多於一個另外偵測系統398,該偵測系統經配置於不同位置處以在複數個繞射方向上偵測繞射輻射397及/或對繞射輻射397進行成像。換言之,照射於該目標Ta上之經聚焦輻射射束的(較高)繞射階由一或多個另外偵測系統398偵測及/或成像。該一或多個偵測系統398產生經提供至度量衡處理器320之信號399。信號399可包括繞射光397之資訊及/或可包括自繞射光397獲得之影像。If the target Ta has a certain periodicity, the radiation of the focused beam 356 may also be partially diffracted. The diffracted radiation 397 follows another path at a well-defined angle relative to the incident angle and then relative to the reflected radiation 360. In Figure 6, the absorbed diffracted radiation 397 is absorbed in a schematic manner, and the diffracted radiation 397 can follow many other paths besides the absorbed path. The detection device 302 may also include another detection system 398 that detects and/or images at least a portion of the diffracted radiation 397. In Fig. 6, a single further detection system 398 is depicted, but embodiments of the detection device 302 may also include more than one further detection system 398, which are arranged at different positions to detect the diffracted radiation 397 in a plurality of diffraction directions and/or to image the diffracted radiation 397. In other words, (higher) diffraction orders of the focused radiation beam impinging on the target Ta are detected and/or imaged by one or more further detection systems 398. The one or more detection systems 398 generate a signal 399 which is provided to the metrology processor 320. The signal 399 may include information of the diffracted light 397 and/or may include an image obtained from the diffracted light 397.

為輔助光點S與所要產品結構之對準及聚焦,檢測設備302亦可提供在度量衡處理器320之控制下使用輔助輻射之輔助光學件。度量衡處理器320亦可與位置控制器372通信,該位置控制器操作平移載物台、旋轉及/或傾斜載物台。處理器320經由感測器接收關於基板之位置及定向的高度準確的回饋。感測器374可包括例如干涉計,其可給出大約數皮米之準確度。在檢測設備302之操作中,將由偵測系統318捕捉之光譜資料382遞送至度量衡處理單元320。To assist in aligning and focusing the light spot S with the desired product structure, the inspection apparatus 302 may also provide auxiliary optics using auxiliary radiation under the control of a metrology processor 320. The metrology processor 320 may also communicate with a position controller 372 which operates a translation stage, a rotation and/or a tilt stage. The processor 320 receives highly accurate feedback about the position and orientation of the substrate via sensors. The sensor 374 may include, for example, an interferometer which may give an accuracy of approximately a few picometers. In operation of the inspection apparatus 302, spectral data 382 captured by the detection system 318 is delivered to the metrology processing unit 320.

如所提及,檢測設備之替代形式使用視情況在正入射或近正入射下之硬X射線、軟X射線及/或EUV輻射,例如以執行基於繞射之不對稱性量測。檢測設備之另一替代形式使用具有與平行於基板之方向所成角度大於1°或2°的方向之硬X射線、軟X射線及/或EUV輻射。兩種類型之檢測設備皆可經提供在混合度量衡系統中。待量測之效能參數可包括疊對(OVL)、關鍵尺寸(CD)、當微影設備印刷目標結構時微影設備之焦點、相干繞射成像(CDI)及依解析度疊對(ARO)度量衡。硬X射線、軟X射線及/或EUV輻射可例如具有小於100 nm之波長,例如使用介於1至50 nm、1至30 nm、5至30 nm之範圍內,或視情況介於10 nm至20 nm之範圍內的輻射。該輻射在特性上可係窄頻帶或寬頻帶。該輻射可在特定波長帶中具有離散峰值或可具有更連續的特性。As mentioned, an alternative form of inspection equipment uses hard X-rays, soft X-rays and/or EUV radiation at normal incidence or near normal incidence, as appropriate, for example to perform diffraction-based asymmetry measurements. Another alternative form of inspection equipment uses hard X-rays, soft X-rays and/or EUV radiation having a direction that is at an angle greater than 1° or 2° from a direction parallel to the substrate. Both types of inspection equipment can be provided in a hybrid metrology system. Performance parameters to be measured may include overlay (OVL), critical dimension (CD), focus of the lithography equipment when the lithography equipment is printing a target structure, coherent diffraction imaging (CDI), and resolution-based overlay (ARO) metrology. Hard X-ray, soft X-ray and/or EUV radiation may, for example, have a wavelength less than 100 nm, for example using radiation in the range of 1 to 50 nm, 1 to 30 nm, 5 to 30 nm, or, as appropriate, in the range of 10 nm to 20 nm. The radiation may be narrowband or broadband in character. The radiation may have discrete peaks in a particular wavelength band or may have a more continuous character.

類似於用於當今生產設施中之光學散射計,檢測設備302可用以量測在微影單元內處理之抗蝕劑材料內之結構(顯影後檢測或ADI),及/或用以在結構已以較硬材料形成之後量測該等結構(蝕刻後檢測或AEI)。舉例而言,在基板已由顯影設備、蝕刻設備、退火設備及/或其他設備處理之後,可使用檢測設備302來檢測基板。Similar to optical scatterometers used in current production facilities, the inspection apparatus 302 can be used to measure structures in resist materials processed in a lithography cell (post-development inspection or ADI) and/or to measure structures after they have been formed in harder materials (post-etch inspection or AEI). For example, the inspection apparatus 302 can be used to inspect a substrate after it has been processed by a developer, etcher, annealer, and/or other apparatus.

包括但不限於上文所提及之散射計之度量衡工具MT,可使用來自輻射源之輻射以執行量測。由度量衡工具MT使用之輻射可為電磁輻射。輻射可為光輻射,例如電磁光譜之紅外光部分、可見光部分及/或紫外光部分中的輻射。度量衡工具MT可使用輻射以量測或檢測基板之屬性及態樣,例如半導體基板上之經微影曝光圖案。該量測之類型及品質可取決於由度量衡工具MT使用之輻射的若干屬性。舉例而言,電磁量測之解析度可取決於輻射之波長,其中較小波長能夠例如歸因於繞射限制而量測較小特徵。為了量測具有小尺寸之特徵,可較佳使用具有短波長之輻射,例如EUV、硬X射線(HXR)及/或軟X射線(SXR)輻射,以執行量測。為了在特定波長或波長範圍下執行度量衡,度量衡工具MT需要存取提供在彼/彼等波長下之輻射的源。存在用於提供不同波長之輻射之不同類型的源。取決於由源提供之波長,可使用不同類型之輻射產生方法。對於極紫外光(EUV)輻射(例如,1 nm至100 nm)及/或軟X射線(SXR)輻射(例如,0.1 nm至20 nm、1 nm至20 nm或10 nm至20 nm),源可使用高階諧波產生(HHG)或上文所提及的任何其他類型之源來獲得所要波長之輻射。The metrology tool MT, including but not limited to the scatterometer mentioned above, can use radiation from a radiation source to perform measurements. The radiation used by the metrology tool MT may be electromagnetic radiation. The radiation may be optical radiation, such as radiation in the infrared, visible and/or ultraviolet part of the electromagnetic spectrum. The metrology tool MT can use radiation to measure or detect properties and states of a substrate, such as a lithographically exposed pattern on a semiconductor substrate. The type and quality of the measurement may depend on certain properties of the radiation used by the metrology tool MT. For example, the resolution of the electromagnetic measurement may depend on the wavelength of the radiation, wherein smaller wavelengths enable smaller features to be measured, for example due to diffraction limitations. In order to measure features with small dimensions, it may be preferable to use radiation with a short wavelength, such as EUV, hard X-ray (HXR) and/or soft X-ray (SXR) radiation, to perform the measurement. In order to perform metrology at a specific wavelength or wavelength range, the metrology tool MT needs access to a source providing radiation at that/those wavelengths. There are different types of sources for providing radiation of different wavelengths. Depending on the wavelength provided by the source, different types of radiation generation methods may be used. For extreme ultraviolet (EUV) radiation (e.g., 1 nm to 100 nm) and/or soft x-ray (SXR) radiation (e.g., 0.1 nm to 20 nm, 1 nm to 20 nm, or 10 nm to 20 nm), the source may use high-order harmonic generation (HHG) or any other type of source mentioned above to obtain radiation of the desired wavelength.

圖7展示照明源310之實施例600的簡化示意圖,該照明源可為用於高階諧波產生(HHG)之照明源。關於圖6所描述之度量衡工具中之照明源之特徵中的一或多者亦可在適當時存在於照明源600中。照明源600包含腔室601且經組態以接收具有由箭頭指示之傳播方向的泵輻射611。此處展示之泵輻射611為來自泵輻射源330之泵輻射340的實例,如圖6中所展示。泵輻射611可通過輻射輸入605引導至腔室601中,該輻射輸入可為視情況由熔融矽石或可相當材料製成之檢視區。泵輻射611可具有高斯或中空(例如環形)橫向橫截面輪廓且可入射(視情況聚焦)於腔室601內之氣流615上,該氣流具有由第二箭頭指示之流動方向。氣流615包含氣體壓力高於某值之特定氣體(例如,空氣、氖氣(Ne)、氦氣(He)、氮氣(N 2)、氧氣(O 2)、氬氣(Ar)、氪氣(Kr)、氙氣(Xe)、二氧化碳及其組合)的小體積,該小體積稱為氣體體積或氣體目標(例如,幾立方mm)。該氣流615可為穩定流。亦可使用諸如金屬電漿(例如,鋁電漿)之其他介質。 FIG7 shows a simplified schematic diagram of an embodiment 600 of an illumination source 310, which may be an illumination source for high order harmonic generation (HHG). One or more of the features of the illumination source in the metrology tool described with respect to FIG6 may also be present in illumination source 600, as appropriate. Illumination source 600 includes chamber 601 and is configured to receive pump radiation 611 having a propagation direction indicated by an arrow. The pump radiation 611 shown here is an example of pump radiation 340 from pump radiation source 330, as shown in FIG6. Pump radiation 611 may be directed into chamber 601 via radiation input 605, which may be a viewing region optionally made of molten silica or a comparable material. The pump radiation 611 may have a Gaussian or hollow (e.g., annular) transverse cross-sectional profile and may be incident (focused as appropriate) on a gas stream 615 within the chamber 601, the gas stream having a flow direction indicated by a second arrow. The gas stream 615 comprises a small volume of a specific gas (e.g., air, neon (Ne), helium (He), nitrogen (N 2 ), oxygen (O 2 ), argon (Ar), krypton (Kr), xenon (Xe), carbon dioxide, and combinations thereof) with a gas pressure above a certain value, the small volume being referred to as a gas volume or gas target (e.g., a few cubic mm). The gas stream 615 may be a steady flow. Other media such as metal plasma (e.g., aluminum plasma) may also be used.

照明源600之氣體遞送系統經組態以提供氣流615。照明源600經組態以在氣流615中提供泵輻射611以驅動發射輻射613之產生。其中產生發射輻射613之至少一大部分的區被稱為相互作用區。該相互作用區可自幾十微米(用於緊密聚焦泵輻射)變化至幾毫米或公分(用於適度聚焦泵輻射)或甚至高達幾公尺(用於極其鬆散聚焦泵輻射)。該氣體遞送系統經組態以提供氣體目標以用於在氣體目標之相互作用區處產生發射輻射,且視情況,該照明源經組態以接收泵輻射並在相互作用區處提供泵輻射。視情況,氣流615係由氣體遞送系統提供至抽空或幾乎抽空之空間中。氣體遞送系統可包含氣體噴嘴609,如圖6中所展示,該氣體噴嘴包含在該氣體噴嘴609之出射平面中之開口617。氣流615係自開口617提供。氣體捕獲器用於藉由提取殘餘氣流且在腔室601內部維持真空或接近真空大氣而將氣流615限制在某一體積中。視情況,氣體噴嘴609可由厚壁管及/或高導熱材料製成以避免歸因於高功率泵輻射611之熱變形。The gas delivery system of the illumination source 600 is configured to provide a gas stream 615. The illumination source 600 is configured to provide pump radiation 611 in the gas stream 615 to drive the generation of emission radiation 613. The region in which at least a large portion of the emission radiation 613 is generated is referred to as the interaction region. The interaction region can vary from tens of microns (for tightly focused pump radiation) to a few millimeters or centimeters (for moderately focused pump radiation) or even up to a few meters (for extremely loosely focused pump radiation). The gas delivery system is configured to provide a gas target for generating emission radiation at the interaction region of the gas target, and, optionally, the illumination source is configured to receive pump radiation and provide pump radiation at the interaction region. Optionally, a gas flow 615 is provided by a gas delivery system into the evacuated or nearly evacuated space. The gas delivery system may include a gas nozzle 609, as shown in FIG6 , which includes an opening 617 in the exit plane of the gas nozzle 609. The gas flow 615 is provided from the opening 617. A gas trap is used to confine the gas flow 615 to a certain volume by extracting the residual gas flow and maintaining a vacuum or near-vacuum atmosphere inside the chamber 601. Optionally, the gas nozzle 609 may be made of thick-walled tubing and/or highly thermally conductive materials to avoid thermal deformation due to high-power pump radiation 611.

氣體噴嘴609之尺寸可想像地亦可用於範圍介於微米大小噴嘴至公尺大小噴嘴的按比例增大或按比例縮小之版本中。此廣泛範圍之尺寸標定來自如下事實:可按比例調整設置,使得氣流處之泵輻射之強度最終處於可對發射輻射有益的特定範圍內,此需要針對可為脈衝雷射之不同泵輻射能量之不同尺寸標定,且脈衝能量可在數十微焦耳至數焦耳之間變化。視情況,氣體噴嘴609具有較厚壁以減少由可由例如攝影機所偵測之熱膨脹效應引起的噴嘴變形。具有較厚壁之氣體噴嘴可產生變化減少之穩定氣體體積。視情況,照明源包含接近於氣體噴嘴之氣體捕獲器以維持腔室601之壓力。The dimensions of the gas nozzle 609 can conceivably also be used in scaled-up or scaled-down versions ranging from micrometer-sized nozzles to meter-sized nozzles. This wide range of sizing results from the fact that the settings can be scaled so that the intensity of the pump radiation at the gas stream is ultimately within a specific range that can be beneficial for emitting radiation, which requires different sizing for different pump radiation energies that can be pulsed lasers, and the pulse energies can vary from tens of microjoules to several joules. Optionally, the gas nozzle 609 has thicker walls to reduce nozzle deformation caused by thermal expansion effects that can be detected by, for example, a camera. A gas nozzle with thicker walls can produce a stable gas volume with reduced variation. Optionally, the illumination source includes a gas trap close to the gas nozzle to maintain the pressure of the chamber 601.

歸因於泵輻射611與氣流615之氣體原子的相互作用,氣流615將使泵輻射611之部分轉換成發射輻射613,該發射輻射可為圖6中所展示之發射輻射342的實例。發射輻射613之中心軸線可與入射泵輻射611之中心軸線共線。發射輻射613可具有在下文被稱作SXR輻射之X射線或EUV範圍內之波長,其中該波長為在0.01 nm至100 nm、視情況0.1 nm至100 nm、視情況1 nm至100 nm、視情況1 nm至50 nm或視情況10 nm至20 nm之範圍內。Due to the interaction of pump radiation 611 with gas atoms of gas stream 615, gas stream 615 will convert part of pump radiation 611 into emission radiation 613, which can be an example of emission radiation 342 shown in Figure 6. The central axis of emission radiation 613 can be collinear with the central axis of incident pump radiation 611. Emission radiation 613 can have a wavelength in the X-ray or EUV range, hereinafter referred to as SXR radiation, wherein the wavelength is in the range of 0.01 nm to 100 nm, optionally 0.1 nm to 100 nm, optionally 1 nm to 100 nm, optionally 1 nm to 50 nm, or optionally 10 nm to 20 nm.

在操作中,發射輻射613射束可穿過輻射輸出607,且可隨後由照明系統603操控及引導至待檢測以用於度量衡量測之基板,該照明系統可為圖6中之照明系統312的實例。發射輻射613可經導引(視情況聚焦)至基板上之結構。In operation, a beam of emitted radiation 613 may pass through radiation output 607 and may then be manipulated and directed to a substrate to be inspected for metrology measurement by illumination system 603, which may be an example of illumination system 312 in Figure 6. Emitted radiation 613 may be directed (optionally focused) to structures on the substrate.

因為空氣(及實際上任何氣體)很大程度上吸收SXR或EUV輻射,所以氣流615與待檢測晶圓之間的體積可經抽空或幾乎抽空。由於發射輻射613之中心軸線可與入射泵輻射611之中心軸線共線,因此泵輻射611可需要被阻擋以防止其穿過輻射輸出607及進入照明系統603。此可藉由將圖6中所展示之濾光裝置344併入至輻射輸出607中而進行,該輻射輸出置放於發射射束路徑中且對於泵輻射不透明或幾乎不透明(例如,對紅外光或可見光不透明或幾乎不透明)但對發射輻射射束至少部分透明。可使用在多個層中組合之鋯或多種材料來製造濾光器。當泵輻射611具有中空(視情況環形)橫向橫截面輪廓時,該濾光器可為中空(視情況環形)區塊。視情況,濾光器不垂直且不平行於發射輻射射束之傳播方向,以具有高效泵輻射濾光。視情況,濾光裝置344包含中空區塊及諸如鋁(Al)或鋯(Zr)隔膜濾光器之薄隔膜濾光器。視情況,濾光裝置344亦可包含有效反射發射輻射但不良反射泵輻射之鏡面,或包含有效透射發射輻射但不良透射泵輻射之金屬絲網。Because air (and indeed any gas) absorbs SXR or EUV radiation to a great extent, the volume between the gas stream 615 and the wafer to be inspected may be evacuated or nearly evacuated. Since the central axis of the emission radiation 613 may be collinear with the central axis of the incident pump radiation 611, the pump radiation 611 may need to be blocked to prevent it from passing through the radiation output 607 and entering the illumination system 603. This may be done by incorporating the filter device 344 shown in FIG. 6 into the radiation output 607, which is placed in the emission beam path and is opaque or nearly opaque to the pump radiation (e.g., opaque or nearly opaque to infrared or visible light) but at least partially transparent to the emission radiation beam. The filter may be made of zirconium or multiple materials combined in multiple layers. When the pump radiation 611 has a hollow (optionally annular) transverse cross-sectional profile, the filter may be a hollow (optionally annular) block. Optionally, the filter is not perpendicular and not parallel to the propagation direction of the emission radiation beam to have efficient pump radiation filtering. Optionally, the filter device 344 includes a hollow block and a thin membrane filter such as an aluminum (Al) or zirconium (Zr) membrane filter. Optionally, the filter device 344 may also include a mirror that effectively reflects the emitted radiation but poorly reflects the pump radiation, or a metal mesh that effectively transmits the emitted radiation but poorly transmits the pump radiation.

本文中描述用以獲得視情況在泵輻射之高階諧波頻率下之發射輻射的方法、設備及總成。透過該程序(視情況使用非線性效應以產生視情況在所提供泵輻射之諧波頻率下之輻射的HHG)產生之輻射可作為輻射提供於度量衡工具MT中以用於基板之檢測及/或量測。若泵輻射包含短脈衝(亦即,少數循環),則所產生輻射不必準確在泵輻射頻率之諧波處。基板可為經微影圖案化之基板。透過程序獲得的輻射亦可經提供於微影設備LA及/或微影單元LC中。該泵輻射可為脈衝式輻射,其可在短時間突發內提供高峰值強度。Methods, apparatus and assemblies are described herein for obtaining emitted radiation, optionally at a high-order harmonic frequency of a pump radiation. The radiation generated by the procedure (optionally using nonlinear effects to produce HHG of radiation, optionally at a harmonic frequency of the provided pump radiation) can be provided as radiation in a metrology tool MT for inspection and/or measurement of substrates. If the pump radiation comprises short pulses (i.e. a few cycles), the radiation generated does not have to be exactly at the harmonics of the pump radiation frequency. The substrate can be a lithographically patterned substrate. The radiation obtained by the procedure can also be provided in a lithography apparatus LA and/or a lithography cell LC. The pump radiation may be pulsed radiation which provides high peak intensity in short bursts.

泵輻射611可包含具有高於發射輻射之一或多個波長之一或多個波長的輻射。泵輻射可包含紅外光輻射。泵輻射可包含具有在500 nm至1500 nm之範圍內之波長的輻射。泵輻射可包含具有介於800 nm至1300 nm之範圍內之波長的輻射。泵輻射可包含具有介於900 nm至1300 nm之範圍內之波長的輻射。泵輻射可為脈衝式輻射。脈衝式泵輻射可包含具有在飛秒範圍內之持續時間之脈衝。The pump radiation 611 may include radiation having one or more wavelengths higher than one or more wavelengths of the emission radiation. The pump radiation may include infrared radiation. The pump radiation may include radiation having a wavelength in the range of 500 nm to 1500 nm. The pump radiation may include radiation having a wavelength in the range of 800 nm to 1300 nm. The pump radiation may include radiation having a wavelength in the range of 900 nm to 1300 nm. The pump radiation may be pulsed radiation. Pulsed pump radiation may include pulses having a duration in the femtosecond range.

對於一些實施例,發射輻射(視情況高階諧波輻射)可包含具有泵輻射波長之一或多個諧波。發射輻射可包含在電磁光譜之極紫外光、軟X射線及/或硬X射線部分中之波長。發射輻射613可包含在以下範圍中之一或多者中的波長:小於1 nm、小於0.1 nm、小於0.01 nm、0.01 nm至100 nm、0.1 nm至100 nm、0.1 nm至50 nm、1 nm至50 nm及10 nm至20 nm。For some embodiments, the emitted radiation (and optionally higher order harmonic radiation) may include one or more harmonics having a wavelength of the pump radiation. The emitted radiation may include wavelengths in the extreme ultraviolet, soft x-ray, and/or hard x-ray portions of the electromagnetic spectrum. The emitted radiation 613 may include wavelengths in one or more of the following ranges: less than 1 nm, less than 0.1 nm, less than 0.01 nm, 0.01 nm to 100 nm, 0.1 nm to 100 nm, 0.1 nm to 50 nm, 1 nm to 50 nm, and 10 nm to 20 nm.

諸如以上所描述之高階諧波輻射之輻射可作為源輻射提供於度量衡工具MT中。度量衡工具MT可使用源輻射以對由微影設備曝光之基板執行量測。該等量測可用於判定基板上之結構之一或多個參數。相比於使用較長波長(例如可見光輻射、紅外光輻射),使用在較短波長下(例如在如上文所描述之波長範圍內所包含的EUV、SXR及/或HXR波長下)之輻射可允許由度量衡工具解析結構之較小特徵。具有較短波長之輻射,諸如EUV、SXR及/或HXR輻射,亦可更深地穿透至諸如經圖案化基板之材料中,此意謂基板上之較深層之度量衡係可能的。此等較深層可能不可由具有較長波長之輻射接達。Radiation such as high order harmonic radiation as described above may be provided as source radiation in the metrology tool MT. The metrology tool MT may use the source radiation to perform measurements on a substrate exposed by a lithography apparatus. The measurements may be used to determine one or more parameters of a structure on the substrate. Using radiation at shorter wavelengths (e.g. at EUV, SXR and/or HXR wavelengths included in the wavelength range described above) may allow smaller features of a structure to be resolved by the metrology tool compared to using longer wavelengths (e.g. visible radiation, infrared radiation). Radiation with shorter wavelengths, such as EUV, SXR and/or HXR radiation, can also penetrate deeper into materials such as patterned substrates, which means that metrology of deeper layers on the substrate is possible. These deeper layers may not be accessible by radiation with longer wavelengths.

在度量衡工具MT中,源輻射可自輻射源發射且經引導至基板上之目標結構(或其他結構)上。源輻射可包含EUV、SXR及/或HXR輻射。目標結構可反射、透射及/或繞射入射於目標結構上之源輻射。度量衡工具MT可包含用於偵測繞射輻射的一或多個感測器。舉例而言,度量衡工具MT可包含用於偵測正一(+1)及負一(-1)繞射階之偵測器。度量衡工具MT亦可量測鏡面反射或透射輻射(0階繞射輻射)。用於度量衡之其他感測器可存在於度量衡工具MT中,例如以量測其他繞射階(例如較高繞射階)。In the metrology tool MT, source radiation may be emitted from a radiation source and directed onto a target structure (or other structure) on a substrate. The source radiation may include EUV, SXR and/or HXR radiation. The target structure may reflect, transmit and/or diffract the source radiation incident on the target structure. The metrology tool MT may include one or more sensors for detecting diffracted radiation. For example, the metrology tool MT may include detectors for detecting positive one (+1) and negative one (-1) diffraction orders. The metrology tool MT may also measure mirror reflected or transmitted radiation (0-order diffraction radiation). Other sensors used for metrology may be present in the metrology tool MT, for example to measure other diffraction orders (eg higher diffraction orders).

在例示性微影度量衡應用中,可使用光學柱將HHG產生輻射聚焦至基板上之目標上,該光學柱可稱為照明器,其將來自HHG源之輻射轉移至目標。HHG輻射可接著自目標反射,經偵測及處理,例如以量測及/或推斷目標之屬性。In an exemplary lithography metrology application, HHG-generated radiation may be focused onto a target on a substrate using an optical column, which may be referred to as an illuminator, which transfers radiation from the HHG source to the target. The HHG radiation may then be reflected from the target, detected, and processed, for example, to measure and/or infer properties of the target.

氣體目標HHG組態可廣泛地分成三個單獨類別:氣體射流、氣胞及氣體毛細管。圖7描繪例示性氣體射流組態,其中氣體體積被引入至驅動/泵輻射雷射射束中。在氣體射流組態中,驅動輻射與固體部件之相互作用保持為最小值。氣體體積可例如包含垂直於驅動輻射射束之氣體流,其中氣體體積圍封於氣胞內部。在氣體毛細管設置中,容納氣體之毛細管結構之尺寸在側向方向上很小,使得其顯著影響驅動輻射雷射射束之傳播。毛細管結構可例如為空芯光纖,其中空芯經組態以容納氣體。Gas target HHG configurations can be broadly divided into three separate categories: gas jets, gas cells, and gas capillaries. FIG. 7 depicts an exemplary gas jet configuration in which a gas volume is introduced into the driving/pump radiation laser beam. In the gas jet configuration, the interaction of the driving radiation with solid components is kept to a minimum. The gas volume may, for example, comprise a gas flow perpendicular to the driving radiation beam, wherein the gas volume is enclosed inside an air cell. In a gas capillary arrangement, the size of the capillary structure containing the gas is so small in the lateral direction that it significantly affects the propagation of the driving radiation laser beam. The capillary structure may, for example, be a hollow core optical fiber in which the hollow core is configured to contain the gas.

氣體射流HHG組態可提供相對自由度來塑形遠場中之驅動輻射射束之空間輪廓,因為其並不受由氣體毛細管結構強加之限定限制。氣體射流組態亦可具有較不嚴格之對準容限。另一方面,氣體毛細管可提供驅動輻射與氣態介質之增加之相互作用分區,此可最佳化HHG程序。The gas jet HHG configuration can provide a relative degree of freedom to shape the spatial profile of the driving radiation beam in the far field, since it is not subject to the defined constraints imposed by the gas capillary structure. The gas jet configuration can also have less stringent alignment tolerances. On the other hand, the gas capillary can provide an increased interaction zone of the driving radiation with the gaseous medium, which can optimize the HHG process.

為了例如在度量衡應用中使用HHG輻射,將HHG輻射與氣體目標下游的驅動輻射分離。對於氣體射流組態及氣體毛細管組態,HHG輻射與驅動輻射之分離可能為不同的。在兩種情況下,驅動輻射抑制方案可包含用於自短波長輻射濾出任何剩餘驅動輻射之金屬透射濾光器。然而,在可使用此濾光器之前,驅動輻射之強度應自其在氣體目標處之強度顯著縮減,以免對濾光器造成損壞。可用於此強度縮減之方法對於氣體射流組態及毛細管組態而不同。對於氣體射流HHG,由於經聚焦至氣體目標上之驅動輻射射束之形狀及空間輪廓(其亦可稱為空間分佈及/或空間頻率)的相對自由度,此可經工程設計使得在遠場中,其沿著短波長輻射傳播之方向具有低強度。遠場中之此空間分離意謂孔隙可用以阻擋驅動輻射且降低其強度。In order to use the HHG radiation, for example in metrology applications, the HHG radiation is separated from the driver radiation downstream of the gas target. The separation of HHG radiation from the driver radiation may be different for gas jet configurations and gas capillary configurations. In both cases, the driver radiation suppression scheme may include a metal transmission filter for filtering out any remaining driver radiation from the shortwave radiation. However, before this filter can be used, the intensity of the driver radiation should be significantly reduced from its intensity at the gas target to avoid damage to the filter. The methods that can be used for this intensity reduction are different for gas jet configurations and capillary configurations. For gas jet HHG, due to the relative freedom of the shape and spatial profile (which may also be referred to as spatial distribution and/or spatial frequency) of the driving radiation beam focused onto the gas target, it can be engineered so that in the far field it has low intensity along the direction of short wavelength radiation propagation. This spatial separation in the far field means that apertures can be used to block the driving radiation and reduce its intensity.

相比而言,在氣體毛細管結構中,射束在其穿過氣態介質時之空間輪廓可主要由毛細管規定。驅動輻射之空間輪廓可由毛細管結構之形狀及材料判定。舉例而言,在將空芯光纖用作毛細管結構之情況下,光纖結構之形狀及材料判定支援哪些驅動輻射模式傳播通過光纖。對於大多數標準光纖,所支援之傳播模式產生空間輪廓,其中驅動輻射之高強度與HHG輻射之高強度重疊。舉例而言,驅動輻射強度可在遠場中以高斯或接近高斯輪廓為中心。In contrast, in a gas capillary structure, the spatial profile of the beam as it passes through the gaseous medium may be primarily dictated by the capillaries. The spatial profile of the driving radiation may be determined by the shape and material of the capillary structure. For example, in the case where a hollow core optical fiber is used as the capillary structure, the shape and material of the optical fiber structure determine which driving radiation modes are supported to propagate through the optical fiber. For most standard optical fibers, the supported propagation modes produce a spatial profile in which high intensities of the driving radiation overlap with high intensities of the HHG radiation. For example, the driving radiation intensity may be centered on a Gaussian or near-Gaussian profile in the far field.

在前述SXR感測器/度量衡組態中,SXR光射束可藉助於(例如單一)聚焦鏡面或鏡面系統在固定的單一角度下聚焦在目標上。由目標散射之繞射階之強度可使用接近目標之一或多個感測器來偵測。可使用推斷與經照明目標相關的輪廓參數或所關注參數值之演算法來分析經偵測光。在本揭示之上下文中,術語「所關注參數」及/或「輪廓參數」可尤其包含例如疊對及/或其他(例如3D)輪廓參數,諸如蝕刻深度、側壁角(SWA)、層厚度、關鍵尺寸(CD)或結構之任何特徵之任何其他尺寸。因而,在本揭示之上下文中,目標參數或輪廓參數描述目標自身而非(例如)其位置之物理輪廓參數。較複雜目標可包含多於10個或甚至多於20個輪廓參數。In the aforementioned SXR sensor/metrology configuration, the SXR light beam may be focused on a target at a fixed single angle by means of a (e.g., single) focusing mirror or mirror system. The intensity of the diffraction order scattered by the target may be detected using one or more sensors close to the target. The detected light may be analyzed using algorithms that infer profile parameters or parameter-of-interest values associated with the illuminated target. In the context of the present disclosure, the terms "parameter-of-interest" and/or "profile parameter" may include, for example, overlay and/or other (e.g., 3D) profile parameters such as etch depth, side wall angle (SWA), layer thickness, critical dimension (CD), or any other dimension of any feature of a structure. Thus, in the context of the present disclosure, target parameters or profile parameters describe the physical profile parameters of the target itself rather than, for example, its location. More complex targets may include more than 10 or even more than 20 profile parameters.

輪廓參數之子集可能會在或多或少的程度上在經偵測信號內相關,此意謂兩個或更多個此類參數中之各者之變化導致經偵測信號之類似變化。此意謂可能難以理清此等參數之效應,且可能難以判定哪一(哪些)參數造成特定的信號效應。又,一些參數可在此組態中引起極弱信號貢獻,從而使得難以自經量測資料獲得彼參數之準確估計。A subset of the profile parameters may be correlated to a greater or lesser extent in the detected signal, meaning that changes in each of two or more such parameters result in similar changes in the detected signal. This means that it may be difficult to disentangle the effects of these parameters, and it may be difficult to determine which parameter(s) are responsible for a particular signal effect. Also, some parameters may cause very weak signal contributions in this configuration, making it difficult to obtain an accurate estimate of that parameter from the measured data.

理論上,多個入射角下之量測可用於產生較大信號分集(參見例如橢圓偏振量測法)。然而,在寬頻帶SXR或EUV波長下,製造光學件達成此目標係困難的及/或昂貴的。簡單的解決方案(原則上)可包含提供多個源及照明器以便提供獨立入射光源。然而,此將導致成本較大且將難以適應緊湊工具設計。In theory, measurements at multiple incident angles can be used to generate greater signal diversity (see, for example, elliptical polarimetry). However, at wideband SXR or EUV wavelengths, it is difficult and/or expensive to manufacture optics to achieve this. A simple solution (in principle) could consist in providing multiple sources and illuminators in order to provide independent incident light sources. However, this would result in greater costs and would be difficult to accommodate in compact tool designs.

為了解決此等問題,本文中提議在晶圓側焦平面之共軛(場/影像)平面中提供(例如,第一)色散元件(例如,光柵),此有效地使源射束倍增以便視情況同時在目標上提供多個入射角。To address these problems, it is proposed herein to provide a (eg, first) dispersive element (eg, a grating) in a conjugate (field/image) plane of the wafer-side focal plane, which effectively multiplies the source beam so as to optionally provide multiple angles of incidence on the target simultaneously.

在第一實施例中,所提議的(例如SXR)度量衡工具可僅包含簡單的有限-有限共軛照明器系統(例如單一環形、橢球形或Kirkpatrick-Baez (KB)鏡面系統)。在此情況下,僅有的可用的共軛場平面為(虛擬)源之平面。在另一實施例中,該照明器包含第二共軛場平面處之中間焦點。源處之共軛場平面在下文被稱作源場平面(SFP),且中間焦點處之共軛場平面被稱作中間場平面(IFP)。In a first embodiment, the proposed (e.g. SXR) metrology tool may comprise only a simple finite-finite conjugate illuminator system (e.g. a single annular, elliptical or Kirkpatrick-Baez (KB) mirror system). In this case, the only available conjugate field plane is the plane of the (virtual) source. In another embodiment, the illuminator comprises a middle focus at a second conjugate field plane. The conjugate field plane at the source is hereinafter referred to as the source field plane (SFP), and the conjugate field plane at the middle focus is referred to as the intermediate field plane (IFP).

在任一狀況下,已由第一色散元件散射之第一散射輻射可由(例如單一)最終聚焦鏡面元件捕捉。第一散射輻射包含複數個散射射束,亦即繞射輻射及鏡面反射輻射兩者。此最終聚焦鏡面元件藉由儘可能多地捕捉第一散射輻射來將包含第一色散元件之平面(例如SFP或IFP,取決於配置)重新成像至目標或第二繞射元件上。因而,最終聚焦鏡面元件可捕捉且重新成像反射輻射及包含於第一散射輻射內之至少一個且較佳地複數個(例如,儘可能多的)繞射階。由於來自第一色散元件之各種繞射角,此經重新成像之第一散射輻射將因此在各種各別的入射角下入射於目標上。在色散輻射為寬頻帶或包含多個波長之情況下,來自第一色散元件之各「繞射階」將實際上包含在目標上具有顏色相關入射角之多個單色繞射階。已自目標或第二繞射元件散射之第二散射輻射可包含鏡面反射及來自目標之複數個繞射階(亦即,第二散射射束);其中之各者可經偵測,且當相較於使用單一射束在單一入射角下之習知的量測時將包含額外資訊。In either case, the first scattered radiation that has been scattered by the first dispersive element can be captured by a (e.g., single) final focusing mirror element. The first scattered radiation includes a plurality of scattered beams, namely both diffracted radiation and mirror reflected radiation. This final focusing mirror element re-images the plane (e.g., SFP or IFP, depending on the configuration) containing the first dispersive element onto the target or the second diffraction element by capturing as much of the first scattered radiation as possible. Thus, the final focusing mirror element can capture and re-image the reflected radiation and at least one and preferably a plurality of (e.g., as many as possible) diffraction orders contained in the first scattered radiation. Due to the various diffraction angles from the first dispersive element, this re-imaged first scattered radiation will therefore be incident on the target at a variety of different angles of incidence. In the case where the dispersed radiation is broadband or contains multiple wavelengths, each "diffraction order" from the first dispersive element will actually include multiple monochromatic diffraction orders with color-dependent angles of incidence on the target. Second scattered radiation that has been scattered from the target or the second diffraction element may include specular reflections and multiple diffraction orders from the target (i.e., second scattered beams); each of which can be detected and will contain additional information when compared to known measurements using a single beam at a single angle of incidence.

圖8展示實施例之(a)概念圖及(b)簡化示意圖。在圖8(a)中,為了清楚起見,所有射束均以透射狀態繪製。在圖8(b)中,聚焦元件及色散元件為反射的,此可能較適合於SXR度量衡(至少對於聚焦元件,色散元件可在反射或透射中操作)。圖8展示源800、第一聚焦元件或第一聚焦鏡面805,該第一聚焦元件或第一聚焦鏡面在包含第一色散元件或光柵810之中間場平面處重新成像源800。來自光柵810之散射輻射可由第二聚焦元件或最終聚焦鏡面元件815捕捉,該第二聚焦元件或最終聚焦鏡面元件將中間場平面重新成像至第二色散元件或目標820上(視情況,其為在目標/晶圓之前的最終聚焦元件)。此第二重新聚焦元件可包含橢球形鏡面,其包含特定橢球形組態,如稍後將描述。可在偵測器或攝影機825上捕捉來自目標820之第二散射輻射。FIG8 shows (a) a conceptual diagram and (b) a simplified schematic diagram of an embodiment. In FIG8(a), all beams are drawn in transmission for clarity. In FIG8(b), the focusing element and the dispersive element are reflective, which may be more suitable for SXR metrology (at least for the focusing element, the dispersive element can operate in reflection or transmission). FIG8 shows a source 800, a first focusing element or first focusing mirror 805, which re-images the source 800 at the intermediate field plane including a first dispersive element or grating 810. The scattered radiation from the grating 810 can be captured by a second focusing element or final focusing mirror element 815, which re-images the intermediate field plane onto a second dispersive element or target 820 (which is the final focusing element before the target/wafer, as the case may be). This second refocusing element can include an elliptical mirror, which includes a specific elliptical configuration, as will be described later. The second scattered radiation from the target 820 can be captured on a detector or camera 825.

在此描述中,用於經捕捉繞射階之編號規約將描述各經捕捉階數(m, n),其中m描述來自第一色散元件/光柵810之繞射階(例如包含至少零階及至少一個更高繞射階),且n描述來自第二色散元件/目標820之繞射階。在習知的SXR度量衡中,僅偵測m=0階。因而,在經捕捉繞射階為由包含來自光柵810之-1繞射階的目標上之入射輻射產生的來自目標820之+1繞射階之情況下,此繞射階可描述為(-1, +1)繞射階。應注意,經捕捉繞射階可視情況包含比一階高的繞射階(例如,m ≥2及/或n≥2)。In this description, the numbering convention for captured diffraction orders will describe each captured order as (m, n), where m describes the diffraction order (e.g., including at least the zero order and at least one higher diffraction order) from the first dispersive element/grating 810, and n describes the diffraction order from the second dispersive element/target 820. In known SXR metrology, only the m=0 order is detected. Thus, in the case where the captured diffraction order is a +1 diffraction order from the target 820 resulting from incident radiation on the target including a -1 diffraction order from the grating 810, this diffraction order can be described as a (-1, +1) diffraction order. It should be noted that the captured diffraction order visual case includes diffraction orders higher than one (eg, m ≥ 2 and/or n ≥ 2).

在圖8(a)中,僅為了清楚起見,僅針對單一波長繪製來自光柵810之m=0階及m=-1階;亦可由最終聚焦鏡面捕捉其他階(正及負兩者)。可瞭解,具有不同波長之繞射階係有角度位移的(亦即,繞射角以及因此目標上之入射角對於不同波長係不同的)。再次,為了清楚起見,所展示之僅有經捕捉繞射階為(-1,-1)、(0,0)、(-1,0)、(-1,+1)。可捕捉較多繞射階。在圖8(b)中,如所標記來展示較多經捕捉繞射階,但亦可再次捕捉其他繞射階。In FIG8( a ), only the m=0 order and the m=-1 order from the grating 810 are plotted for a single wavelength for the sake of clarity; other orders (both positive and negative) may also be captured by the final focusing mirror. It will be appreciated that the diffraction orders with different wavelengths are angularly displaced (i.e., the diffraction angles, and therefore the angle of incidence on the target, are different for different wavelengths). Again, for the sake of clarity, the only captured diffraction orders shown are (-1,-1), (0,0), (-1,0), (-1,+1). More diffraction orders may be captured. In FIG8( b ), more captured diffraction orders are shown as labeled, but again other diffraction orders may also be captured.

應注意,在此配置中,入射於第二色散元件上之繞射階可包含至少兩個非互補繞射階(例如,以及因此至少兩個非互補入射角)。互補繞射階可包含具有相同階數量值之正階及負階(例如+1及-1階),而至少兩個非互補階數可包括例如零階以及任何其他階。It should be noted that in this configuration, the diffraction orders incident on the second dispersive element may include at least two non-complementary diffraction orders (e.g., and thus at least two non-complementary incidence angles). The complementary diffraction orders may include positive and negative orders having the same order magnitude (e.g., +1 and -1 orders), while the at least two non-complementary orders may include, for example, the zero order as well as any other order.

圖9為包含所標記之複數個經捕捉繞射階之例示性經捕捉影像,其例如繪示可在偵測器上偵測各種階之位置。應注意,此實例假定目標之1D光柵;該目標亦可包含2D光柵。繞射階之各個別點可與不同波長有關。FIG9 is an exemplary captured image including a plurality of captured diffraction orders labeled, which illustrates, for example, the positions at which various orders may be detected on a detector. Note that this example assumes a 1D grating of the target; the target may also include a 2D grating. Each individual point of the diffraction order may be associated with a different wavelength.

第一色散元件可包含例如反射光柵或透射光柵。其可包含1D (線)光柵、2D光柵或更一般色散元件,其在各種繞射角下產生多個階。若為光柵,則第一色散元件可包含不同的間距及/或溝槽深度,例如以校正光學參數,諸如波長相關繞射角之波長相關散焦。又,其定向可變化以最佳化出射繞射角。The first dispersive element may comprise, for example, a reflective grating or a transmissive grating. It may comprise a 1D (line) grating, a 2D grating or a more general dispersive element which produces multiple steps at various diffraction angles. If a grating, the first dispersive element may comprise different spacings and/or groove depths, for example to correct optical parameters such as wavelength-dependent defocus for wavelength-dependent diffraction angles. Also, its orientation may be varied to optimize the exit diffraction angle.

在其最簡單的形式中,IFP處之光柵可包含平坦的1D反射光柵,其具有恆定間距且其線平行於反射平面定向(亦即,呈錐形繞射組態)。可選擇光柵間距及入射角兩者以最佳化繞射角。可選擇入射角,使得零階反射由於全外反射而具有較大反射係數(此可包含低於20度的掠入射角)。可選擇凹槽輪廓以及尤其光柵之溝槽深度,使得+1及-1階之繞射效率最大化,或將入射於第二色散元件上之所有射束的組合能量(鏡面反射及繞射效率)最大化。光柵線與反射平面之間的方位角可為零,但可使得其為非零,以最佳化階數在偵測器上之位置。In its simplest form, the grating at the IFP may comprise a flat 1D reflective grating with a constant spacing and whose lines are oriented parallel to the reflection plane (i.e., in a pyramidal diffraction configuration). Both the grating spacing and the angle of incidence may be selected to optimize the diffraction angle. The angle of incidence may be selected so that the zero-order reflection has a large reflection coefficient due to total external reflection (this may include grazing incidence angles below 20 degrees). The groove profile and, in particular, the groove depth of the grating may be selected to maximize the diffraction efficiency of the +1 and -1 orders, or to maximize the combined energy (specular reflection and diffraction efficiency) of all beams incident on the second dispersive element. The azimuth angle between the grating lines and the reflection plane may be zero, but may be made non-zero to optimize the position of the orders on the detector.

在一實施例中,此等量測參數中之一些(例如入射角、方位角、光柵間距)可為不同的以最佳化用於特定目標之繞射光。舉例而言,在配方產生中,可最佳化組態以在目標上產生最有利的入射角,以獲得最大信號發散度/資訊內容。舉例而言,可藉由向線空間光柵提供可變的/可選擇的間距或藉由提供經配置以選擇性地(個別地)切換至中間場平面中之個別光柵(例如包含具有不同各別間距之多個光柵的光柵選擇器或光柵輪)而使光柵之間距變化。In one embodiment, some of these measurement parameters (e.g., angle of incidence, azimuth, grating spacing) may be varied to optimize the diffracted light for a particular target. For example, in recipe generation, the configuration may be optimized to produce the most favorable angle of incidence on the target to obtain maximum signal divergence/information content. For example, the spacing of the gratings may be varied by providing a variable/selectable spacing to the line-space gratings or by providing individual gratings configured to be selectively (individually) switched to the mid-field plane (e.g., a grating selector or grating wheel comprising multiple gratings with different individual spacings).

此等參數變化實例中之一些可同樣適用於透射光柵及反射光柵;例如,方位角及間距變化。通常,可運用透射光柵達成較高繞射效率。Some of these parameter variation examples are equally applicable to transmissive and reflective gratings; for example, azimuth and spacing variations. In general, higher diffraction efficiency can be achieved with transmissive gratings.

在一個實施例中,第一色散元件為實體的第一色散元件。在一個實施例中,第一色散元件為虛擬的第一色散元件。亦有可能在SFP處而非在IFP處提供第一色散元件,儘管並非實體的第一色散元件(亦即,虛擬的第一色散元件)。在SFP處,可藉由將高能脈衝式IR雷射(泵/驅動輻射,視情況,泵/驅動雷射)聚焦至氣體射流或氣體目標中而產生SXR輻射。若氣體中之局域場強度足夠大,則產生視情況在SXR波長下之光子。某些源組態參數將判定在氣體中發射之所有光子是否將在某一方向上相長地或相消地干涉,且因此判定發射輻射,視情況SXR輻射,是否在特定方向上自該源發射。In one embodiment, the first dispersive element is a physical first dispersive element. In one embodiment, the first dispersive element is a virtual first dispersive element. It is also possible to provide the first dispersive element at the SFP instead of at the IFP, although not a physical first dispersive element (i.e., a virtual first dispersive element). At the SFP, SXR radiation can be generated by focusing a high-energy pulsed IR laser (pump/drive radiation, as the case may be, pump/drive laser) into a gas jet or a gas target. If the local field strength in the gas is large enough, photons are generated, as the case may be, at the SXR wavelength. Certain source configuration parameters will determine whether all photons emitted in the gas will interfere constructively or destructively in a certain direction, and therefore whether emitted radiation, in the case of SXR radiation, is emitted from the source in a particular direction.

在一實施例中,提議提供多個驅動輻射射束,其經組態以在氣體射流中重疊(在空間及時間上)。視情況,多個驅動輻射射束係相干的。由於其相干性,其將會干涉,且取決於兩個入射波前之間的角度,將產生干涉圖案。舉例而言,當兩個射束在一角度下重疊時,可在氣體中產生強度光柵。由於SXR光子僅在高於某一場強度臨限值時產生,因此SXR源自身實際上為光柵或虛擬的第一色散元件。因此,僅在特定方向上,在氣體後,SXR輻射之相位將匹配,從而在各種(繞射)角度下產生多個出射射束。此程序已描述於例如以引用的方式併入本文中之「Noncollinear enhancement cavity for record-high out-coupling efficiency of an EUV frequency comb」-Zhang等人2020年中。In one embodiment, it is proposed to provide a plurality of drive radiation beams, which are configured to overlap (in space and time) in a gas jet. Optionally, the plurality of drive radiation beams are coherent. Due to their coherence, they will interfere and, depending on the angle between the two incident wavefronts, an interference pattern will result. For example, when the two beams overlap at an angle, an intensity grating can be generated in the gas. Since SXR photons are only generated above a certain field intensity threshold, the SXR source itself is actually a grating or a virtual first dispersive element. Therefore, only in certain directions, after the gas, the phase of the SXR radiation will be matched, resulting in a plurality of outgoing beams at various (diffraction) angles. This procedure has been described, for example, in “Noncollinear enhancement cavity for record-high out-coupling efficiency of an EUV frequency comb” - Zhang et al. 2020, which is incorporated herein by reference.

圖10(a)繪示此原理。其示意性地展示兩個紅外光雷射脈衝1000a、1000b,其重疊以形成強度光柵1010,從而產生包含零階1020及+1、-1繞射階1030+、1030-之經產生SXR輻射。可提供阻擋孔隙或針孔1040以阻擋非想要的(例如紅外光)輻射。Figure 10(a) illustrates this principle. It schematically shows two infrared laser pulses 1000a, 1000b superimposed to form an intensity grating 1010, thereby generating generated SXR radiation including a zero order 1020 and +1, -1 diffraction orders 1030+, 1030-. A blocking aperture or pinhole 1040 may be provided to block unwanted (e.g. infrared) radiation.

圖10(b)及圖10(c)繪示此實施例之變化,其中半波片1050已添加至紅外光雷射脈衝1000a、1000b之一個或兩個臂。藉由旋轉半波片1050,可控制各臂1000a、1000b之偏振。當兩個臂具有相同偏振時,形成干涉圖案,且在零階1020及繞射階1030+、1030-角度下產生SXR (圖10(b))。當偏振正交時,不存在干涉,且產生規則的圓形強度圖案;因此,產生單一SXR射束1020 (圖10(c))。半波片1050可旋轉以在照明角度之間選擇且因此在所繪示之操作模式中之各者之間選擇。此有效地提供了對改變SXR照明之NA的控制。Figure 10 (b) and Figure 10 (c) illustrate the variation of this embodiment, wherein half-wave plate 1050 has been added to one or two arms of infrared laser pulse 1000a, 1000b. By rotating half-wave plate 1050, the polarization of each arm 1000a, 1000b can be controlled. When two arms have the same polarization, interference pattern is formed, and SXR (Figure 10 (b)) is produced under zero order 1020 and diffraction order 1030+, 1030- angle. When polarization is orthogonal, there is no interference, and regular circular intensity pattern is produced; Therefore, single SXR beam 1020 (Figure 10 (c)) is produced. Half-wave plate 1050 can be rotated to select between illumination angles and therefore select between each in the illustrated operating mode. This effectively provides control over changing the NA of the SXR illumination.

亦有可能在IR射束1000a與1000b之間添加延遲,使得該等脈衝完全不相互作用。在彼情況下,沿著1000a及1000b之方向產生兩個完全獨立的SXR源。It is also possible to add a delay between the IR beams 1000a and 1000b so that the pulses do not interact at all. In that case, two completely independent SXR sources are created along the directions of 1000a and 1000b.

可瞭解,多於兩個紅外光驅動輻射射束可以相同方式重疊,使得能夠產生較複雜的強度圖案,例如以最佳化出射SXR射束之繞射圖案。視情況,三個紅外光驅動雷射以相同方式重疊。視情況,四個紅外光驅動雷射以相同方式重疊。It will be appreciated that more than two infrared light drive radiation beams may be overlapped in the same manner, enabling more complex intensity patterns to be generated, for example to optimize the diffraction pattern of the outgoing SXR beam. Optionally, three infrared light drive lasers are overlapped in the same manner. Optionally, four infrared light drive lasers are overlapped in the same manner.

不管第一繞射元件位於何處,第一散射輻射(亦即,包含一或多個非零繞射階)應由最終聚焦鏡面捕捉,該最終聚焦鏡面可為在目標之前的最終鏡面(或用於SFP繞射實施例之唯一鏡面)。來自第一繞射元件之經捕捉繞射角應被恰當地重新成像,且無過多像差,例如,以便底部填充目標光柵。該鏡面應亦具有較大捕捉NA以捕捉所有想要的繞射角。Regardless of where the first diffraction element is located, the first scattered radiation (i.e., containing one or more non-zero diffraction steps) should be captured by the final focusing mirror, which can be the final mirror before the target (or the only mirror for SFP diffraction embodiments). The captured diffraction angles from the first diffraction element should be properly re-imaged without excessive aberrations, for example, in order to underfill the target grating. The mirror should also have a large capture NA to capture all desired diffraction angles.

因而,最終聚焦鏡面可包含橢球形表面。此表面確保(至少標稱地)幾乎無像差的重新成像。雖然在某些入射角下可能會有一定的放大,且因此存在非匹配物件及影像距離,但當目標填充不足時,此不會立即造成問題。在任何情況下,可視需要選擇IFP光柵及橢球體之組態以匹配所有放大率。為了確保較大NA,橢球形表面可延伸相當大的距離。Thus, the final focusing mirror may comprise an ellipsoidal surface. This surface ensures (at least nominally) nearly aberration-free re-imaging. Although there may be some magnification at certain angles of incidence, and thus mismatched object and image distances, this does not immediately cause problems when the target is underfilled. In any case, the configuration of the IFP grating and the ellipsoid may be chosen as desired to match all magnifications. To ensure a large NA, the ellipsoidal surface may extend over a considerable distance.

圖11示意性地繪示根據一實施例之所提議的聚焦鏡面元件。最終聚焦鏡面可包含橢球形表面,其由圍繞其長軸旋轉之橢圓形部分描述。此最終聚焦鏡面因此可包含具有鏡像內部表面1110之中空長橢球體部分1100。在一個實施例中,中空長橢球體部分可包含具有第一(輸入)開口之中空長橢球體部分,該第一(輸入)開口大於第二(輸出)開口。在另一實施例中,中空長橢球體部分可包含具有第一(輸入)開口之中空長橢球體部分,該第一(輸入)開口小於第二(輸出)開口。中空長橢球體部分可例如包含在各端處具有開口之半部分或更小部分。因而,最終聚焦鏡面可包含在輸入端處具有較大第一開口1120且在輸出端處具有較小第二開口1130之中空長橢球體部分。輸出端處之較小開口可由平行於接近中空長橢球體之一端的短軸平面之切口界定。輸入端處之較大開口可由沿著短軸平面之切口或短軸平面與輸出端之間的平行於短軸平面之切口(例如,在接近短軸平面之點處)界定。此鏡面不需要完全旋轉對稱,且可包含僅部分地圍繞長軸旋轉之表面。然而,此類鏡面可使用電成形技術來製造,從而將產生完全旋轉對稱的鏡面。此亦提供額外的機械穩定性。FIG. 11 schematically illustrates a proposed focusing mirror element according to an embodiment. The final focusing mirror may comprise an elliptical surface, which is described by an elliptical portion rotated about its long axis. This final focusing mirror may therefore comprise a hollow ellipsoidal portion 1100 having a mirroring inner surface 1110. In one embodiment, the hollow ellipsoidal portion may comprise a hollow ellipsoidal portion having a first (input) opening, which is larger than the second (output) opening. In another embodiment, the hollow ellipsoidal portion may comprise a hollow ellipsoidal portion having a first (input) opening, which is smaller than the second (output) opening. The hollow ellipsoidal portion may, for example, comprise a half portion or smaller portion having an opening at each end. Thus, the final focusing mirror may include a hollow ellipsoid portion having a larger first opening 1120 at the input end and a smaller second opening 1130 at the output end. The smaller opening at the output end may be defined by a cut parallel to the minor axis plane near one end of the hollow ellipsoid. The larger opening at the input end may be defined by a cut along the minor axis plane or a cut parallel to the minor axis plane between the minor axis plane and the output end (for example, at a point near the minor axis plane). This mirror does not need to be completely rotationally symmetric and may include a surface that is only partially rotated about the major axis. However, such a mirror can be manufactured using electroforming techniques, which will produce a completely rotationally symmetric mirror. This also provides additional mechanical stability.

所提議的用於製造此鏡面的方法可基於以引用之方式併入本文中的WO2019/238382之揭示內容。其中所描述之實際鏡面之組態與本文中所提議的組態相當不同,但在其中描述之製造方法之基本概念可用於製造所提議的最終聚焦鏡面。The proposed method for manufacturing this mirror can be based on the disclosure of WO2019/238382, which is incorporated herein by reference. The configuration of the actual mirror described therein is quite different from the configuration proposed herein, but the basic concept of the manufacturing method described therein can be used to manufacture the proposed final focusing mirror.

所提議的方法因此可包含製造聚焦鏡面元件之方法,其包含:提供包含長橢球體部分之軸向對稱的心軸;圍繞心軸形成聚焦鏡面元件主體;及自心軸釋放聚焦鏡面元件主體,藉此該聚焦鏡面元件主體具有由聚焦鏡面元件主體之內部表面界定的光學表面。該心軸可包含超級拋光表面,其例如使用選自由以下各者組成之群組之程序由超級拋光步驟產生:磁流變流體精加工(MRF)、流體噴射拋光(FJP)、彈性發射機械加工(EEM)、離子射束修形及浮法拋光。可執行此超級拋光步驟,使得該心軸表面具有小於100 pm均方根(RMS)、視情況小於50 pm (RMS)、視情況小於35 pm RMS之表面粗糙度。替代地或另外,反射增強單層或多層塗層可施加至聚焦鏡面元件主體之光學表面。替代地或另外,電極及脫模層可在形成步驟之前施加至心軸。The proposed method may thus comprise a method of manufacturing a focusing mirror element, comprising: providing an axially symmetrical mandrel comprising an elongated elliptical spherical portion; forming a focusing mirror element body around the mandrel; and releasing the focusing mirror element body from the mandrel, whereby the focusing mirror element body has an optical surface defined by an inner surface of the focusing mirror element body. The mandrel may comprise a super-polished surface, which is produced, for example, by a super-polishing step using a process selected from the group consisting of: magnetorheological fluid finishing (MRF), fluid jet polishing (FJP), elastic emission machining (EEM), ion beam shaping and float polishing. This super polishing step may be performed such that the mandrel surface has a surface roughness of less than 100 pm root mean square (RMS), optionally less than 50 pm (RMS), optionally less than 35 pm RMS. Alternatively or additionally, a reflection enhancing single or multi-layer coating may be applied to the optical surface of the focusing mirror element body. Alternatively or additionally, electrodes and a release layer may be applied to the mandrel prior to the forming step.

可瞭解,橢球體對未對準相當敏感,且隨著射束發散度增加,敏感度快速地增加。然而,所提議的使用本文中所提議的最終聚焦鏡面及橢球形表面之方式不產生較大射束發散度,而是實際上產生在較大角展度內具有較小發散度的複數個射束。個別低發散射束之敏感度可能仍較小。It is understood that an ellipsoid is quite sensitive to misalignment, and the sensitivity increases rapidly as the beam divergence increases. However, the proposed approach of using the final focusing mirror and the ellipsoidal surface proposed herein does not produce a larger beam divergence, but actually produces a plurality of beams with a smaller divergence within a larger angular spread. The sensitivity of individual low divergence beams may be still smaller.

在另一實施例中,提議使用如上文所描述之相同基本概念,以修改照明組態,從而實現直接相位偵測。所提議的直接相位偵測可採用類似於剪切干涉量測術之方式。在剪切干涉量測術中,藉由使射束與同探測射束相干的參考射束(部分地)重疊來探測(探測)輻射射束之相位。兩個射束之波前相對於彼此傾斜,從而使得形成具有條紋之干涉圖案。In another embodiment, it is proposed to use the same basic concept as described above to modify the illumination configuration so as to achieve direct phase detection. The proposed direct phase detection can be employed in a manner similar to shearing interferometry. In shearing interferometry, the phase of a radiation beam is detected (probed) by (partially) overlapping the beam with a reference beam that is coherent with the probe beam. The wavefronts of the two beams are tilted with respect to each other such that an interference pattern with fringes is formed.

為了產生兩個相互相干的射束,來自源之SXR輻射最初可聚焦在中間焦點處。繞射元件(例如,先前描述之第一繞射元件或光柵)可位於此中間焦點處。第一色散元件或中間焦點光柵可在圓錐形定向(平行於反射平面之線)或平面定向(垂直於反射平面之線)上定向。在其他實施例中,該等線亦可相對於反射平面傾斜(例如不完全平行或不完全垂直),但在某一方位角下定向。如前所述,第一繞射元件可包含反射元件(例如,反射光柵)或透射元件(例如,透射光柵)。在進一步描述中,將假定第一色散元件之定向純粹為圓錐形。如同先前實施例一樣,當光柵以各種角度使輻射分散時,第一色散元件將產生包含多個射束之第一散射輻射:繞射階(±m,λ),其中 m為繞射峰之階且λ為波長。m=0之特殊情況可經認識為鏡面反射射束或零階射束。射束m=0、m=-1,λ及m=+1,λ (以及高階,若該組態允許)係由橢球形鏡面或最終聚焦鏡面(例如已加以描述)收集,該橢球形鏡面或最終聚焦鏡面將射束重新聚焦在受檢測之第二繞射元件或目標上。 In order to produce two mutually coherent beams, the SXR radiation from the source may initially be focused at an intermediate focus. A diffraction element (e.g., the first diffraction element or grating described previously) may be located at this intermediate focus. The first dispersive element or intermediate focus grating may be oriented in a conical orientation (lines parallel to the reflection plane) or in a planar orientation (lines perpendicular to the reflection plane). In other embodiments, the lines may also be tilted relative to the reflection plane (e.g., not completely parallel or not completely perpendicular), but oriented at a certain azimuth angle. As previously described, the first diffraction element may include a reflective element (e.g., a reflective grating) or a transmissive element (e.g., a transmissive grating). In the further description, it will be assumed that the orientation of the first dispersive element is purely conical. As in the previous embodiment, when the grating disperses the radiation at various angles, the first dispersive element will produce a first scattered radiation comprising a plurality of beams: diffraction orders (±m,λ), where m is the order of the diffraction peak and λ is the wavelength. The special case of m=0 can be recognized as a mirror-reflected beam or a zero-order beam. The beams m=0, m=-1,λ and m=+1,λ (and higher orders if the configuration permits) are collected by an ellipsoidal mirror or final focusing mirror (such as has been described), which refocuses the beam onto a second diffraction element or target to be detected.

現將假定第二色散元件之定向亦為圓錐形。入射於第二色散元件上之射束將再次繞射至繞射階(±n,λ),且為簡單起見,下文將僅論述入射射束m= -1及m=+1之零繞射階及一繞射階(波長引數亦出於符號簡單性而省略,其中隱含地假定射束在光譜中之某一波長下為單色的;下文亦進一步呈現波長相關形式)。來自第二色散元件之繞射射束因此可如下分類:(m,n) = (-1,-1),(m,n) = (-1,0),(m,n) = (-1,+1),(m,n) = (+1,-1),(m,n) = (+1,0),(m,n) = (+1,+1)。It will now be assumed that the orientation of the second dispersive element is also conical. The beam incident on the second dispersive element will be diverted again to diversion orders (±n,λ), and for simplicity, only the zero diversion order and the one diversion order of the incident beam m=-1 and m=+1 will be discussed below (the wavelength argument is also omitted for simplicity of notation, where it is implicitly assumed that the beam is monochromatic at a certain wavelength in the spectrum; the wavelength-dependent form is also presented further below). The diffracted beams from the second dispersive element can therefore be classified as follows: (m,n) = (-1,-1), (m,n) = (-1,0), (m,n) = (-1,+1), (m,n) = (+1,-1), (m,n) = (+1,0), (m,n) = (+1,+1).

可展現出,存在組態參數,其中此等射束中之一些將在偵測器上在空間上重疊,使得其會干涉。兩個邏輯組合將使用來自第二色散元件之零階反射作為參考射束且使用適當的一階繞射射束作為探測射束:例如,射束對可包含使用(m,n)=(-1,0)作為參考射束,且使用(m,n)=(+1,-1)作為探測射束或使用(m,n)=(+1,0)作為參考射束且使用(m,n)=(-1,+1)作為探測射束。此等射束對中之兩者經繪示為在圖8(b)中重疊。此僅為實例,且亦可進行其他組合以重疊。It can be shown that there are configuration parameters where some of these beams will overlap spatially at the detector so that they interfere. Two logical combinations would be to use the zeroth order reflection from the second dispersive element as the reference beam and the appropriate first order diffraction beam as the probe beam: for example, a beam pair could include using (m,n)=(-1,0) as the reference beam and (m,n)=(+1,-1) as the probe beam or using (m,n)=(+1,0) as the reference beam and (m,n)=(-1,+1) as the probe beam. Two of these beam pairs are shown overlapping in FIG8( b ). This is just an example and other combinations can be made to overlap as well.

為了使用重疊射束對產生(帶條紋)干涉圖案,其波前需要相對於彼此相互傾斜。此可藉由為兩個射束提供相互(略微)位移的原點來實現,使得射束對中之各射束具有略微不同的原點。In order to generate a (fringe) interference pattern using overlapping beam pairs, their wavefronts need to be tilted relative to each other. This can be achieved by providing the two beams with origins that are (slightly) displaced from each other, so that each beam in the beam pair has a slightly different origin.

雖然由於目標通常極小而沒有太多空間來容納此類位移的原點,但所需的傾斜(以及因此位移)亦極小。舉例而言,依據射束發散度內之角度α而變之針對波的光學路徑-長度差(OPD)可經判定為: 其中μ為目標上之原點位移,且θ為出射射束與目標表面法線之傾斜角。 Although there is not much room to accommodate the origin of such a shift because the target is usually very small, the required tilt (and therefore shift) is also very small. For example, the optical path-length difference (OPD) for the wave as a function of the angle α within the beam divergence can be determined as: where μ is the displacement of the origin on the target, and θ is the tilt angle of the outgoing beam with respect to the normal to the target surface.

舉例而言,假定射束之1/e 2發散度為約2 mrad,且需要在 下遍及全角度射束發散度之至少兩個條紋,接著所需的位移將為 (假定 )。因此,目標上之射束m=-1及射束m=+1之焦點應橫向地位移至少6.8 μm,或更一般地大於4 μm、大於5 μm或大於6 μm (例如,在4 μm與10 μm之間、在5 μm與10 μm之間、在5 μm與9 μm之間、在5.5 μm與9 μm之間、在6 μm與9 μm之間、在6 μm與8 μm之間、在6 μm與7 μm之間或在6.5 μm與7 μm之間)。 For example, assume that the 1/e 2 divergence of the beam is about 2 mrad, and that If at least two stripes are present over the full angular beam divergence, then the required displacement will be (assumed ). Therefore, the focus of beam m=-1 and beam m=+1 on the target should be displaced laterally by at least 6.8 μm, or more generally greater than 4 μm, greater than 5 μm, or greater than 6 μm (e.g., between 4 μm and 10 μm, between 5 μm and 10 μm, between 5 μm and 9 μm, between 5.5 μm and 9 μm, between 6 μm and 9 μm, between 6 μm and 8 μm, between 6 μm and 7 μm, or between 6.5 μm and 7 μm).

為了達成此情形,提議使射束m=-1具有照明光瞳中之第一傾角,且使射束m=+1具有照明光瞳中之第二傾角。該照明光瞳可包含在目標/第二繞射元件之前的照明分支中之光瞳平面或傅立葉平面。在一實施例中,第一傾角及第二傾角可在量值上相等且在方向上相反。此對應於遍及整個照明光瞳之均勻像差。To achieve this, it is proposed to have the beam m=-1 with a first tilt in the illumination pupil and the beam m=+1 with a second tilt in the illumination pupil. The illumination pupil may comprise a pupil plane or a Fourier plane in the illumination branch before the target/second diffraction element. In one embodiment, the first tilt and the second tilt may be equal in magnitude and opposite in direction. This corresponds to a uniform aberration throughout the entire illumination pupil.

圖12示意性地繪示用於達成此情形之例示性(部分)組態。最簡單的均勻像差為散焦,且在該組態中插入散焦之最簡單方式為藉由將第一色散元件定位成略微離焦(例如略微自中間場平面(亦即,目標及源之共軛平面)位移)。因而,第一色散元件810位於遠離中間場平面IFP之散焦dZ位移處。藉由將第一色散元件810置放成略微離焦,階之表觀焦點相對於彼此位移 。如前所述,最終聚焦鏡面對源之共軛平面進行成像。 FIG12 schematically illustrates an exemplary (partial) configuration for achieving this. The simplest uniform aberration is defocus, and the simplest way to insert defocus in this configuration is by positioning the first dispersive element slightly out of focus (e.g. slightly displaced from the mid-field plane (i.e., the conjugate plane of the target and source)). Thus, the first dispersive element 810 is located at a defocus dZ displacement away from the mid-field plane IFP. By placing the first dispersive element 810 slightly out of focus, the apparent foci of the order are displaced relative to each other. As mentioned above, the final focusing mirror forms an image in a plane conjugate with the source.

所需的散焦dZ可計算為: 其中 為第一色散元件上之入射角,且p1為第一色散元件之間距。 The required defocus dZ can be calculated as: in is the incident angle on the first dispersive element, and p1 is the spacing of the first dispersive elements.

圖13為繪示 之非零值如何產生分別與(+1,0)及(-1,-1)繞射階相關的(球形)波前1365(+1,0)、1365(-1,-1)之示意圖,該等波前相對於彼此略微傾斜;此又產生(角度 相關) OPD。平面1370為第一繞射元件之共軛平面。 Figure 13 shows Schematic diagram of how a non-zero value of produces (spherical) wavefronts 1365(+1,0), 1365(-1,-1) associated with diffraction orders (+1,0) and (-1,-1), respectively, which are slightly tilted relative to each other; this in turn produces (angle Plane 1370 is a concentric plane of the first diffraction element.

圖14展示當執行此實施例之方法時可在偵測器(單色)上看到之例示性(模擬)條紋圖案。該圖展示X偵測器像素位置DPX相對於Y偵測器像素位置DPY之強度I標繪圖(其中較亮區指示較高強度)。條紋1480之(左側/右側)位置將取決於例如射束(m,n)=(-1,0)與(m,n)=(+1,-1)之間的相位差;此為提供關於目標之額外資訊的相位資訊。因而,可根據條紋在偵測器上之位置來判定射束之間的相位差。FIG. 14 shows an exemplary (simulated) stripe pattern that may be seen on a detector (monochrome) when the method of this embodiment is performed. The figure shows a plot of intensity I for X detector pixel locations DPX relative to Y detector pixel locations DPY (where brighter areas indicate higher intensity). The (left/right) position of the stripe 1480 will depend on the phase difference between, for example, beams (m,n)=(-1,0) and (m,n)=(+1,-1); this is phase information that provides additional information about the target. Thus, the phase difference between the beams can be determined from the position of the stripe on the detector.

此相位差可用於推斷一或多個輪廓參數。舉例而言,描述依據波長而變之相位差的搖擺曲線可經判定且用於推斷一或多個輪廓參數。可展示此類搖擺曲線隨著一些輪廓參數(例如,CD及光柵高度)之變化而顯著變化。This phase difference can be used to infer one or more profile parameters. For example, a wobble curve describing the wavelength-dependent phase difference can be determined and used to infer one or more profile parameters. It can be shown that such a wobble curve varies significantly with changes in some profile parameters (e.g., CD and grating height).

迄今為止,假定可使參考射束及探測射束在偵測器上重疊。下文為描述在什麼條件下確實為此情況之形式。自錐形光柵方程開始: 其中 θ i θ f 分別為入射傾角及出射傾角, φ i φ f 分別為入射方位角及出射方位角, p x p y 分別為在x方向及y方向上之光柵間距,且(h,k,l)為反晶格座標。Z分量可忽略,因為其主要平衡能量守恆。此外,在錐形繞射中,h=0且k=m,如上文所界定。 So far, it has been assumed that the reference beam and the probe beam can be made to overlap at the detector. Below is a formal description of the conditions under which this is indeed the case. Starting from the equation for the conical grating: where θ i and θ f are the incident and exit tilt angles, φ i and φ f are the incident and exit azimuth angles, px and py are the grating spacings in the x and y directions, and (h, k, l) are the inverse lattice coordinates. The Z component can be neglected because it mainly balances energy conservation. In addition, in conical diffraction, h=0 and k=m, as defined above.

為了簡化符號,用於光柵 在錐形組態中之方程將標示為: 其中 為入射射束, 為出射射束,且 為光柵向量。 To simplify the notation, the grating The equation in the pyramidal configuration would be written as: in is the incident beam, is the outgoing beam, and is the grating vector.

在第一光柵之後,出射射束經重新成像且在不同角度下入射於第二光柵上。使 為光柵 上之零階傾角,且使 為第一色散元件與第二色散元件之間的傾角差;接著,在第二色散元件之後的出射射束可經寫為兩個方程之組合: After the first grating, the outgoing beam is re-imaged and incident on the second grating at a different angle. Grating The zero-order tilt above makes is the tilt angle difference between the first dispersive element and the second dispersive element; then, the outgoing beam after the second dispersive element can be written as a combination of two equations:

時,該方程變得簡單得多: 其中 簡單地等於 ,且射束重疊之條件經寫為: 其等於: 其中 為第一色散元件上之間距與第二色散元件上之間距之間的比率,且 為第二色散元件(例如,目標)之間距。對於 ,針對組合(m,n)=(-1,0)及(m',n')=(+1,-1)以及組合(m,n)=(+1,0)及(m',n')=(-1,+1)滿足以上條件。應注意,波長相關性已消失,且因此針對光譜中之所有波長同時達成射束匹配。 when , the equation becomes much simpler: in Simply equal to , and the condition for beam overlap is written as: It is equivalent to: in is the ratio between the spacing on the first dispersive element and the spacing on the second dispersive element, and is the spacing of the second dispersive element (e.g., target). , which is satisfied for the combination (m,n)=(-1,0) and (m',n')=(+1,-1) as well as for the combination (m,n)=(+1,0) and (m',n')=(-1,+1). Note that the wavelength dependence has vanished and thus beam matching is achieved simultaneously for all wavelengths in the spectrum.

以上處理假定第一色散元件上之入射角等於第二色散元件上之入射角。雖然此並非先驗的問題,但此將意謂例如目標上之入射角 的第一色散元件上之相當大的透射損失。一種替代方案係在第一色散元件及第二色散元件兩者上具有更大的掠入射角。迄今為止,還未考慮到此情形,此係因為(1)對於小目標間距,階數不再傳播;且(2)由於焦點投射在晶圓平面上,對目標進行底部填充變得更加困難。儘管如此,可設想以下實施例:其中施加此「相等入射角」幾何形狀,例如當使用較短波長範圍或藉由例如施加寬頻帶(多層)塗層來設計在較大入射角下具有足夠的繞射效率之第一色散元件光柵時。又,此相等入射角幾何形狀可適合一些應用(例如,因為大多數較大的間距受關注)。 The above treatment assumes that the angle of incidence on the first dispersive element is equal to the angle of incidence on the second dispersive element. Although this is not a priori a problem, it would mean that, for example, the angle of incidence on the target An alternative is to have larger grazing incidence angles on both the first and second dispersive elements. To date, this has not been considered because (1) the order no longer propagates for small target spacings and (2) underfilling the targets becomes more difficult due to the focus being projected on the wafer plane. Nevertheless, embodiments can be envisioned in which this “equal incidence angle” geometry is applied, for example when using a shorter wavelength range or by designing a first dispersive element grating with sufficient diffraction efficiency at larger incidence angles, for example by applying a broadband (multi-layer) coating. Again, this equal incidence angle geometry may be suitable for some applications (e.g., because most larger spacings are of concern).

在另一實施例中,在第一色散元件上選擇較大的掠入射角,以利用簡單的塗層來維持高繞射效率及反射率,同時在目標上維持接近法線入射角。在此配置中,在以上方程中, 將為非零。由於 可不再等於 ,則應根據入射射束座標明確地評估 In another embodiment, a larger grazing incidence angle is selected on the first dispersive element to maintain high diffraction efficiency and reflectivity with a simple coating while maintaining a near normal incidence angle on the target. In this configuration, in the above equation, will be non-zero. It is no longer equal to , then it should be explicitly evaluated based on the incident beam coordinates :

運用此表達式,可評估 ,以獲得如上之(與 中之一階近似)類似的條件: Using this expression, we can evaluate , to obtain the above (with A similar condition to the first-order approximation in :

由於此表達式現在包含 ,因此在存在的出射射束之方向上存在波長相關性。此外,第一光柵之間距不再為目標間距之簡單倍數。只要假設重疊的兩個射束之出射射束方向上之差相對於射束之發散度而言較小,波長相關性並非一個緊迫的問題。又,可始終找到間距p1,使得重疊對於至少一個波長為完美的。用於鑒於第一色散元件及第二色散元件上之入射角 以及用於單一波長之第二色散元件之目標間距 p 2 找到最佳匹配間距 p 1 的遞回關係係由下式給出: Since this expression now contains , so there is a wavelength dependency in the direction of the exit beams that exist. Furthermore, the spacing of the first grating is no longer a simple multiple of the target spacing. Wavelength dependency is not a pressing issue as long as it is assumed that the difference in the exit beam directions of the two overlapping beams is small compared to the divergence of the beams. Moreover, a spacing p1 can always be found such that the overlap is perfect for at least one wavelength. For the angle of incidence on the first dispersive element and the second dispersive element and And the recursive relationship for finding the best matching spacing p1 for the target spacing p2 of the second dispersion element for a single wavelength is given by:

對於此間距,在波長 下之重疊為完美的,而對於更遠的波長,重疊會減少。 For this spacing, the wavelength The overlap is perfect below 100 nm and decreases for further wavelengths.

除了上文所提及之參數之外,還有多個參數可調諧以便最佳化射束重疊。舉例而言,在一實施例中,可調諧入射在第一色散元件上之第一方位角及入射在第二色散元件上之第二方位角中之一者或兩者。第一色散元件之間距可為可變的(例如,可變線空間光柵)以提供波長相關散焦及傾斜像差。第一色散元件可包含透射光柵或較複雜的繞射元件。第一色散元件與第二色散元件之間的橢球形最終聚焦鏡面之光學參數(例如,入射角、影像距離、物距)可經最佳化,例如以使所有階在與長橢球形軸線相交之對稱平面處反射。如已經陳述,可使與明確地描述之射束對不同的射束對重疊。In addition to the parameters mentioned above, there are many more parameters that can be tuned to optimize beam overlap. For example, in one embodiment, one or both of a first azimuth angle incident on a first dispersive element and a second azimuth angle incident on a second dispersive element can be tuned. The spacing of the first dispersive element can be variable (e.g., variable line space gratings) to provide wavelength-dependent defocus and tilt aberrations. The first dispersive element can include a transmissive grating or a more complex diffraction element. The optical parameters of the elliptical final focusing mirror between the first dispersive element and the second dispersive element (e.g., angle of incidence, image distance, object distance) can be optimized, for example, so that all orders are reflected at symmetry planes that intersect the long elliptical axis. As already stated, beam pairs other than those explicitly described can be superimposed.

第一色散元件之間距 p 1 與目標間距 p 2 相關。對於小目標間距變化(例如間距遊動),第一色散元件上之入射角可經修改以根據以上遞回關係來擷取重疊條件。對於目標間距之較大改變,需要不同的第一色散元件間距。在一實施例中,此可藉由提供已經描述之光柵選擇器或光柵輪來實施。 The spacing p1 of the first dispersive element is related to the target spacing p2 . For small target spacing changes (e.g. spacing play), the angle of incidence on the first dispersive element can be modified to capture the overlap condition according to the above recursive relationship. For larger changes in target spacing, a different first dispersive element spacing is required. In one embodiment, this can be implemented by providing a grating selector or grating wheel as already described.

在一實施例中,可使用各種曝光方案。舉例而言,藉由隨後1)用m=-1及m=+1射束同時曝光目標(因此獲得干涉條紋)且2)用m=-1及m=+1射束依序曝光。可藉由判定此等曝光之差來分析所得資料,藉此僅獲得相位回應以及由於移除了散射及非干涉輻射而得到的更清晰的信號。In one embodiment, various exposure schemes may be used. For example, by subsequently 1) exposing the target with m=-1 and m=+1 beams simultaneously (thus obtaining interference fringes) and 2) exposing sequentially with m=-1 and m=+1 beams. The resulting data may be analyzed by determining the difference between these exposures, thereby obtaining only the phase response and a cleaner signal due to the removal of scattered and non-interfering radiation.

在一實施例中,可藉由阻擋第一色散元件之後的繞射階m中之波長中之一些來達成顏色選擇。在以上的大部分本文中,僅考慮 階,但來自第一色散元件之m=0射束仍可用於習知的SXR度量衡,或可使(m,n)=(0,+/-n)射束干涉 射束。 In one embodiment, color selection can be achieved by blocking some of the wavelengths in the diffraction order m after the first dispersive element. In most of the above text, only the wavelengths in the order m are considered. However, the m=0 beam from the first dispersive element can still be used for the known SXR measurement, or the (m,n)=(0,+/-n) beam can be interferometrically Beam.

現將描述多個干涉結構量測配置,其使得能夠根據自經量測結構散射的輻射進行直接相位偵測。此類配置可類似於以上相位偵測段落中已經描述之配置。A number of interferometric structure measurement configurations will now be described which enable direct phase detection based on radiation scattered from the measurement structure. Such configurations may be similar to those already described in the phase detection section above.

該等方法可包含產生探測輻射(例如,探測射束)及參考輻射(例如,參考射束)、用探測輻射及參考輻射照明基板上之至少一個結構以獲得散射探測輻射及散射參考輻射,以及在偵測器上干涉散射探測輻射及散射參考輻射。可根據經干涉之散射探測輻射及散射參考輻射來判定一或多個結構參數及/或輪廓參數。The methods may include generating probe radiation (e.g., a probe beam) and reference radiation (e.g., a reference beam), illuminating at least one structure on a substrate with the probe radiation and the reference radiation to obtain scattered probe radiation and scattered reference radiation, and interfering the scattered probe radiation and the scattered reference radiation at a detector. One or more structural parameters and/or profile parameters may be determined based on the interfering scattered probe radiation and the scattered reference radiation.

在此段落中所描述之許多配置中,探測射束可照明第一結構或所關注結構,且參考射束可照明參考結構(例如,包含與第一結構實質上相同的間距)。因而,可提供包含兩個焦點之聚焦鏡面配置,以將此等射束中之各者聚焦在其各別的結構上。替代地,照明輻射可在鏡面配置之前分裂(例如,驅動雷射輻射可分裂)。然而,參考射束亦可照明第一結構,或可自另一反射器(例如鏡面)反射。In many of the arrangements described in this paragraph, the probe beam may illuminate a first structure or structure of interest, and the reference beam may illuminate a reference structure (e.g. comprising substantially the same spacing as the first structure). Thus, a focusing mirror arrangement comprising two focal points may be provided to focus each of these beams on its respective structure. Alternatively, the illuminating radiation may be split before the mirror arrangement (e.g. the drive laser radiation may be split). However, the reference beam may also illuminate the first structure, or may be reflected from another reflector (e.g. a mirror).

此段落中所描述之方法可與以上相位偵測段落中所描述之多個入射角實施例(例如,使用第一色散元件產生)結合來實施,或完全獨立於此多個入射角實施例來實施。因而,使用第一色散元件以產生探測射束及參考射束且用探測射束照明第一結構以及用參考射束照明第二結構以執行本文中所揭示之干涉量測術亦係在此段落之範疇內。然而,此段落現將描述產生探測射束及參考射束且在偵測器上干涉來自至少一個結構之各別的散射輻射之替代方法。The methods described in this paragraph may be implemented in conjunction with the multiple incident angle embodiments described in the phase detection paragraph above (e.g., generated using a first dispersive element), or implemented entirely independently of such multiple incident angle embodiments. Thus, it is also within the scope of this paragraph to use a first dispersive element to generate a probe beam and a reference beam and illuminate a first structure with the probe beam and illuminate a second structure with the reference beam to perform the interferometry disclosed herein. However, this paragraph will now describe an alternative method of generating a probe beam and a reference beam and interfering respective scattered radiation from at least one structure at a detector.

習知的度量衡僅偵測繞射振幅,而有價值的資訊亦存在於繞射光之絕對相位中。舉例而言,信號之頻率對應於無相位之層高度,而運用相位資訊,可推斷絕對的z座標(在垂直於基板平面之方向上之絕對位置)。Conventional metrology only detects the diffraction amplitude, but valuable information also exists in the absolute phase of the diffracted light. For example, the frequency of the signal corresponds to the layer height without phase, while using the phase information, the absolute z-coordinate (absolute position in the direction perpendicular to the substrate plane) can be inferred.

在此段落中,將描述用於對結構(例如,度量衡目標)執行干涉量測之多個配置及/或方法。為了執行干涉量測術,照明射束劃分成參考射束及探測射束。在探測射束與度量衡目標(第一結構)相互作用之後,其與參考射束重新組合,例如使得此等射束在偵測平面處(亦即,在偵測器上)干涉。In this section, a number of configurations and/or methods for performing interferometry on a structure (e.g., a metrology target) will be described. To perform interferometry, an illumination beam is split into a reference beam and a probe beam. After the probe beam interacts with the metrology target (first structure), it is recombined with the reference beam, e.g., such that the beams interfere at a detection plane (i.e., at the detector).

圖15繪示根據此段落之干涉結構量測配置之三個不同實施。FIG. 15 shows three different implementations of the interferometric structure measurement configuration according to this paragraph.

圖15(a)包含一例示性配置,其中在SXR產生之前,執行劃分成探測射束及參考射束,亦即,藉由劃分驅動輻射射束(紅外光IR輻射)。驅動雷射輻射1500 (例如,IR輻射)由射束分裂配置1505 (其可在細節上不同於所繪示之簡化的配置)劃分成第一驅動輻射射束1510a及第二驅動輻射射束1510b。驅動輻射射束1510a、1510b中之各者用於藉由激發HHG模組1515 (例如包含諸如已經描述的合適的氣體之HHG介質)例如經由HHG程序來產生各別的SXR射束1520a、1520b。SXR射束中之一者,亦即探測射束1520a,照明第一結構1535或第一目標,亦即,所關注結構或目標(例如,對其執行輪廓量測術及/或疊對度量衡之結構)。另一射束,亦即參考射束1520b,照明參考結構1530或參考目標。第一結構1535及參考結構1530可在基板上彼此鄰近。聚焦鏡面或其他光學元件1525可用於用其各別的SXR射束1520a、1520b照明各結構1535、1530。因而,兩個射束(探測及參考) 1520a、1520b在晶圓上彼此靠近地成像。FIG15( a) includes an exemplary configuration in which splitting into a probe beam and a reference beam is performed prior to SXR generation, i.e., by splitting a drive radiation beam (infrared IR radiation). Drive laser radiation 1500 (e.g., IR radiation) is split into a first drive radiation beam 1510a and a second drive radiation beam 1510b by a beam splitting configuration 1505 (which may differ in detail from the simplified configuration shown). Each of the drive radiation beams 1510a, 1510b is used to generate a respective SXR beam 1520a, 1520b, for example, via a HHG process, by exciting a HHG module 1515 (e.g., a HHG medium comprising a suitable gas as already described). One of the SXR beams, the probe beam 1520a, illuminates a first structure 1535 or a first target, i.e., a structure or target of interest (e.g., a structure on which profilometry and/or overlay metrology is performed). The other beam, the reference beam 1520b, illuminates a reference structure 1530 or a reference target. The first structure 1535 and the reference structure 1530 may be adjacent to each other on a substrate. A focusing mirror or other optical element 1525 may be used to illuminate each structure 1535, 1530 with its respective SXR beam 1520a, 1520b. Thus, the two beams (probe and reference) 1520a, 1520b are imaged close to each other on the wafer.

類似於正常的輪廓量測術,散射探測輻射1540a攜帶輪廓量測術資訊。此資訊存在於繞射階中。然而,相比於正常的輪廓量測術,現在存在由自參考結構1530繞射之參考SXR射束1520b產生的散射參考輻射1540b。參考結構1530可包含簡單的1D光柵,其充當參考鏡面。平面參考鏡面將不提供與輪廓量測術目標相同的繞射階;因此,參考結構1530可包含光柵結構,其具有與第一結構1535相同的間距(或足夠類似的間距)。Similar to normal profilometry, the scattered probe radiation 1540a carries the profilometry information. This information is present in the diffraction order. However, compared to normal profilometry, there is now scattered reference radiation 1540b produced by the reference SXR beam 1520b diffracted from the reference structure 1530. The reference structure 1530 may comprise a simple 1D grating, which acts as a reference mirror. A planar reference mirror will not provide the same diffraction order as the profilometry target; therefore, the reference structure 1530 may comprise a grating structure having the same spacing as the first structure 1535 (or a spacing sufficiently similar).

散射探測輻射1540a及散射參考輻射1540b各自包含繞射圖案,其可在偵測器1550上重疊。此重疊可在偵測器1550上直接發生,而無需任何射束組合器。The scattered detection radiation 1540a and the scattered reference radiation 1540b each include a diffraction pattern, which may be superimposed at the detector 1550. This superimposition may occur directly at the detector 1550 without the need for any beam combiner.

圖15(b)繪示散射探測輻射1540a與散射參考輻射1540b之重疊,從而產生由偵測器偵測到之散射探測輻射之影像1555a及散射參考輻射之影像1555b。干涉圖案1560形成於影像1555a與1555b之重疊區中。射束之重疊針對所有繞射諧波而非僅單一鏡面反射射束發生(此由圖15(a)、圖15(c)、圖15(d)中之射束1540a、1540b之陰影象徵性地反映)。應注意,圖15(b)展示用於圖15中所繪示之所有實施例之偵測配置。FIG. 15( b) shows the superposition of scattered probe radiation 1540a and scattered reference radiation 1540b, resulting in an image 1555a of the scattered probe radiation and an image 1555b of the scattered reference radiation detected by the detector. An interference pattern 1560 is formed in the overlapping region of images 1555a and 1555b. The superposition of beams occurs for all diffraction harmonics and not just a single mirror-reflected beam (this is symbolically reflected by the shading of beams 1540a, 1540b in FIG. 15( a), FIG. 15( c), and FIG. 15( d)). It should be noted that FIG. 15( b) shows the detection configuration used for all embodiments shown in FIG. 15.

自此干涉圖案(例如,每諧波或波長),除了經偵測強度之外,亦可提取散射探測輻射之絕對相位(相位資料或絕對相位資料)。此相位資訊可根據例如條紋之間的距離及/或條紋之位置來判定。第一結構之一或多個輪廓參數(例如,包括疊對)可根據經判定絕對相位資料(例如,以及強度資料)來判定。舉例而言,可對第一結構執行完整的輪廓量測術以便判定複數個其輪廓參數。From this interference pattern (e.g., each harmonic or wavelength), in addition to the detected intensity, the absolute phase of the scattered detection radiation (phase data or absolute phase data) can also be extracted. This phase information can be determined based on, for example, the distance between the stripes and/or the position of the stripes. One or more profile parameters (e.g., including the overlay) of the first structure can be determined based on the determined absolute phase data (e.g., and the intensity data). For example, a complete profilometry can be performed on the first structure in order to determine a plurality of its profile parameters.

可瞭解,由於相干長度短,因此用於各射束路徑1520a、1520b之兩個路徑長度應匹配。由於HHG為相干程序,因此此在兩個射束中產生相互相干的SXR。例如,可藉由在驅動輻射射束1510a、1510b中之一者或兩者之路徑中提供路徑長度調整而在IR側上(例如,在射束分裂配置1505內)實施此匹配。該等射束僅位移少量,例如,約焦點大小。由於發散度與兩個射束重疊,因此僅需要橫向位移。It will be appreciated that due to the short coherence length, the two path lengths for each beam path 1520a, 1520b should be matched. Since HHG is a coherent process, this produces mutually coherent SXR in both beams. For example, this matching can be implemented on the IR side (e.g., within the beam splitting configuration 1505) by providing path length adjustments in the paths of one or both of the driving radiation beams 1510a, 1510b. The beams are only displaced by a small amount, e.g., about the size of the focal spot. Since the divergence overlaps with the two beams, only a lateral displacement is required.

IR射束1500應分離且緊密地組合,其中準確地控制其相對路徑長度使得其充分匹配。在SXR波長必IR波長短50至100倍之情況下,此挑戰可顯著大於正常的干涉計(取決於對SXR參數之敏感度,此相較於可見光,在波長方面可為有利的)。該等射束在射束分裂器配置1505之後經由同一光學件行進,因此,只有此射束分裂器配置1505需要穩定。此分裂器可包含單片總成,其僅具有最小非共同路徑長度(亦即,不由兩個射束共用)。The IR beams 1500 should be separated and tightly combined, with their relative path lengths accurately controlled so that they are well matched. With SXR wavelengths 50 to 100 times shorter than IR wavelengths, this challenge can be significantly greater than with normal interferometers (depending on the sensitivity to SXR parameters, which can be favorable in wavelength compared to visible light). The beams travel through the same optics after the beam splitter arrangement 1505, so only this beam splitter arrangement 1505 needs to be stabilized. This splitter can include a monolithic assembly with only minimal non-common path lengths (i.e., not shared by both beams).

舉例而言,在以引用的方式併入本文中之Jansen等人, Spatially resolved Fourier transform spectroscopy in the extreme ultraviolet;Optica, 第3卷, 第10期, 第1122至1125頁(2016年)中之傅立葉變換光譜學之上下文中描述用於產生兩個SXR射束1520a、1520b且對其進行干涉之方法。此傅立葉變換光譜學實際上為僅譜幅量測,且不描述用於量測目標之相位回應之分裂路徑。 For example, a method for generating and interfering two SXR beams 1520a, 1520b is described in the context of Fourier transform spectroscopy in Jansen et al., Spatially resolved Fourier transform spectroscopy in the extreme ultraviolet; Optica, Vol. 3, No. 10, pp. 1122-1125 (2016), which is incorporated herein by reference. This Fourier transform spectroscopy is actually only a spectral amplitude measurement and does not describe a split path for measuring the phase response of a target.

為了提供一些純粹用於繪示之實例,SXR射束發散度大約為2 mrad,且該偵測器可距SXR源大致40 mm。因而,當光點落在偵測器上時,其可發散大致80 μm。若兩個光點例如相距大致20 μm,則此將在偵測器上提供較大重疊。當光點在偵測器處重疊時,其可達到0.5 mrad之角度。在偵測器上之80 μm的距離內,此將為大約40 nm,其對於10 nm波長為4個波。此在偵測器上需要10 μm的像素大小,以在奈奎斯特頻率(Nyquist frequency)下取樣;然而,較小像素可為較佳的。To provide some examples purely for illustration, the SXR beam divergence is approximately 2 mrad, and the detector may be approximately 40 mm from the SXR source. Thus, when the spot falls on the detector, it may diverge approximately 80 μm. If the two spots are, for example, approximately 20 μm apart, this will provide a larger overlap at the detector. When the spots overlap at the detector, they may reach an angle of 0.5 mrad. Over a distance of 80 μm on the detector, this will be approximately 40 nm, which is 4 waves for a 10 nm wavelength. This requires a pixel size of 10 μm on the detector to sample at the Nyquist frequency; however, smaller pixels may be preferred.

圖15(c)繪示另一實例,其克服了分裂IR驅動輻射射束之困難。在此實施例中,聚焦鏡面配置(或照明器)分裂成兩個鏡面部分1525a、1525b,使得其將SXR射束1522分裂成參考射束1524b及探測射束1524a。應注意,在此實例中,單一HHG射束1522係由HHG模組1515產生,HHG射束1522包含入射於鏡面部分1525a上之第一射束部分(在圖中由射束邊緣1522a繪示)及入射於鏡面部分1525b上之第二射束部分(在圖中由射束邊緣1522b繪示)。FIG15( c ) shows another example that overcomes the difficulty of splitting the IR driven radiation beam. In this embodiment, the focusing mirror arrangement (or illuminator) is split into two mirror portions 1525 a, 1525 b so that it splits the SXR beam 1522 into a reference beam 1524 b and a detection beam 1524 a. It should be noted that in this example, a single HHG beam 1522 is generated by the HHG module 1515, and the HHG beam 1522 includes a first beam portion incident on the mirror portion 1525 a (shown in the figure by the beam edge 1522 a) and a second beam portion incident on the mirror portion 1525 b (shown in the figure by the beam edge 1522 b).

自分裂鏡面1525a、1525b之視角,參考射束1524b及探測射束1524a在遠場中分裂。射束中之各者之遠場輪廓將因此為分別在相反定向上之(近似)半圓形或「D」形狀。此可減小偵測器上之兩個射束之間的重疊區,但總體原理不變。由於半圓形遠場輪廓,兩個射束將僅當在射束1524a、1524b之間引入小的入射角差時重疊,否則,兩個半圓形輪廓將彼此鄰近,且僅繞射效應引起重疊。因而,鏡面部分中之一者可相對於另一者傾斜(例如,可調節的鏡面部分1525b可傾斜),使得兩個鏡面部分之間存在小角度傾斜差。From the perspective of the splitting mirrors 1525a, 1525b, the reference beam 1524b and the detection beam 1524a are split in the far field. The far field profile of each of the beams will therefore be a (nearly) semicircular or "D" shape, respectively, in opposite orientations. This can reduce the overlap area between the two beams at the detector, but the general principle remains the same. Due to the semicircular far field profiles, the two beams will overlap only when a small angle of incidence difference is introduced between the beams 1524a, 1524b, otherwise the two semicircular profiles will be adjacent to each other and only diffraction effects will cause the overlap. Thus, one of the mirror portions can be tilted relative to the other (eg, adjustable mirror portion 1525b can be tilted) such that there is a small angle tilt difference between the two mirror portions.

若重疊區太小以至於沒有要區分的完整條紋,則可藉由移動鏡面片段(例如,藉由提供至少一個可移動鏡面部分1525b,如箭頭所繪示)來掃描相位以獲得絕對相位。因而,倘若存在某一(甚至小)重疊,則可擷取相位。If the overlap is too small to distinguish complete stripes, the phase can be scanned by moving the mirror segments (e.g., by providing at least one movable mirror portion 1525b, as indicated by the arrow) to obtain the absolute phase. Thus, if there is some (even small) overlap, the phase can be captured.

該鏡面為此干涉計實例之最敏感元件,此係因為兩個射束路徑落至兩個不同的光學表面上。由於鏡面部分1525a、1525b在一起極其接近,因此其可機械地且以熱方式耦接以獲得在振動及熱差方面的穩定性。兩個目標1535、1530亦為不同光學路徑之各部分,但緊密接近地(例如在數十μm內)位於同一基板上,且因此,振動或熱效應不應成為問題。The mirror is the most sensitive element of this interferometer example because the two beam paths fall onto two different optical surfaces. Because the mirror portions 1525a, 1525b are in close proximity together, they can be coupled mechanically and thermally for stability with respect to vibration and thermal differences. The two targets 1535, 1530 are also parts of different optical paths, but are in close proximity (e.g., within tens of μm) on the same substrate, and therefore, vibration or thermal effects should not be a problem.

可藉由使用呈D形狀之兩個單獨的鏡面坯料來製造鏡面部分1525a、1525b,之後,其可彼此靠近地安裝。The mirror portions 1525a, 1525b may be manufactured by using two separate mirror blanks in a D shape, which may then be mounted adjacent to each other.

圖15(d)繪示圖15(c)配置之變化,其中鏡面部分1525b'中之一者可控制地變形。此可藉由例如局域熱膨脹或鏡面塗層下方之(例如,壓電)致動器來達成。作為插圖中所繪示之替代方案,該鏡面可包含單片鏡面1525',其具有可控制地變形的部分(例如,其僅聚焦射束1524a、1524b中之一者)。如同圖15(c)之實例,兩個個別鏡面部分1525a、1525b可機械地且以熱方式耦接以實際上充當單一鏡面,且藉此(幾乎)不受振動或漂移影響。FIG15( d) shows a variation of the configuration of FIG15( c) in which one of the mirror portions 1525b' can be controllably deformed. This can be achieved, for example, by localized thermal expansion or a (e.g., piezoelectric) actuator beneath the mirror coating. As an alternative to that shown in the illustration, the mirror can include a single mirror 1525' having a portion that can be controllably deformed (e.g., which only focuses one of the beams 1524a, 1524b). As with the example of FIG15( c), the two individual mirror portions 1525a, 1525b can be mechanically and thermally coupled to effectively act as a single mirror, and thereby be (almost) unaffected by vibration or drift.

在任一情況下,射束1524a、1524b中之各者仍可由不同鏡面部分1525a、1525b'或鏡面1525'之不同區聚焦在各別的結構1535、1530上。舉例而言,可變形或主動區域可將參考射束1524b聚焦在參考結構1530上,其中鏡面之正常部分可將探測射束1524a聚焦在第一結構1535上。該鏡面因此將如前所述具有兩個焦點。對單片光學件1525'塑形以具有兩個焦點可具有挑戰性,且因此,兩個鏡面部分1525a、1525b'配置可更易於實施。In either case, each of the beams 1524a, 1524b may still be focused on respective structures 1535, 1530 by different mirror portions 1525a, 1525b' or different regions of the mirror 1525'. For example, the deformable or active region may focus the reference beam 1524b on the reference structure 1530, where the normal portion of the mirror may focus the probe beam 1524a on the first structure 1535. The mirror would thus have two focal points as previously described. Shaping a monolithic optic 1525' to have two focal points may be challenging, and therefore, a two mirror portion 1525a, 1525b' configuration may be easier to implement.

在一實施例中,可針對整個射束使用標準的鏡面部分1525a來達成聚焦,其中一半射束之所需傾斜係由可變形鏡面部分1525b'施加。In one embodiment, focusing may be achieved using a standard mirror portion 1525a for the entire beam, with the desired tilt for half the beam being imposed by a deformable mirror portion 1525b'.

可瞭解,兩個鏡面部分1525a、1525b'或鏡面1525'之不同區可各自可變形。It will be appreciated that the two mirror portions 1525a, 1525b' or different regions of the mirror 1525' may be individually deformable.

圖16(a)繪示另一實施例,其不需要改變量測設置,而是依賴於經量測之目標或結構1630、1635之特定屬性。詳言之,提議在第一目標1635與參考結構1630之間施加高度差。藉由將入射射束1624 (應注意,此在此實施例中為在基板之前尚未分裂的單一SXR射束)聚焦在劃分參考結構1630與第一結構1635之邊緣上,射束1624在基板位階處分裂。在進一步傳播後,射束發散且再次在偵測器1550處重疊。此方法需要每相位差在參考結構1630與第一結構1635之間有專用邊緣。為了掃描相位,參考結構1635之不同的高度/相位偏移可鄰近於第一目標1630置放。Fig. 16(a) shows another embodiment which does not require a change in the metrology setup, but relies on specific properties of the targets or structures 1630, 1635 being measured. In detail, it is proposed to impose a height difference between a first target 1635 and a reference structure 1630. By focusing the incident beam 1624 (note that this is in this embodiment a single SXR beam that has not been split before the substrate) on the edge that separates the reference structure 1630 and the first structure 1635, the beam 1624 is split at the substrate level. After further propagation, the beam diverges and overlaps again at the detector 1550. This approach requires a dedicated edge between the reference structure 1630 and the first structure 1635 per phase difference. To scan the phase, a reference structure 1635 of different height/phase offsets may be placed adjacent to the first target 1630 .

此配置之優點為未在射束路徑中接合之僅有的光學元件為參考結構及第一結構,其位於同一基板上且彼此相距數十微米內。此使此干涉計配置不受振動或熱漂移影響。An advantage of this configuration is that the only optical elements not joined in the beam path are the reference structure and the first structure, which are located on the same substrate and within tens of microns of each other. This makes this interferometer configuration immune to vibration or thermal drift.

圖16(b)包含一方法,其包含一對EUV射束分裂器1660,通常為表膜,其經配置以分別將SXR輻射射束分裂成探測射束及參考射束且組合該探測射束及該參考射束。此類似於如馬赫耳-曾德(Mach-Zehnder)干涉計設置。應注意,此配置僅組合鏡面反射(參考)射束(例如,自反射器或鏡面1639反射)。此方法機械地簡單,且對經量測之結構沒有限制。FIG. 16( b ) includes a method that includes a pair of EUV beam splitters 1660, typically pellicles, configured to split the SXR radiation beam into a probe beam and a reference beam, respectively, and to combine the probe beam and the reference beam. This is similar to, for example, a Mach-Zehnder interferometer setup. It should be noted that this configuration only combines mirror-reflected (reference) beams (e.g., reflected from a reflector or mirror 1639). This method is mechanically simple and has no restrictions on the structure being measured.

另一實施例為具有SXR照明之設備,其用於同時在目標上以多個入射角進行度量衡量測。該度量衡量測係基於由偵測器/感測器進行之相位量測及/或強度量測。Another embodiment is an apparatus with SXR illumination for performing metrological measurements at multiple incident angles on a target simultaneously. The metrological measurements are based on phase measurements and/or intensity measurements performed by a detector/sensor.

另一實施例為具有SXR照明之設備,其用於相位資訊量測。可在目標上以多個入射角或以單一入射角量測相位資訊量測。Another embodiment is an apparatus with SXR illumination for phase information measurement. Phase information measurement can be measured at multiple angles of incidence or at a single angle of incidence on a target.

實施例可包括電腦程式,該電腦程式含有一或多個機器可讀指令序列,該等機器可讀指令序列描述光學度量衡之方法及/或分析量測以獲得關於微影程序之資訊的的方法。實施例可包含含有描述方法之機器可讀指令或資料之一或多個序列的電腦程式碼。舉例而言,此電腦程式或程式碼可在圖6之設備中之單元MPU及/或圖3的控制單元CL內執行。亦可提供經儲存有此電腦程式或程式碼之資料儲存媒體(例如,半導體記憶體,磁碟或光碟等等)。在現有度量衡設備(例如,圖6中所展示之類型)已經在生產及/或使用中之情況下,本發明的實施例可藉由提供經更新的電腦程式產品來實施,以致使處理器執行本文所描述之方法中的一或多者。電腦程式或程式碼可視情況經配置以控制光學系統、基板支撐件及其類似者以執行量測關於合適複數個目標之微影程序之參數之方法。電腦程式或程式碼可更新微影及/或度量衡配方以用於另外基板之量測。電腦程式或程式碼可經配置以控制(直接地或間接地)微影設備以用於另外基板之圖案化及處理。Embodiments may include a computer program containing one or more machine-readable instruction sequences that describe methods of optical metrology and/or methods of analyzing measurements to obtain information about lithographic processes. Embodiments may include computer program code containing one or more sequences of machine-readable instructions or data describing the methods. For example, the computer program or program code may be executed in the unit MPU in the apparatus of FIG. 6 and/or the control unit CL of FIG. 3. A data storage medium (e.g., semiconductor memory, magnetic or optical disk, etc.) having the computer program or program code stored therein may also be provided. Where existing metrology equipment (e.g., of the type shown in FIG. 6 ) is already in production and/or in use, embodiments of the invention may be implemented by providing an updated computer program product to cause a processor to perform one or more of the methods described herein. The computer program or program code may be configured to control an optical system, a substrate support, and the like, as appropriate, to perform methods of measuring parameters of a lithography process for a plurality of targets. The computer program or program code may update lithography and/or metrology recipes for measurement of additional substrates. The computer program or program code may be configured to control (directly or indirectly) lithography equipment for patterning and processing of additional substrates.

該照明源可經提供於例如度量衡設備MT、檢測設備、微影設備LA及/或微影單元LC中。The illumination source may be provided, for example, in the metrology apparatus MT, the inspection apparatus, the lithography apparatus LA and/or the lithography cell LC.

應注意,術語「繞射階」涵蓋鏡面輻射或零階。It should be noted that the term "diffraction order" covers both specular radiation or zero order.

用以執行量測之發射輻射之屬性可影響所獲得量測之品質。舉例而言,輻射射束之橫向射束輪廓(橫截面)之形狀及大小、輻射之強度、輻射之功率譜密度等可影響由輻射執行之量測。因此,具有提供輻射之源係有益的,該輻射具有產生高品質量測之屬性。The properties of the emitted radiation used to perform measurements can affect the quality of the measurements obtained. For example, the shape and size of the transverse beam profile (cross-section) of the radiation beam, the intensity of the radiation, the power spectrum density of the radiation, etc. can affect the measurements performed by the radiation. Therefore, it is beneficial to have a source that provides radiation that has properties that produce high quality measurements.

在所有以上條項中,術語「輪廓參數」可包含例如以下各者中之一或多者:疊對、蝕刻深度、側壁角、層厚度、關鍵尺寸(CD)及/或任何其他尺寸。In all of the above clauses, the term "profile parameters" may include, for example, one or more of the following: overlay, etch depth, sidewall angle, layer thickness, critical dimension (CD), and/or any other dimension.

在後續經編號條項中揭示其他實施例: 1. 一種用於量測一基板上之一第二色散元件之一輪廓參數的度量衡工具,該度量衡工具包含: 一第一色散元件,其可操作以接收且散射源輻射以便產生第一散射輻射; 一最終聚焦元件,其經組態以: 收集該第一散射輻射之至少一部分,該經收集第一散射輻射包含複數個第一散射射束,其各自已在一各別不同的方向上散射;及 將該經收集第一散射輻射聚焦在一第二色散元件上,使得該等第一散射射束中之各者以一各別不同的入射角入射於該第二色散元件上;及 至少一個偵測器,其可操作以偵測已由該第二色散元件散射之第二散射輻射。 2. 如條項1之度量衡工具,其中該第一色散元件包含一光柵。 3. 如條項1或2之度量衡工具,其包含一第一聚焦元件,該第一聚焦元件可操作以將該源輻射聚焦在一中間場平面處。 4. 如條項3之度量衡工具,其中該第一聚焦元件包含一第一聚焦鏡面元件。 5. 如條項2至4中任一項之度量衡工具,其中該第一色散元件位於該中間場平面處。 6. 如條項3或4之度量衡工具,其中該度量衡工具經組態以使得: 包含在該第二散射輻射內之至少一對第二散射射束在該偵測器上重疊以形成干涉條紋。 7. 如條項6之度量衡工具,其中該度量衡工具經組態以針對各對第二散射射束根據各別的該等干涉條紋在該偵測器上之位置來判定各該對第二散射射束中之該等射束之間的一相位差。 8. 如條項6或7之度量衡工具,其經組態以使得至少一對該等第一散射射束包含該中間場平面中之各別不同的有效原點。 9. 如條項8之度量衡工具,其中該等各別不同的有效原點之間的位移大於4 μm。 10.   如條項8之度量衡工具,其中該等各別不同的有效原點之間的該位移係在4 μm與10 μm之間。 11.    如條項8之度量衡工具,其中該等各別不同的有效原點之間的該位移係在5 μm與9 μm之間。 12.   如條項6至11中任一項之度量衡工具,其中該第一色散元件定位成與該中間場平面相距一散焦距離。 13.   如條項1之度量衡工具,其中該第一色散元件包含位於一源平面處之一虛擬的第一色散元件。 14.   如條項13之度量衡工具,其包含用於產生該源輻射之一輻射源,該輻射源包含一雷射源配置,該雷射源配置可操作以產生朝向一氣體目標之一對輻射射束,使得該對輻射射束在該氣體目標中以各別不同的角度在時間上且在空間上重疊,以便產生形成該虛擬的第一色散元件之一干涉圖案。 15.   如條項14之度量衡工具,其在該對輻射射束中之至少一個輻射射束之路徑中包含一可旋轉波片。 16.   如條項14或15之度量衡工具,其中該輻射源可操作以產生包含在1 nm至20 nm之範圍內的一或多個波長之源輻射。 17.   如條項1至12中任一項之度量衡工具,其進一步包含用於產生該源輻射之一輻射源,其中該輻射源可操作以產生包含在1 nm至20 nm之範圍內的一或多個波長之源輻射。 18.   如任一前述條項之度量衡工具,其中該最終聚焦元件包含一最終聚焦鏡面元件。 19.   如條項18之度量衡工具,其中該最終聚焦鏡面元件包含具有一反射性內部表面之一中空長橢球體部分。 20.   如條項19之度量衡工具,其中該中空長橢球體部分包含一輸入端處之一第一開口及一輸出端處之一第二開口。 21.   如條項19之度量衡工具,其中該第一開口及第二開口各自由平行於該中空長橢球體之短軸平面的一切口界定。 22.   如條項20或21之度量衡工具,其中該第一開口大於該第二開口。 23.   如條項23之度量衡工具,其中該第一開口係由沿著該短軸平面之一切口或該短軸平面與該輸出端之間的平行於該短軸平面之一切口界定。 24.   如任一前述條項之度量衡工具,其中該最終聚焦元件係完全旋轉對稱的。 25.   如任一前述條項之度量衡工具,其中該第二色散元件包含經量測之一目標。 26.   如任一前述條項之度量衡工具,其中該輪廓參數包含該第二色散元件之除其位置之外的一物理參數。 27.   如任一前述條項之度量衡工具,其包含一處理器,該處理器可操作以根據至少該經偵測第二散射輻射判定該輪廓參數。 28.   如任一前述條項之度量衡工具,其中聚焦於該第二色散元件上之該經收集第一散射輻射包含該第一散射輻射之一零階分量。 29.   如任一前述條項之度量衡工具,其中入射於該第二色散元件上之該第一散射射束包含已自該第一色散元件散射之至少兩個非互補繞射階。 30.   如任一前述條項之度量衡工具,其包含根據該第二散射輻射判定用於與該第二色散元件相關的至少一個所關注參數之一值。 31.   一種聚焦鏡面元件,其包含一中空長橢球體部分,該中空長橢球體部分具有一反射性內部表面且包含一輸入端處之一第一開口及一輸出端處之一第二開口。 32.   如條項31之聚焦鏡面元件,其中該第一開口及第二開口各自由平行於該中空長橢球體之短軸平面的一切口界定。 33.   如條項30之聚焦鏡面元件,其中該第一開口大於該第二開口。 34.   如條項33之聚焦鏡面元件,其中該第一開口係由沿著該短軸平面之一切口或該短軸平面與該輸出端之間的平行於該短軸平面之一切口界定。 35.   如條項31至34中任一項之聚焦鏡面元件,其中該聚焦元件係完全旋轉對稱的。 36.   一種製造如條項31至35中任一項之聚焦鏡面元件之方法,其包含: 提供包含一長橢球體部分之一軸向對稱的心軸; 圍繞該心軸形成一聚焦鏡面元件主體;及 自該心軸釋放該聚焦鏡面元件主體; 藉此該聚焦鏡面元件主體具有由該聚焦鏡面元件主體之該內部表面界定的一光學表面。 37.   如條項36之方法,其包含使用選自由以下各者組成之群組之一程序來對該心軸執行一超級拋光步驟:磁流變流體精加工(MRF)、流體噴射拋光(FJP)、彈性發射機械加工(EEM)、離子射束修形及浮法拋光。 38.   如條項37之方法,其中執行該超級拋光步驟,使得心軸表面具有小於200 pm均方根(RMS)、視情況小於100 pm (RMS)、視情況小於50 pm (RMS)、視情況小於35 pm RMS之一表面粗糙度。 39.   如條項36至38中任一項之方法,其包含將一反射增強單層或多層塗層施加至該聚焦鏡面元件主體之鏡像表面。 40.   如條項36至39中任一項之方法,其包含在形成步驟之前將一電極及脫模層施加至該心軸。 41.   一種度量衡方法,其包含: 產生源輻射; 散射該源輻射以便產生第一散射輻射; 收集該第一散射輻射之至少一部分,該經收集第一散射輻射包含複數個第一散射射束,其各自已在一各別不同的方向上散射; 將該經收集第一散射輻射聚焦在一目標上,使得該等第一散射射束中之各者以一各別不同的入射角入射於該目標上; 偵測已由該目標散射之第二散射輻射;及 根據該第二散射輻射判定用於該目標之至少一個輪廓參數之一值。 42.   如條項41之度量衡方法,其包含將該源輻射聚焦在一中間場平面處。 43.   如條項42之度量衡方法,其中該源輻射在該中間場平面處散射。 44.   如條項42之度量衡方法,其包含在一偵測器處使包含在該第二散射輻射內之至少一對第二散射射束重疊,以在該偵測器上形成干涉條紋。 45.   如條項44之度量衡方法,其包含針對各對第二散射射束根據各別的該等干涉條紋在該偵測器上之位置來判定各該對第二散射射束中之該等射束之間的一相位差。 46.   如條項42或45之度量衡方法,其中至少一對該等第一散射射束包含該中間場平面中之各別不同的有效原點。 47.   如條項46之度量衡方法,其中該等各別不同的有效原點之間的位移大於4 μm。 48.   如條項46之度量衡方法,其中該等各別不同的有效原點之間的該位移係在4 μm與10 μm之間。 49.    如條項46之度量衡方法,其中該等各別不同的有效原點之間的該位移係在5 μm與9 μm之間。 50.   如條項44至49中任一項之度量衡方法,其包含將該源輻射聚焦在與該中間場平面相距一散焦距離處。 51.   如條項41之度量衡方法,其包含在一源平面處產生一虛擬的第一色散元件。 52.   如條項51之度量衡方法,其包含產生一對輻射射束且將其引導於一氣體目標處,使得該對輻射射束在該氣體目標中以各別不同的角度在時間上且在空間上重疊,以便產生形成該虛擬的第一色散元件之一干涉圖案。 53.   如條項52之度量衡方法,其包含控制該對輻射射束中之至少一個輻射射束之偏振。 54.   如條項41至53中任一項之度量衡方法,其中該源輻射包含在1nm至20 nm之範圍內的一或多個波長。 55.   如任一前述條項之度量衡方法,其中該收集步驟及該將該經收集第一散射輻射聚焦在一目標上之步驟係使用一如條項31至35中任一項之聚焦鏡面元件來執行。 56.   如條項41至55中任一項之度量衡方法,其中該輪廓參數包含該目標之除其位置之外的一物理參數。 57.   如條項41至56中任一項之度量衡方法,其中聚焦於該目標上之該經收集第一散射輻射包含該第一散射輻射之一零階分量。 58.   如條項41至57中任一項之度量衡方法,其中入射於該目標上之該第一散射射束包含該第一散射輻射內所包含之至少兩個非互補繞射階。 59.   如條項41至58中任一項之度量衡方法,其包含最佳化一或多個量測參數,以最佳化用於該目標之該第一散射輻射。 60.   一種方法,其包含: 產生一源輻射,其中該源輻射包含軟X射線輻射; 散射該源輻射以便產生複數個第一散射射束; 將一基板曝露於該複數個第一散射射束,使得該等第一散射射束中之各者以一各別不同的入射角入射於該基板上,以產生一第二散射輻射; 偵測該第二散射輻射;及 使用經偵測第二散射輻射來判定一所關注參數。 61.   一種具有SXR源之設備,其經組態以在製造程序期間同時以多個入射角量測一所關注參數。 62.   一種具有SXR源之設備,其經組態以同時以多個入射角在一基板上量測一所關注參數,其中該量測係基於使用一偵測器之相位量測及/或強度量測。 63.   一種具有SXR源之設備,其經組態以在一基板上量測一所關注參數,其中該量測係基於使用一偵測器之相位量測。 Other embodiments are disclosed in subsequent numbered clauses: 1. A metrology tool for measuring a profile parameter of a second dispersive element on a substrate, the metrology tool comprising: a first dispersive element operable to receive and scatter source radiation to produce first scattered radiation; a final focusing element configured to: collect at least a portion of the first scattered radiation, the collected first scattered radiation comprising a plurality of first scattered beams, each of which has been scattered in a respective different direction; and focus the collected first scattered radiation on a second dispersive element such that each of the first scattered beams is incident on the second dispersive element at a respective different angle of incidence; and at least one detector operable to detect the second scattered radiation that has been scattered by the second dispersive element. 2. A metrology tool as in claim 1, wherein the first dispersive element comprises a grating. 3. A metrology tool as in claim 1 or 2, comprising a first focusing element operable to focus the source radiation at a mid-field plane. 4. A metrology tool as in claim 3, wherein the first focusing element comprises a first focusing mirror element. 5. A metrology tool as in any of claims 2 to 4, wherein the first dispersive element is located at the mid-field plane. 6. A metrology tool as in claim 3 or 4, wherein the metrology tool is configured such that: At least one pair of second scattered beams contained in the second scattered radiation overlap on the detector to form interference fringes. 7. The metrology tool of clause 6, wherein the metrology tool is configured to determine a phase difference between the beams in each pair of second scattered beams based on the position of the respective interference fringes on the detector. 8. The metrology tool of clause 6 or 7, configured so that at least one pair of the first scattered beams includes respective effective origins in the intermediate field plane. 9. The metrology tool of clause 8, wherein the displacement between the respective effective origins is greater than 4 μm. 10.   The metrology tool of clause 8, wherein the displacement between the respective effective origins is between 4 μm and 10 μm. 11.   The metrology tool of clause 8, wherein the displacement between the respective effective origins is between 5 μm and 9 μm. 12.   The metrology tool of any of clauses 6 to 11, wherein the first dispersive element is positioned a defocus distance from the intermediate field plane. 13.   The metrology tool of clause 1, wherein the first dispersive element comprises a virtual first dispersive element located at a source plane. 14.   The metrology tool of clause 13, comprising a radiation source for generating the source radiation, the radiation source comprising a laser source configuration operable to generate a pair of radiation beams toward a gas target such that the pair of radiation beams overlap in time and space at respective different angles in the gas target to generate an interference pattern forming the virtual first dispersive element. 15.   The metrology tool of clause 14, comprising a rotatable wave plate in the path of at least one of the pair of radiation beams. 16.   The metrology tool of clause 14 or 15, wherein the radiation source is operable to generate source radiation of one or more wavelengths in the range of 1 nm to 20 nm. 17.   The metrology tool of any of clauses 1 to 12, further comprising a radiation source for generating the source radiation, wherein the radiation source is operable to generate source radiation of one or more wavelengths in the range of 1 nm to 20 nm. 18.   The metrology tool of any of the preceding clauses, wherein the final focusing element comprises a final focusing mirror element. 19.   The metrological instrument of clause 18, wherein the final focusing mirror element comprises a hollow ellipsoid portion having a reflective inner surface. 20.   The metrological instrument of clause 19, wherein the hollow ellipsoid portion comprises a first opening at an input end and a second opening at an output end. 21.   The metrological instrument of clause 19, wherein the first opening and the second opening are each defined by a cut parallel to the minor axis plane of the hollow ellipsoid. 22.   The metrological instrument of clause 20 or 21, wherein the first opening is larger than the second opening. 23.   The metrological instrument of clause 23, wherein the first opening is defined by a cut along the minor axis plane or a cut parallel to the minor axis plane between the minor axis plane and the output end. 24.   A metrology tool as in any preceding clause, wherein the final focusing element is completely rotationally symmetric. 25.   A metrology tool as in any preceding clause, wherein the second dispersive element comprises a target being measured. 26.   A metrology tool as in any preceding clause, wherein the profile parameter comprises a physical parameter of the second dispersive element other than its position. 27.   A metrology tool as in any preceding clause, comprising a processor operable to determine the profile parameter based on at least the detected second scattered radiation. 28.   A metrology tool as in any preceding clause, wherein the collected first scattered radiation focused on the second dispersive element comprises a zero-order component of the first scattered radiation. 29.   A metrology tool as in any preceding clause, wherein the first scattered radiation incident on the second dispersive element comprises at least two non-complementary diffraction orders having been scattered from the first dispersive element. 30.   A metrology tool as in any preceding clause, comprising determining a value for at least one parameter of interest associated with the second dispersive element based on the second scattered radiation. 31.   A focusing mirror element comprising a hollow ellipsoid portion having a reflective interior surface and comprising a first opening at an input end and a second opening at an output end. 32.   A focusing mirror element as in clause 31, wherein the first opening and the second opening are each defined by a cut in a plane parallel to the minor axis of the hollow ellipsoid. 33.   The focusing mirror element of clause 30, wherein the first opening is larger than the second opening. 34.   The focusing mirror element of clause 33, wherein the first opening is defined by a cut along the minor axis plane or a cut parallel to the minor axis plane between the minor axis plane and the output end. 35.   The focusing mirror element of any one of clauses 31 to 34, wherein the focusing element is completely rotationally symmetric. 36.   A method of manufacturing a focusing mirror element as in any one of clauses 31 to 35, comprising: providing an axially symmetrical mandrel comprising a long elliptical portion; forming a focusing mirror element body around the mandrel; and releasing the focusing mirror element body from the mandrel; whereby the focusing mirror element body has an optical surface defined by the inner surface of the focusing mirror element body. 37.   The method as in clause 36, comprising performing a super polishing step on the mandrel using a process selected from the group consisting of: magnetorheological fluid finishing (MRF), fluid jet polishing (FJP), elastic emission machining (EEM), ion beam shaping and float polishing. 38.   The method of clause 37, wherein the super polishing step is performed so that the mandrel surface has a surface roughness of less than 200 pm root mean square (RMS), less than 100 pm (RMS), less than 50 pm (RMS), less than 35 pm RMS. 39.   The method of any of clauses 36 to 38, comprising applying a reflection enhancing single or multi-layer coating to the mirror surface of the focusing mirror element body. 40.   The method of any of clauses 36 to 39, comprising applying an electrode and a release layer to the mandrel prior to the forming step. 41.   A metrology method comprising: generating source radiation; scattering the source radiation to generate first scattered radiation; collecting at least a portion of the first scattered radiation, the collected first scattered radiation comprising a plurality of first scattered beams, each of which has been scattered in a respective different direction; focusing the collected first scattered radiation on a target so that each of the first scattered beams is incident on the target at a respective different angle of incidence; detecting second scattered radiation that has been scattered by the target; and determining a value of at least one profile parameter for the target based on the second scattered radiation. 42.   The metrology method of clause 41, comprising focusing the source radiation at a mid-field plane. 43.   The metrology method of clause 42, wherein the source radiation is scattered at the intermediate field plane. 44.   The metrology method of clause 42, comprising superimposing at least one pair of second scattered beams contained in the second scattered radiation at a detector to form interference fringes on the detector. 45.   The metrology method of clause 44, comprising determining a phase difference between the beams in each pair of second scattered beams according to the position of the respective interference fringes on the detector for each pair of second scattered beams. 46.   The metrology method of clause 42 or 45, wherein at least one pair of the first scattered beams comprises respective different effective origins in the intermediate field plane. 47.   The metrology method of clause 46, wherein the displacement between the respective effective origins is greater than 4 μm. 48.   The metrology method of clause 46, wherein the displacement between the respective effective origins is between 4 μm and 10 μm. 49.   The metrology method of clause 46, wherein the displacement between the respective effective origins is between 5 μm and 9 μm. 50.   The metrology method of any of clauses 44 to 49, comprising focusing the source radiation at a defocus distance from the intermediate field plane. 51.   The metrology method of clause 41, comprising generating a virtual first dispersive element at a source plane. 52.   The metrology method of clause 51, comprising generating a pair of radiation beams and directing them at a gas target so that the pair of radiation beams overlap in time and space at respective different angles in the gas target to generate an interference pattern forming the virtual first dispersive element. 53.   The metrology method of clause 52, comprising controlling the polarization of at least one of the pair of radiation beams. 54.   The metrology method of any of clauses 41 to 53, wherein the source radiation comprises one or more wavelengths in the range of 1 nm to 20 nm. 55.   A metrology method as in any of the preceding clauses, wherein the collecting step and the step of focusing the collected first scattered radiation on a target are performed using a focusing mirror element as in any of clauses 31 to 35. 56.   A metrology method as in any of clauses 41 to 55, wherein the profile parameter comprises a physical parameter of the target other than its position. 57.   A metrology method as in any of clauses 41 to 56, wherein the collected first scattered radiation focused on the target comprises a zero-order component of the first scattered radiation. 58.   A metrology method as in any of clauses 41 to 57, wherein the first scattered radiation incident on the target comprises at least two non-complementary diffraction orders contained in the first scattered radiation. 59.   A metrology method as in any of clauses 41 to 58, comprising optimizing one or more measurement parameters to optimize the first scattered radiation for the target. 60.   A method comprising: generating a source radiation, wherein the source radiation comprises soft X-ray radiation; scattering the source radiation to produce a plurality of first scattered beams; exposing a substrate to the plurality of first scattered beams such that each of the first scattered beams is incident on the substrate at a respective different angle of incidence to produce a second scattered radiation; detecting the second scattered radiation; and using the detected second scattered radiation to determine a parameter of interest. 61.   An apparatus having an SXR source configured to measure a parameter of interest at multiple incident angles simultaneously during a manufacturing process. 62.   An apparatus having an SXR source configured to measure a parameter of interest at multiple incident angles simultaneously on a substrate, wherein the measurement is based on phase measurement and/or intensity measurement using a detector. 63.   An apparatus having an SXR source configured to measure a parameter of interest on a substrate, wherein the measurement is based on phase measurement using a detector.

在後續經編號條項中揭示其他額外實施例: 1. 一種用於量測一基板上之一第一結構之一輪廓參數的度量衡設備,該度量衡工具包含: 一照明配置,其可操作以產生探測輻射及參考輻射; 一鏡面配置,其可操作以將該探測輻射聚焦至該第一結構上以產生散射探測輻射,且將該參考輻射聚焦至該第一結構或一參考結構上以產生散射參考輻射;及 一偵測器,其可操作以接收在該偵測器上至少部分地重疊以便產生推斷條紋之該散射探測輻射及該散射參考輻射。 2. 如條項1之度量衡設備,其中該鏡面配置可操作以將該參考輻射聚焦至該參考結構上,以產生該散射參考輻射。 3. 如條項2之度量衡設備,其中該參考結構包含一週期性結構或光柵。 4. 如條項3之度量衡設備,其中該參考結構具有與該第一結構實質上相同的間距。 5. 如任一前述條項之度量衡設備,其中該照明配置包含: 一射束分裂配置,其可操作以將一驅動輻射射束分裂成一第一驅動輻射射束及一第二驅動輻射射束;及 一高階諧波產生模組,其可操作以接收該第一驅動輻射射束以產生該探測輻射;且接收該第二驅動輻射射束以產生該參考輻射。 6. 如條項5之度量衡設備,其中該照明配置包含用於該第一驅動輻射射束及第二驅動輻射射束中之至少一者的一路徑長度調整配置。 7. 如條項1至4中任一項之度量衡設備,該度量衡設備包含:一高階諧波產生模組,其可操作以接收該驅動輻射以產生高階諧波產生輻射;及 一射束分裂配置,其可操作以將該高階諧波產生輻射分裂成該探測輻射及該參考輻射。 8. 如條項7之度量衡設備,其中該射束分裂配置包含該鏡面配置,該鏡面配置包含用於將該探測輻射聚焦至該第一結構上之一第一焦點及用於將該參考輻射聚焦至該參考結構上之一第二焦點。 9. 如條項8之度量衡設備,其中該鏡面配置包含:一第一鏡面部分,其可操作以接收且聚焦該高階諧波產生輻射之一第一部分以產生該探測輻射;及一第二鏡面部分,其可操作以接收且聚焦該高階諧波產生輻射之一第二部分以產生該參考輻射。 10.   如條項9之度量衡設備,其中在該第一鏡面部分之一傾斜角與該第二鏡面部分之一傾斜角之間包含一傾斜角差。 11.   如條項9或10之度量衡設備,其中至少該第二鏡面部分可位移,以改變該參考輻射之一路徑長度。 12.   如條項9、10或11之度量衡設備,其中至少該第二鏡面部分為可變形的。 13.   如條項9至12中任一項之度量衡設備,其中該第一鏡面部分及該第二第一鏡面部分熱耦接及/或機械地耦接。 14.   如條項9之度量衡設備,其中該鏡面配置包含一單片聚焦鏡面,該單片聚焦鏡面包含:一第一鏡面區,其可操作以接收且聚焦該高階諧波產生輻射之一第一部分以產生該探測輻射;及一第二鏡面區,其可操作以接收且聚焦該高階諧波產生輻射之一第二部分以產生該參考輻射,且其中該第二鏡面區為可變形的。 15.   如條項7之度量衡設備,其中該射束分裂配置包含一第一表膜射束分裂器及一第二表膜射束分裂器。 16.   如條項7之度量衡設備,其中該射束分裂配置包含一色散元件。 17.   如條項5至16中任一項之度量衡設備,其中該高階諧波產生輻射包含在1 nm至20 nm之範圍內的一或多個波長。 18.   如任一前述條項之度量衡設備,其包含一處理器,該處理器可操作以根據該等推斷條紋判定相位資料。 19.   如條項18之度量衡設備,其中該相位資料描述該散射探測輻射之一絕對相位。 20.   如條項18或19之度量衡設備,其中該處理器可操作以根據至少該相位資料來判定該至少一個輪廓參數。 21.   如任一前述條項之度量衡方法,其中該輪廓參數包含該第一結構之除其位置之外的一物理參數。 22.   如任一前述條項之度量衡方法,其中該輪廓參數包含以下各者中之一或多者:疊對、蝕刻深度、側壁角、層厚度、關鍵尺寸(CD)及/或該第一結構之任何其他尺寸。 23.   一種用於量測一基板上之一第一結構之一輪廓參數的方法,該度量衡工具包含: 產生探測輻射及參考輻射; 將該探測輻射聚焦至該第一結構上以產生散射探測輻射; 將該參考輻射聚焦至該第一結構或一參考結構上以產生散射參考輻射;及 在一偵測器上干涉該散射探測輻射及該散射參考輻射,以便產生推斷條紋;及 根據該等推斷條紋判定描述該探測輻射之一相位之相位資料。 24.   如條項23之方法,其包含將該參考輻射聚焦至該參考結構上以產生散射參考輻射。 25.   如條項24之方法,其中該參考結構包含一週期性結構或光柵。 26.   如條項25之方法,其中該參考結構具有與該第一結構實質上相同的間距。 27.   如條項23至26中任一項之方法,其包含: 將一驅動輻射射束分裂成一第一驅動輻射射束及一第二驅動輻射射束; 經由一高階諧波產生程序自該第一驅動輻射產生該探測輻射;及 經由一高階諧波產生程序自該第二驅動輻射產生該參考輻射。 28.   如條項27之方法,其包含匹配該第一驅動輻射射束及第二驅動輻射射束之一路徑長度。 29.   如條項23至26中任一項之方法,其包含: 產生高階諧波產生輻射;及 將該高階諧波產生輻射分裂成該探測輻射及該參考輻射。 30.   如條項29之方法,其中該分裂係使用一鏡面配置來執行,該鏡面配置包含用於將該探測輻射聚焦至該第一結構上之一第一焦點及用於將該參考輻射聚焦至該參考結構上之一第二焦點。 31.   如條項30之方法,其包含:: 在該第一鏡面部分上接收該高階諧波產生輻射之一第一部分且使得該第一鏡面部分將該高階諧波產生輻射之該第一部分聚焦至該第一結構上;及 在該第二鏡面部分上接收該高階諧波產生輻射之一第二部分,使得該第二鏡面部分將該高階諧波產生輻射之該第二部分聚焦至該參考結構上。 32.   如條項31之方法,其中包含在該第一鏡面部分之一傾斜角與該第二鏡面部分之一傾斜角之間施加一傾斜角差。 33.   如條項31或32之方法,其包含使該第二鏡面部分位移,以匹配該探測輻射及參考輻射之路徑長度。 34.   如條項31、32或33之方法,其包含使該第二鏡面部分可控制地變形。 35.   如條項31至34中任一項之方法,其中該第一鏡面部分及該第二第一鏡面部分熱耦接及/或機械地耦接。 36.   如條項31之方法,其中該鏡面配置包含一單片聚焦鏡面,且該方法包含: 在該單片聚焦鏡面之一第一鏡面區上接收該高階諧波產生輻射之一第一部分,使得該第一鏡面區將該高階諧波產生輻射之該第一部分聚焦至該第一結構上;及 在該單片聚焦鏡面之該第二鏡面區上接收該高階諧波產生輻射之一第二部分,使得該第二鏡面區將該高階諧波產生輻射之該第二部分聚焦至該參考結構上。 37.   如條項36之方法,其中該第二鏡面區為可變形的。 38.   如條項29之方法,其包含使用一第一表膜射束分裂器執行該分裂及使用一第二表膜射束分裂器重組該探測射束及參考射束。 39.   如條項29之方法,其包含使用一色散元件執行該分裂。 40.   如條項27至39中任一項之方法,其中該高階諧波產生輻射包含在1 nm至20 nm之範圍內的一或多個波長。 41.   如條項29之方法,其包含藉由運用該高階諧波產生輻射之一第一部分照明該第一結構及運用該高階諧波產生輻射之一第二部分照明該參考結構來執行該分裂; 其中該第一結構及該參考結構已形成有一高度偏移。 42.   如條項23至40中任一項之方法,其中該相位資料描述該散射探測輻射之一絕對相位。 43.   如條項23至42中任一項之方法,其包含根據至少該相位資料來判定該至少一個輪廓參數。 44.   如任一前述條項之度量衡方法,其中該輪廓參數包含該第一結構之除其位置之外的一物理參數。 45.   如任一前述條項之度量衡方法,其中該輪廓參數包含以下各者中之一或多者:疊對、蝕刻深度、側壁角、層厚度、關鍵尺寸(CD)及/或該第一結構之任何其他尺寸。 Other additional embodiments are disclosed in subsequent numbered clauses: 1. A metrology apparatus for measuring a profile parameter of a first structure on a substrate, the metrology apparatus comprising: an illumination arrangement operable to generate probe radiation and reference radiation; a mirror arrangement operable to focus the probe radiation onto the first structure to generate scattered probe radiation, and to focus the reference radiation onto the first structure or a reference structure to generate scattered reference radiation; and a detector operable to receive the scattered probe radiation and the scattered reference radiation at least partially overlapped on the detector to generate an inferred fringing. 2. A metrological apparatus as claimed in claim 1, wherein the mirror configuration is operable to focus the reference radiation onto the reference structure to produce the scattered reference radiation. 3. A metrological apparatus as claimed in claim 2, wherein the reference structure comprises a periodic structure or grating. 4. A metrological apparatus as claimed in claim 3, wherein the reference structure has substantially the same spacing as the first structure. 5. A metrology apparatus as in any of the preceding clauses, wherein the illumination configuration comprises: a beam splitting configuration operable to split a drive radiation beam into a first drive radiation beam and a second drive radiation beam; and a high-order harmonic generation module operable to receive the first drive radiation beam to generate the detection radiation; and receive the second drive radiation beam to generate the reference radiation. 6. A metrology apparatus as in clause 5, wherein the illumination configuration comprises a path length adjustment configuration for at least one of the first drive radiation beam and the second drive radiation beam. 7. A metrology device as in any one of clauses 1 to 4, the metrology device comprising: a high-order harmonic wave generating module operable to receive the drive radiation to generate high-order harmonic wave generating radiation; and a beam splitting configuration operable to split the high-order harmonic wave generating radiation into the detection radiation and the reference radiation. 8. A metrology device as in clause 7, wherein the beam splitting configuration comprises the mirror configuration, the mirror configuration comprising a first focus for focusing the detection radiation to the first structure and a second focus for focusing the reference radiation to the reference structure. 9.   The metrology apparatus of clause 8, wherein the mirror configuration comprises: a first mirror portion operable to receive and focus a first portion of the high-order harmonic generating radiation to generate the detection radiation; and a second mirror portion operable to receive and focus a second portion of the high-order harmonic generating radiation to generate the reference radiation. 10.   The metrology apparatus of clause 9, wherein a tilt angle difference is included between a tilt angle of the first mirror portion and a tilt angle of the second mirror portion. 11.   The metrology apparatus of clause 9 or 10, wherein at least the second mirror portion is displaceable to change a path length of the reference radiation. 12.   A metrological apparatus as claimed in clauses 9, 10 or 11, wherein at least the second mirror portion is deformable. 13.   A metrological apparatus as claimed in any one of clauses 9 to 12, wherein the first mirror portion and the second first mirror portion are thermally coupled and/or mechanically coupled. 14.   A metrological apparatus as claimed in clause 9, wherein the mirror configuration comprises a monolithic focusing mirror, the monolithic focusing mirror comprising: a first mirror region operable to receive and focus a first portion of the high-order harmonic generating radiation to generate the detection radiation; and a second mirror region operable to receive and focus a second portion of the high-order harmonic generating radiation to generate the reference radiation, and wherein the second mirror region is deformable. 15.   The metrology apparatus of clause 7, wherein the beam splitting arrangement comprises a first pellicle beam splitter and a second pellicle beam splitter. 16.   The metrology apparatus of clause 7, wherein the beam splitting arrangement comprises a dispersive element. 17.   The metrology apparatus of any of clauses 5 to 16, wherein the high-order harmonic generating radiation comprises one or more wavelengths in the range of 1 nm to 20 nm. 18.   The metrology apparatus of any of the preceding clauses, comprising a processor operable to determine phase data based on the inferred fringes. 19.   The metrology apparatus of clause 18, wherein the phase data describes an absolute phase of the scattered probe radiation. 20.   A metrology apparatus as in clause 18 or 19, wherein the processor is operable to determine the at least one profile parameter based on at least the phase data. 21.   A metrology method as in any preceding clause, wherein the profile parameter comprises a physical parameter of the first structure other than its position. 22.   A metrology method as in any preceding clause, wherein the profile parameter comprises one or more of: overlay, etch depth, sidewall angle, layer thickness, critical dimension (CD) and/or any other dimension of the first structure. 23.   A method for measuring a profile parameter of a first structure on a substrate, the metrology tool comprising: generating probe radiation and reference radiation; focusing the probe radiation onto the first structure to generate scattered probe radiation; focusing the reference radiation onto the first structure or a reference structure to generate scattered reference radiation; and interfering the scattered probe radiation and the scattered reference radiation at a detector to generate inferred fringes; and determining phase data describing a phase of the probe radiation based on the inferred fringes. 24.   The method of clause 23, comprising focusing the reference radiation onto the reference structure to generate scattered reference radiation. 25.   The method of clause 24, wherein the reference structure comprises a periodic structure or grating. 26.   The method of clause 25, wherein the reference structure has substantially the same spacing as the first structure. 27.   The method of any one of clauses 23 to 26, comprising: splitting a drive radiation beam into a first drive radiation beam and a second drive radiation beam; generating the detection radiation from the first drive radiation via a high-order harmonic generation process; and generating the reference radiation from the second drive radiation via a high-order harmonic generation process. 28.   The method of clause 27, comprising matching a path length of the first drive radiation beam and the second drive radiation beam. 29.   The method of any one of clauses 23 to 26, comprising: generating high-order harmonics to generate radiation; and splitting the high-order harmonics to generate radiation into the detection radiation and the reference radiation. 30.   The method of clause 29, wherein the splitting is performed using a mirror configuration, the mirror configuration comprising a first focus for focusing the detection radiation to the first structure and a second focus for focusing the reference radiation to the reference structure. 31.   The method of clause 30, comprising: receiving a first portion of the high-order harmonic wave generating radiation on the first mirror portion and causing the first mirror portion to focus the first portion of the high-order harmonic wave generating radiation onto the first structure; and receiving a second portion of the high-order harmonic wave generating radiation on the second mirror portion and causing the second mirror portion to focus the second portion of the high-order harmonic wave generating radiation onto the reference structure. 32.   The method of clause 31, comprising applying a tilt angle difference between a tilt angle of the first mirror portion and a tilt angle of the second mirror portion. 33.   The method of clause 31 or 32, comprising displacing the second mirror portion to match the path lengths of the detection radiation and the reference radiation. 34.   The method of clause 31, 32 or 33, comprising controllably deforming the second mirror portion. 35.   The method of any one of clauses 31 to 34, wherein the first mirror portion and the second first mirror portion are thermally and/or mechanically coupled. 36.   The method of clause 31, wherein the mirror configuration comprises a monolithic focusing mirror, and the method comprises: receiving a first portion of the high-order harmonic generating radiation on a first mirror region of the monolithic focusing mirror, such that the first mirror region focuses the first portion of the high-order harmonic generating radiation onto the first structure; and receiving a second portion of the high-order harmonic generating radiation on the second mirror region of the monolithic focusing mirror, such that the second mirror region focuses the second portion of the high-order harmonic generating radiation onto the reference structure. 37.   The method of clause 36, wherein the second mirror region is deformable. 38.   The method of clause 29, comprising performing the splitting using a first pellicle beam splitter and recombining the probe beam and the reference beam using a second pellicle beam splitter. 39.   The method of clause 29, comprising performing the splitting using a dispersive element. 40.   The method of any of clauses 27 to 39, wherein the high-order harmonic generating radiation comprises one or more wavelengths in the range of 1 nm to 20 nm. 41.   The method of clause 29, comprising performing the splitting by illuminating the first structure with a first portion of the high-order harmonic generating radiation and illuminating the reference structure with a second portion of the high-order harmonic generating radiation; wherein the first structure and the reference structure have been formed with a height offset. 42.   A method as in any of clauses 23 to 40, wherein the phase data describes an absolute phase of the scattered probe radiation. 43.   A method as in any of clauses 23 to 42, comprising determining the at least one profile parameter based on at least the phase data. 44.   A metrology method as in any of the preceding clauses, wherein the profile parameter comprises a physical parameter of the first structure other than its position. 45.   A metrology method as in any of the preceding clauses, wherein the profile parameter comprises one or more of: overlay, etch depth, sidewall angle, layer thickness, critical dimension (CD) and/or any other dimension of the first structure.

儘管可在本文中特定地參考在IC製造中微影設備之使用,但應理解,本文中所描述之微影設備可具有其他應用。可能的其他應用包括製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭,等等。Although specific reference may be made herein to the use of lithography equipment in IC manufacturing, it should be understood that the lithography equipment described herein may have other applications. Possible other applications include the manufacture of integrated optical systems, guide and detection patterns for magnetic resonance memory, flat panel displays, liquid crystal displays (LCDs), thin film magnetic heads, and the like.

儘管可在本文中特定地參考在微影設備之上下文中之實施例,但實施例可用於其他設備中。實施例可形成遮罩檢測設備、度量衡設備或量測或處理諸如晶圓(或其他基板)或遮罩(或其他圖案化裝置)之物件之任何設備之部分。此等設備通常可稱作微影工具。此微影工具可使用真空條件或周圍(非真空)條件。Although specific reference may be made herein to embodiments in the context of lithography apparatus, the embodiments may be used in other apparatus. The embodiments may form part of a mask inspection apparatus, a metrology apparatus, or any apparatus that measures or processes an object such as a wafer (or other substrate) or a mask (or other patterned device). Such apparatus may generally be referred to as a lithography tool. The lithography tool may use vacuum conditions or ambient (non-vacuum) conditions.

儘管可在本文中特定地參考在檢測或度量衡設備之上下文中之實施例,但實施例可用於其他設備中。實施例可形成遮罩檢測設備、微影設備或量測或處理諸如晶圓(或其他基板)或遮罩(或其他圖案化裝置)之物件的任何設備之部分。術語「度量衡設備」(或「檢測設備」)亦可指檢測設備或檢測系統(或度量衡設備或度量衡系統)。例如,包含一實施例之檢測設備可用於偵測基板之缺陷或基板上之結構之缺陷。在此實施例中,基板上之結構之所關注特性可能與結構中之缺陷、結構之特定部分之不存在或基板上之非想要結構之存在相關。Although specific reference may be made herein to embodiments in the context of inspection or metrology equipment, embodiments may be used in other equipment. Embodiments may form part of a mask inspection equipment, a lithography equipment, or any equipment that measures or processes an object such as a wafer (or other substrate) or a mask (or other patterned device). The term "metrology equipment" (or "inspection equipment") may also refer to an inspection equipment or an inspection system (or a metrology equipment or a metrology system). For example, an inspection equipment including an embodiment may be used to detect defects in a substrate or defects in a structure on a substrate. In this embodiment, the characteristic of interest of the structure on the substrate may be related to a defect in the structure, the absence of a particular portion of the structure, or the presence of an unwanted structure on the substrate.

儘管上文可特定地參考在光學微影之上下文中對實施例之使用,但應瞭解,本發明在上下文允許之情況下不限於光學微影且可用於其他應用(例如,壓印微影)中。Although the above may specifically refer to the use of embodiments in the context of photolithography, it will be appreciated that the invention is not limited to photolithography and may be used in other applications (eg, imprint lithography) where the context permits.

雖然上文所描述之目標或目標結構(更一般而言,基板上之結構)為出於量測之目的而特定設計及形成的度量衡目標結構,但在其他實施例中,可對作為在基板上形成之裝置之功能性部分的一或多個結構量測所關注屬性。許多裝置具有規則的類光柵結構。如本文中所使用之術語結構、目標光柵及目標結構不要求已特定針對正執行之量測來提供結構。此外,度量衡目標之間距可接近於散射計之光學系統的解析度極限或可能更小,但可能比目標部分C中之藉由微影程序製得的典型非目標結構(視情況產品結構)之尺寸大得多。實務上,可使目標結構內之疊對光柵之線及/或空間包括在尺寸上相似於非目標結構之較小結構。While the targets or target structures (more generally, structures on a substrate) described above are metrology target structures specifically designed and formed for the purpose of measurement, in other embodiments, the properties of interest may be measured on one or more structures that are a functional part of a device formed on a substrate. Many devices have regular grating-like structures. The terms structure, target grating, and target structure as used herein do not require that the structure be provided specifically for the measurement being performed. Furthermore, the spacing of the metrology targets may be close to the resolution limit of the optical system of the scatterometer or possibly smaller, but may be much larger than the size of typical non-target structures (or product structures, as the case may be) made by lithographic processes in the target portion C. In practice, the lines and/or spaces of the superimposed gratings within the target structure may be made to include smaller structures that are similar in size to the non-target structures.

雖然上文已描述特定實施例,但將瞭解,可以與所描述之方式不同的其他方式來實踐本發明。以上描述意欲為說明性,而非限制性的。由此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡述之申請專利範圍之範疇的情況下對所描述之本發明進行修改。Although specific embodiments have been described above, it will be appreciated that the invention may be practiced in other ways than those described. The above description is intended to be illustrative rather than restrictive. Thus, it will be apparent to those skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set forth below.

儘管特定參考「度量衡設備/工具/系統」或「檢測設備/工具/系統」,但此等術語可指相同或類似類型的工具、設備或系統。例如,包含本發明之實施例的檢測或度量衡設備可用於判定在基板上或在晶圓上的結構之特性。例如,包含本發明之實施例的檢測設備或度量衡設備可用於偵測基板之缺陷或基板上或晶圓上之結構的缺陷。在此實施例中,基板上之結構之所關注特性可能與結構中之缺陷、結構之特定部分之不存在或基板上或晶圓上之非想要結構之存在相關。Although specific reference is made to "metrology equipment/tool/system" or "testing equipment/tool/system", these terms may refer to the same or similar types of tools, equipment or systems. For example, a test or metrology equipment incorporating embodiments of the present invention may be used to determine characteristics of a structure on a substrate or on a wafer. For example, a test or metrology equipment incorporating embodiments of the present invention may be used to detect defects in a substrate or defects in a structure on a substrate or on a wafer. In this embodiment, the characteristic of interest of the structure on the substrate may be related to a defect in the structure, the absence of a particular portion of the structure, or the presence of an unwanted structure on the substrate or on the wafer.

儘管特定參考HXR、SXR及EUV電磁輻射,但應瞭解,本發明在上下文允許之情況下可運用包括無線電波、微波、紅外光、(可見)光、紫外光、X射線及γ射線之所有電磁輻射以及包括電子射束輻射及(帶電)粒子輻射之其他輻射來實踐。Although specific reference is made to HXR, SXR and EUV electromagnetic radiation, it will be appreciated that the invention may be practiced where the context permits using all electromagnetic radiation including radio waves, microwaves, infrared, (visible) light, ultraviolet, X-rays and gamma rays, and other radiation including electron beam radiation and (charged) particle radiation.

本發明之額外目標、優點及特徵闡述於本說明書中,且在審查以下內容時對於熟習此項技術者將部分地變得顯而易見,或可藉由本發明之實踐習得。本申請案中所揭示之發明不限於目標、優點及特徵之任何特定集合或其組合。經考慮,所陳述目標、優點及特徵之各種組合構成本申請案中所揭示之發明。Additional objects, advantages, and features of the invention are described in this specification and will become apparent in part to those skilled in the art upon examination of the following, or may be learned by practice of the invention. The invention disclosed in this application is not limited to any particular set or combination of objects, advantages, and features. It is considered that various combinations of the objects, advantages, and features constitute the invention disclosed in this application.

2:寬頻帶輻射投影儀 4:光譜儀偵測器 5:輻射 6:光譜 8:結構或輪廓 10:反射或散射輻射 11:透射輻射 302:度量衡設備 310:輻射源/照明源 312:照明系統 314:參考偵測器 315:信號 316:基板支撐件 318:偵測系統 320:度量衡處理單元 330:泵輻射源 332:氣體遞送系統 334:氣體供應件 336:電源 340:泵輻射 342:經濾光射束 344:濾光裝置 350:檢測腔室 352:真空泵 356:經聚焦射束 360:反射輻射 372:位置控制器 374:感測器 382:光譜資料 397:繞射輻射 398:偵測系統 399:信號 600:照明源 601:腔室 603:照明系統 605:輻射輸入 607:輻射輸出 609:氣體噴嘴 611:泵輻射 613:發射輻射 615:氣流 617:開口 800:源 805:第一聚焦元件或第一聚焦鏡面 810:第一色散元件或光柵 815:第二聚焦元件或最終聚焦鏡面元件 820:目標 825:偵測器或攝影機 1000a:紅外光雷射脈衝 1000b:紅外光雷射脈衝 1010:強度光柵 1020:零階 1030+:+1繞射階 1030-:-1繞射階 1040:孔隙或針孔 1050:半波片 1100:中空長橢球體部分 1110:鏡像內部表面 1120:較大第一開口 1130:較小第二開口 1365(-1,-1):波前 1365(+1,0):波前 1370:平面 1480:條紋 1500:驅動雷射輻射 1505:射束分裂配置 1510a:第一驅動輻射射束 1510b:第二驅動輻射射束 1515:HHG模組 1520a:SXR射束 1520b:SXR射束 1522:SXR射束 1522a:射束邊緣 1522b:射束邊緣 1524a:探測射束 1524b:參考射束 1525:聚焦鏡面或其他光學元件 1525':單片鏡面 1525a:鏡面部分 1525b:鏡面部分 1525b':鏡面部分 1530:參考結構 1535:第一結構 1540a:散射探測輻射 1540b:散射參考輻射 1550:偵測器 1555a:影像 1555b:影像 1560:干涉圖案 1624:入射射束 1630:參考結構 1635:第一目標 1639:反射器或鏡面 1660:EUV射束分裂器 B:輻射射束 BD:射束遞送系統 BK:烘烤板 C:目標部分 CL:電腦系統 CH:冷卻板 DE:顯影器 DPX:X偵測器像素位置 DPY:Y偵測器像素位置 dZ:散焦 IF:位置量測系統 IFP:中間場平面 IL:照明系統 I/O1:輸入/輸出埠 I/O2:輸入/輸出埠 LA:微影設備 LACU:微影控制單元 LB:裝載區 M 1:遮罩對準標記 M 2:遮罩對準標記 MA:圖案化裝置 MPU:單元 MT:度量衡工具 P 1:基板對準標記 P 2:基板對準標記 PM:第一定位器 PW:第二定位器 PS:投影系統 PU:處理單元 p 1 :間距 p 2 :目標間距 RO:基板處置器或機器人 S:光點 SC:旋塗器 SC1:第一標度 SC2:第二標度 SC3:第三標度 SCS:監督控制系統 SO:輻射源 T:遮罩支撐件 Ta:目標 TCU:自動化光阻塗佈及顯影系統控制單元 W:基板 WT:基板支撐件 2: Broadband radiation projector 4: Spectrometer detector 5: Radiation 6: Spectrum 8: Structure or contour 10: Reflected or scattered radiation 11: Transmitted radiation 302: Metrology equipment 310: Radiation source/illumination source 312: Illumination system 314: Reference detector 315: Signal 316: Substrate support 318: Detection system 320: Metrology processing unit 330: Pump radiation Source 332: Gas delivery system 334: Gas supply 336: Power supply 340: Pump radiation 342: Filtered beam 344: Filter device 350: Detection chamber 352: Vacuum pump 356: Focused beam 360: Reflected radiation 372: Position controller 374: Sensor 382: Spectral data 397: Diffracted radiation 398: Detection system 399: Signal 6 00: illumination source 601: chamber 603: illumination system 605: radiation input 607: radiation output 609: gas nozzle 611: pump radiation 613: emission radiation 615: gas flow 617: opening 800: source 805: first focusing element or first focusing mirror 810: first dispersive element or grating 815: second focusing element or final focusing mirror element 820: Target 825: Detector or camera 1000a: Infrared laser pulse 1000b: Infrared laser pulse 1010: Intensity grating 1020: Zero order 1030+: +1 diffraction order 1030-: -1 diffraction order 1040: Aperture or pinhole 1050: Half-wave plate 1100: Hollow elliptical spherical part 1110: Mirror inner surface 1120: Larger first opening Port 1130: Smaller second opening 1365 (-1, -1): Wavefront 1365 (+1, 0): Wavefront 1370: Plane 1480: Stripe 1500: Drive laser radiation 1505: Beam splitting arrangement 1510a: First drive radiation beam 1510b: Second drive radiation beam 1515: HHG module 1520a: SXR beam 1520 b: SXR beam 1522: SXR beam 1522a: beam edge 1522b: beam edge 1524a: detection beam 1524b: reference beam 1525: focusing mirror or other optical element 1525': single-piece mirror 1525a: mirror portion 1525b: mirror portion 1525b': mirror portion 1530: reference structure 1535: A structure 1540a: scattered detection radiation 1540b: scattered reference radiation 1550: detector 1555a: image 1555b: image 1560: interference pattern 1624: incident beam 1630: reference structure 1635: first target 1639: reflector or mirror 1660: EUV beam splitter B: radiation beam BD: beam delivery system BK: baking plate C: target part CL: computer system CH: cooling plate DE: display DPX: X detector pixel position DPY: Y detector pixel position dZ: defocus IF: position measurement system IFP: intermediate field plane IL: illumination system I/O1: input/output port I/O2: input/output port LA: lithography equipment LACU: lithography control unit LB: loading area M 1 : Mask alignment mark M 2 : Mask alignment mark MA: Patterning device MPU: Unit MT: Metrology tool P 1 : Substrate alignment mark P 2 : Substrate alignment mark PM: First positioner PW: Second positioner PS: Projection system PU: Processing unit p 1 : Pitch p 2 : Target pitch RO: Substrate handler or robot S: Light spot SC: Spin coater SC1: First scale SC2: Second scale SC3: Third scale SCS: Supervisory control system SO: Radiation source T: Mask support Ta: Target TCU: Automated photoresist coating and development system control unit W: Substrate WT: Substrate support

現將參考隨附示意性圖式而僅作為實例來描述實施例,在該等圖式中: -  圖1描繪微影設備之示意性概述; -  圖2描繪微影單元之示意性概述; -  圖3描繪整體微影之示意性表示,其表示用以最佳化半導體製造之三種關鍵技術之間的協作; -  圖4示意性地繪示散射量測設備; -  圖5示意性地繪示透射式散射量測設備; -  圖6描繪其中使用EUV及/或SXR輻射之度量衡設備之示意性表示; -  圖7描繪照明源之簡化示意圖; -  圖8(a)及圖8(b)各自描繪根據一實施例之度量衡配置之簡化示意圖; -  圖9描繪可由圖8之度量衡配置捕捉的例示性繞射圖案; -  圖10(a)、圖10(b)及圖10(c)描繪根據一實施例之用於在源平面處提供虛擬光柵之配置; -  圖11描繪根據一實施例且適合用於圖8之度量衡配置中的所提議的重新聚焦鏡面設計; -  圖12描繪用於獲得繞射射束自繞射光柵之原點位移之方法,其用於本文中所揭示之概念之相位判定實施例中; -  圖13描繪此原點位移如何導致圖12之繞射射束的相互波前傾斜且因此導致此等繞射射束之間的光學路徑差; -  圖14為自圖12及圖13中所描繪之配置獲得的例示性干涉影像; -  圖15(a)、圖15(b)、圖15(c)及圖15(d)繪示根據實施例之各種SXR干涉目標量測配置;且 -  圖16(a)及圖16(b)繪示根據實施例之其他各種SXR干涉目標量測配置。 Embodiments will now be described by way of example only with reference to the accompanying schematic drawings, in which: - FIG. 1 depicts a schematic overview of a lithography apparatus; - FIG. 2 depicts a schematic overview of a lithography unit; - FIG. 3 depicts a schematic representation of overall lithography showing the cooperation between three key technologies used to optimize semiconductor manufacturing; - FIG. 4 schematically depicts a scatterometry apparatus; - FIG. 5 schematically depicts a transmissive scatterometry apparatus; - FIG. 6 depicts a schematic representation of a metrology apparatus in which EUV and/or SXR radiation is used; - FIG. 7 depicts a simplified schematic diagram of an illumination source; - FIG. 8(a) and FIG. 8(b) each depict a simplified schematic diagram of a metrology configuration according to an embodiment; - FIG. 9 depicts an exemplary diffraction pattern that may be captured by the metrology configuration of FIG. 8; - FIG. 10(a), FIG. 10(b) and FIG. 10(c) depict a configuration for providing a virtual grating at a source plane according to an embodiment; - FIG. 11 depicts a proposed refocusing mirror design suitable for use in the metrology configuration of FIG. 8 according to an embodiment; - FIG. 12 depicts a method for obtaining an origin displacement of a diffraction beam from a diffraction grating for use in a phase determination embodiment of the concepts disclosed herein; - FIG. 13 depicts how this origin displacement results in mutual wavefront tilts of the diffraction beams of FIG. 12 and, therefore, optical path differences between such diffraction beams; - FIG. 14 is an exemplary interferometric image obtained from the configuration depicted in FIG. 12 and FIG. 13; - FIG. 15(a), FIG. 15(b), FIG. 15(c) and FIG. 15(d) illustrate various SXR interferometric target measurement configurations according to an embodiment; and - FIG. 16(a) and FIG. 16(b) illustrate other various SXR interferometric target measurement configurations according to an embodiment.

800:源 800: Source

805:第一聚焦元件或第一聚焦鏡面 805: first focusing element or first focusing mirror

810:第一色散元件或光柵 810: first dispersion element or grating

815:第二聚焦元件或最終聚焦鏡面元件 815: Second focusing element or final focusing mirror element

820:目標 820: Target

825:偵測器或攝影機 825: Detector or camera

Claims (15)

一種度量衡工具,其包含: 一第一色散元件,其可操作以接收且散射一源輻射以便產生一第一散射輻射; 一最終聚焦元件,其經組態以: 收集該第一散射輻射之至少一部分,該經收集第一散射輻射包含複數個第一散射射束,其各自已在一各別不同的方向上散射;及 將該經收集第一散射輻射聚焦在一第二色散元件上,使得該等第一散射射束中之各者以一各別不同的入射角入射於該第二色散元件上;及 至少一個偵測器,其可操作以偵測已由該第二色散元件散射之一第二散射輻射。 A metrology tool comprising: a first dispersive element operable to receive and scatter a source radiation to produce a first scattered radiation; a final focusing element configured to: collect at least a portion of the first scattered radiation, the collected first scattered radiation comprising a plurality of first scattered beams, each of which has been scattered in a respective different direction; and focus the collected first scattered radiation on a second dispersive element such that each of the first scattered beams is incident on the second dispersive element at a respective different angle of incidence; and at least one detector operable to detect a second scattered radiation that has been scattered by the second dispersive element. 如請求項1之度量衡工具,其包含一第一聚焦元件,該第一聚焦元件可操作以將該源輻射聚焦在一中間場平面處。A metrology tool as claimed in claim 1, comprising a first focusing element operable to focus the source radiation at an intermediate field plane. 如請求項2之度量衡工具,其中該第一色散元件位於該中間場平面處。A metrology tool as claimed in claim 2, wherein the first dispersion element is located at the mid-field plane. 如請求項1至3中任一項之度量衡工具,其中該度量衡工具經組態以使得: 包含在該第二散射輻射內之至少一對第二散射射束在該偵測器上重疊以形成干涉條紋。 A metrology tool as claimed in any one of claims 1 to 3, wherein the metrology tool is configured so that: At least one pair of second scattered beams contained in the second scattered radiation overlap on the detector to form interference fringes. 如請求項4之度量衡工具,其中該度量衡工具經組態以針對各對第二散射射束根據各別的該等干涉條紋在該偵測器上之位置來判定各該對第二散射射束中之該等射束之間的一相位差。A metrology tool as claimed in claim 4, wherein the metrology tool is configured to determine a phase difference between the beams in each pair of second scattered beams based on the positions of the respective interference fringes on the detector. 如請求項2或3之度量衡工具,其經組態以使得至少一對該等第一散射射束包含該中間場平面中之各別不同的有效原點。A metrology tool as claimed in claim 2 or 3, configured so that at least one pair of said first scattered beams include respective different effective origins in said intermediate field plane. 如請求項6之度量衡工具,其中該等各別不同的有效原點之間的位移大於4 μm。A metrological tool as claimed in claim 6, wherein the displacement between the respective effective origins is greater than 4 μm. 如請求項2或3之度量衡工具,其中該第一色散元件定位成與該中間場平面相距一散焦距離。A metrology tool as claimed in claim 2 or 3, wherein the first dispersive element is positioned a defocus distance from the mid-field plane. 如請求項1之度量衡工具,其中該第一色散元件包含位於一源平面處之一虛擬的第一色散元件。A metrology tool as claimed in claim 1, wherein the first dispersive element comprises a virtual first dispersive element located at a source plane. 如請求項9之度量衡工具,其包含用於產生該源輻射之一輻射源,該輻射源包含一雷射源配置,該雷射源配置可操作以產生朝向一氣體目標之一對輻射射束,使得該對輻射射束在該氣體目標中以各別不同的角度在時間上且在空間上重疊,以便產生形成該虛擬的第一色散元件之一干涉圖案。A metrological tool as claimed in claim 9, comprising a radiation source for generating the source radiation, the radiation source comprising a laser source configuration, the laser source configuration being operable to generate a pair of radiation beams directed toward a gas target so that the pair of radiation beams overlap in time and space at respective different angles in the gas target so as to generate an interference pattern forming the virtual first dispersion element. 如請求項10之度量衡工具,其在該對輻射射束中之至少一個輻射射束之路徑中包含一可旋轉波片。A metrological tool as claimed in claim 10, comprising a rotatable wave plate in the path of at least one radiation beam in the pair of radiation beams. 如請求項1至3中任一項之度量衡工具,其中該最終聚焦元件包含一最終聚焦鏡面元件,且其中該最終聚焦鏡面元件包含具有一反射性內部表面之一中空長橢球體部分。A metrological tool as in any one of claims 1 to 3, wherein the final focusing element comprises a final focusing mirror element, and wherein the final focusing mirror element comprises a hollow ellipsoidal portion having a reflective inner surface. 如請求項1至3中任一項之度量衡工具,其中該第二色散元件包含經量測之一目標。A metrology tool as claimed in any one of claims 1 to 3, wherein the second dispersive element comprises a target to be measured. 一種方法,其包含: 產生一源輻射,其中該源輻射包含軟X射線;及 同時以多個入射角量測一所關注參數。 A method comprising: generating a source radiation, wherein the source radiation comprises soft X-rays; and measuring a parameter of interest at multiple incident angles simultaneously. 一種具有軟X射線源之設備,其經組態以同時以多個入射角量測一所關注參數。An apparatus having a soft X-ray source configured to measure a parameter of interest at multiple incident angles simultaneously.
TW113104203A 2023-02-13 2024-02-02 Metrology method and associated metrology tool TW202507421A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP23156294.3 2023-02-13
EP24152531.0 2024-01-18

Publications (1)

Publication Number Publication Date
TW202507421A true TW202507421A (en) 2025-02-16

Family

ID=

Similar Documents

Publication Publication Date Title
CN111542783A (en) Metrology apparatus and method for determining properties of interest of structures on substrates
KR102507137B1 (en) Illumination source for inspection device, inspection device and inspection method
TWI794950B (en) Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses
TWI840628B (en) Apparatuses and methods for measuring parameters of a substrate and metrology apparatuses including said apparatuses
US11129266B2 (en) Optical system, metrology apparatus and associated method
KR102695658B1 (en) Assembly for collimating broadband radiation
TW202441322A (en) Metrology method for measuring an exposed pattern and associated metrology apparatus
EP3851915A1 (en) Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
TW202401138A (en) Apparatus and methods for filtering measurement radiation
TWI776421B (en) Metrology measurement method and apparatus
TW202507421A (en) Metrology method and associated metrology tool
EP4414785A1 (en) Metrology method with beams incident on a target at a plurality of different angles of incidence and associated metrology tool
TWI795975B (en) Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
EP4492140A1 (en) Apparatus for wavelength-resolved beam monitoring
EP4296779A1 (en) Method for aligning an illumination-detection system of a metrology device and associated metrology device
WO2024170230A1 (en) Metrology method and associated metrology tool
EP3869270A1 (en) Assemblies and methods for guiding radiation
KR20250019645A (en) Method for aligning the illumination-detection-system of a measuring device and the associated measuring device
TW202411772A (en) A membrane and associated method and apparatus
TW202509628A (en) Metrology method and data processing method applicable to metrology
TW202431024A (en) Method for operating a detection system of a metrology device and associated metrology device
NL2024935A (en) Assemblies and methods for guiding radiation
NL2021670A (en) Optical system, metrology apparatus and associated method