TW202431026A - Determining substrate profile properties using machine learning - Google Patents
Determining substrate profile properties using machine learning Download PDFInfo
- Publication number
- TW202431026A TW202431026A TW112139171A TW112139171A TW202431026A TW 202431026 A TW202431026 A TW 202431026A TW 112139171 A TW112139171 A TW 112139171A TW 112139171 A TW112139171 A TW 112139171A TW 202431026 A TW202431026 A TW 202431026A
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- previous substrate
- metrology
- previous
- data
- Prior art date
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 541
- 238000010801 machine learning Methods 0.000 title claims abstract description 113
- 238000005259 measurement Methods 0.000 claims abstract description 216
- 230000003595 spectral effect Effects 0.000 claims abstract description 141
- 238000012549 training Methods 0.000 claims abstract description 136
- 238000004519 manufacturing process Methods 0.000 claims description 176
- 238000012545 processing Methods 0.000 claims description 118
- 238000000034 method Methods 0.000 claims description 115
- 230000008569 process Effects 0.000 claims description 55
- 230000006870 function Effects 0.000 claims description 46
- 238000003860 storage Methods 0.000 claims description 27
- 230000004044 response Effects 0.000 claims description 23
- 230000015654 memory Effects 0.000 claims description 21
- 238000013213 extrapolation Methods 0.000 claims description 5
- 238000001228 spectrum Methods 0.000 description 29
- 238000013500 data storage Methods 0.000 description 22
- 238000012546 transfer Methods 0.000 description 16
- 238000012360 testing method Methods 0.000 description 10
- 235000012431 wafers Nutrition 0.000 description 10
- 239000000463 material Substances 0.000 description 9
- 238000013528 artificial neural network Methods 0.000 description 8
- 238000013507 mapping Methods 0.000 description 6
- 238000004611 spectroscopical analysis Methods 0.000 description 6
- 238000010200 validation analysis Methods 0.000 description 6
- 230000007547 defect Effects 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- 230000003287 optical effect Effects 0.000 description 5
- 230000003068 static effect Effects 0.000 description 5
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 238000000701 chemical imaging Methods 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 239000000284 extract Substances 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 238000012706 support-vector machine Methods 0.000 description 4
- 238000004422 calculation algorithm Methods 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 239000000047 product Substances 0.000 description 3
- 230000002829 reductive effect Effects 0.000 description 3
- 238000000137 annealing Methods 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- 239000000969 carrier Substances 0.000 description 2
- 230000001413 cellular effect Effects 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 238000001723 curing Methods 0.000 description 2
- 239000012636 effector Substances 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 238000012417 linear regression Methods 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 238000007637 random forest analysis Methods 0.000 description 2
- 238000005070 sampling Methods 0.000 description 2
- 238000012795 verification Methods 0.000 description 2
- 230000000007 visual effect Effects 0.000 description 2
- 230000006978 adaptation Effects 0.000 description 1
- 238000004458 analytical method Methods 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 238000004320 controlled atmosphere Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 230000002452 interceptive effect Effects 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 230000005291 magnetic effect Effects 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 230000003449 preventive effect Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 239000011265 semifinished product Substances 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
Classifications
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06N—COMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
- G06N20/00—Machine learning
- G06N20/20—Ensemble learning
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06N—COMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
- G06N20/00—Machine learning
Landscapes
- Engineering & Computer Science (AREA)
- Theoretical Computer Science (AREA)
- Software Systems (AREA)
- Data Mining & Analysis (AREA)
- Evolutionary Computation (AREA)
- Medical Informatics (AREA)
- Computer Vision & Pattern Recognition (AREA)
- Physics & Mathematics (AREA)
- Computing Systems (AREA)
- General Engineering & Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Mathematical Physics (AREA)
- Artificial Intelligence (AREA)
- Testing Or Measuring Of Semiconductors Or The Like (AREA)
- Length Measuring Devices By Optical Means (AREA)
Abstract
Description
本揭示案之實施例大體言之係關於製造系統,且更特定言之係關於決定基板的輪廓性質。Embodiments of the present disclosure relate generally to manufacturing systems and, more particularly, to determining profile properties of substrates.
基板輪廓性質為可用於在製造系統處進行處理期間或之後評估基板的量度。通常,使用計量系統來量測基板輪廓性質,該計量系統與製造系統處所使用之製造工具分離。為了量測基板輪廓性質,自製造工具移除基板並在計量系統處進行量測。當在計量系統處獲得了基板的量測值之後,基板返回至製造工具以供進一步處理。自製造工具移除基板以在計量系統處量測基板為成本高昂之操作,其導致總體製程效率的降低。歸因於自製造工具移除基板之成本,量測在製造系統處所處理之少數基板,從而導致在製造系統處所處理之所有基板的低取樣比率。使用對此些少數基板產生之量測值對製造工具處所處理之未經量測的其他基板作出製程決策。基於對幾個基板產生之量測值作出的製程決策可能會導致基板缺陷,且在一些情形下會對製造系統處之設備造成損壞。Substrate profile properties are measurements that can be used to evaluate a substrate during or after processing at a manufacturing system. Typically, substrate profile properties are measured using a metrology system that is separate from the manufacturing tool used at the manufacturing system. To measure substrate profile properties, the substrate is removed from the manufacturing tool and measured at the metrology system. After the measurements of the substrate are obtained at the metrology system, the substrate is returned to the manufacturing tool for further processing. Removing a substrate from a manufacturing tool to measure the substrate at the metrology system is a costly operation that results in a reduction in overall process efficiency. Due to the cost of removing the substrate from the manufacturing tool, a small number of substrates processed at the manufacturing system are measured, resulting in a low sampling rate of all substrates processed at the manufacturing system. The metrology values generated on these few substrates are used to make process decisions for other substrates processed at the manufacturing tool that have not been measured. Process decisions based on metrology values generated on a few substrates may result in substrate defects and, in some cases, damage to equipment at the manufacturing system.
所述實施例中之一些涵蓋一種用於訓練機器學習模型以預測在製造系統處所處理的當前基板之計量量測值的方法。該方法包括獲得與製造系統處之第一先前基板的第一部分以及該第一先前基板之第二部分或製造系統處的第二先前基板之第三部分中的至少一者相關聯之光譜資料。該方法進一步包括標識針對第一先前基板之第二部分或第二先前基板之第三部分中的該至少一者獲得之一或更多個計量量測值。該方法進一步包括基於已標識之一或更多個計量量測值來決定與第一先前基板的第一部分相關聯之計量量測值。該方法進一步包括產生用於訓練機器學習模型以預測製造系統處的當前基板之計量量測值的訓練資料。產生訓練資料包括產生第一訓練輸入,其包括與第一先前基板的第一部分相關聯之光譜資料,及產生針對第一訓練輸入之第一目標輸出,該第一目標輸出包括與第一先前基板的第一部分相關聯之已決定的計量量測值。該方法進一步包括提供該資料以基於(i)包括該第一訓練輸入之一組訓練輸入及(ii)包括該第一目標輸出之一組目標輸出來訓練該機器學習模型。Some of the embodiments encompass a method for training a machine learning model to predict metrology measurements of a current substrate being processed at a manufacturing system. The method includes obtaining spectral data associated with a first portion of a first previous substrate at the manufacturing system and at least one of a second portion of the first previous substrate or a third portion of a second previous substrate at the manufacturing system. The method further includes identifying one or more metrology measurements obtained for the at least one of the second portion of the first previous substrate or the third portion of the second previous substrate. The method further includes determining a metrology measurement associated with the first portion of the first previous substrate based on the identified one or more metrology measurements. The method further includes generating training data for training a machine learning model to predict metrology measurements of a current substrate at the manufacturing system. Generating training data includes generating a first training input including spectral data associated with a first portion of a first previous substrate, and generating a first target output for the first training input, the first target output including a determined metrology measurement associated with the first portion of the first previous substrate. The method further includes providing the data to train the machine learning model based on (i) a set of training inputs including the first training input and (ii) a set of target outputs including the first target output.
在一些實施例中,一種設備包括記憶體及耦合至該記憶體之處理元件。該處理元件用以執行操作,該等操作包括獲得與製造系統處之第一先前基板的第一部分以及該第一先前基板之第二部分或製造系統處的第二先前基板之第三部分中的至少一者相關聯之光譜資料。該等操作進一步包括標識針對第一先前基板之第二部分或第二先前基板之第三部分中的該至少一者獲得之一或更多個計量量測值。該等操作進一步包括基於已標識之一或更多個計量量測值來決定與第一先前基板的第一部分相關聯之計量量測值。該等操作進一步包括產生用於訓練機器學習模型以預測製造系統處的當前基板之計量量測值的訓練資料。產生訓練資料包括產生第一訓練輸入,其包括與第一先前基板的第一部分相關聯之光譜資料,及產生針對第一訓練輸入之第一目標輸出,該第一目標輸出包括與第一先前基板的第一部分相關聯之已決定的計量量測值。該等操作進一步包括提供該資料以基於(i)包括該第一訓練輸入之一組訓練輸入及(ii)包括該第一目標輸出之一組目標輸出來訓練該機器學習模型。In some embodiments, an apparatus includes a memory and a processing element coupled to the memory. The processing element is used to perform operations, the operations including obtaining spectral data associated with a first portion of a first previous substrate at a manufacturing system and at least one of a second portion of the first previous substrate or a third portion of a second previous substrate at the manufacturing system. The operations further include identifying one or more metrology measurements obtained for at least one of the second portion of the first previous substrate or the third portion of the second previous substrate. The operations further include determining a metrology measurement associated with the first portion of the first previous substrate based on the identified one or more metrology measurements. The operations further include generating training data for training a machine learning model to predict metrology measurement values for a current substrate at the manufacturing system. Generating training data includes generating a first training input including spectral data associated with a first portion of a first previous substrate, and generating a first target output for the first training input, the first target output including a determined metrology measurement associated with the first portion of the first previous substrate. The operations further include providing the data to train the machine learning model based on (i) a set of training inputs including the first training input and (ii) a set of target outputs including the first target output.
在一些實施例中,一種非暫時性電腦可讀儲存媒體包括指令,當由處理元件執行時,該等指令導致該處理元件執行操作,該等操作包括獲得與製造系統處之第一先前基板的第一部分以及該第一先前基板之第二部分或製造系統處的第二先前基板之第三部分中的至少一者相關聯之光譜資料。該等操作進一步包括標識針對第一先前基板之第二部分或第二先前基板之第三部分中的該至少一者獲得之一或更多個計量量測值。該等操作進一步包括基於已標識之一或更多個計量量測值來決定與第一先前基板的第一部分相關聯之計量量測值。該等操作進一步包括產生用於訓練機器學習模型以預測製造系統處的當前基板之計量量測值的訓練資料。產生訓練資料包括產生第一訓練輸入,其包括與第一先前基板的第一部分相關聯之光譜資料,及產生針對第一訓練輸入之第一目標輸出,該第一目標輸出包括與第一先前基板的第一部分相關聯之已決定的計量量測值。該等操作進一步包括提供該資料以基於(i)包括該第一訓練輸入之一組訓練輸入及(ii)包括該第一目標輸出之一組目標輸出來訓練該機器學習模型。In some embodiments, a non-transitory computer-readable storage medium includes instructions that, when executed by a processing element, cause the processing element to perform operations, the operations comprising obtaining spectral data associated with a first portion of a first previous substrate at a manufacturing system and at least one of a second portion of the first previous substrate or a third portion of a second previous substrate at the manufacturing system. The operations further include identifying one or more metrology measurements obtained for the at least one of the second portion of the first previous substrate or the third portion of the second previous substrate. The operations further include determining a metrology measurement associated with the first portion of the first previous substrate based on the identified one or more metrology measurements. The operations further include generating training data for training a machine learning model to predict metrology measurement values for a current substrate at the manufacturing system. Generating training data includes generating a first training input including spectral data associated with a first portion of a first previous substrate, and generating a first target output for the first training input, the first target output including a determined metrology measurement associated with the first portion of the first previous substrate. The operations further include providing the data to train the machine learning model based on (i) a set of training inputs including the first training input and (ii) a set of target outputs including the first target output.
基板輪廓(例如,包括三維(3D)結構之表面、包括非3D結構之表面,等)的性質對於最終的、經處理基板之總體效能及/或製造系統處之基板的總體生產產率而言係重要的。在一些情形下,可藉由在製造系統處之基板製程期間或之後產生對基板的計量量測值來監控基板輪廓之性質。計量量測值可包括蝕刻速率(亦即,沉積在基板表面上之某種材料在處理腔室中被蝕刻的速率)、蝕刻速率均勻性(亦即,在基板表面的兩個或更多個部分處蝕刻速率的變化)、臨界尺寸(亦即,用於量測基板元件(諸如,線、柱、開口、空間,等)之尺寸的測量單位)、臨界尺寸均勻性(亦即,跨基板表面之臨界尺寸的變化)、邊對邊放置誤差(edge to edge placement error; EPE)(亦即,基板表面上所包括之預期特徵及所得特徵之間的差別),等等。The properties of a substrate profile (e.g., a surface including three-dimensional (3D) structures, a surface including non-3D structures, etc.) are important to the overall performance of the final, processed substrate and/or the overall production yield of substrates at a manufacturing system. In some cases, the properties of the substrate profile can be monitored by generating metrology measurements of the substrate during or after processing of the substrate at a manufacturing system. Metrology measurements may include etch rate (i.e., the rate at which a material deposited on a substrate surface is etched in a processing chamber), etch rate uniformity (i.e., the variation in etch rate at two or more portions of a substrate surface), critical dimension (i.e., a unit of measurement used to measure the size of a substrate feature (e.g., a line, pillar, opening, space, etc.)), critical dimension uniformity (i.e., the variation in a critical dimension across a substrate surface), edge to edge placement error (EPE) (i.e., the difference between an expected feature included on a substrate surface and the resulting feature), and the like.
本文所述實施提供用於訓練並使用機器學習模型以預測在製造系統處所處理的當前基板之計量量測值的方法及系統。可使用針對製造系統處所處理之先前基板的各種部分收集之歷史光譜資料來訓練機器學習模型。光譜資料可對應於針對已偵測到的能量波的每個給定波長之已偵測到之能量波強度(亦即,能量之強度或量)。在一些實施例中,可在量測系統內所包括之基板量測子系統處產生光譜資料。在其他或類似實施例中,可在製造系統之另一部分處(諸如,在處理腔室處)產生光譜資料。可提供歷史光譜資料作為機器學習模型之訓練輸入。亦可使用針對先前基板的各種部分收集之歷史非光譜資料來訓練機器學習。舉例而言,可針對基板產生渦電流資料、電容資料等等,並經提供而作為機器學習模型之訓練輸入。Implementations described herein provide methods and systems for training and using a machine learning model to predict metrology measurements for a current substrate being processed at a manufacturing system. The machine learning model may be trained using historical spectral data collected for various portions of previous substrates processed at the manufacturing system. The spectral data may correspond to detected energy wave intensities (i.e., the intensity or amount of energy) for each given wavelength of the detected energy wave. In some embodiments, the spectral data may be generated at a substrate measurement subsystem included in the measurement system. In other or similar embodiments, the spectral data may be generated at another portion of the manufacturing system (e.g., at a processing chamber). The historical spectral data may be provided as training input for the machine learning model. Historical non-spectral data collected for various portions of previous substrates may also be used to train machine learning. For example, eddy current data, capacitance data, etc. may be generated for a substrate and provided as training input to a machine learning model.
在一些實施例中,可使用歷史光譜資料進一步訓練機器學習模型,該歷史光譜資料指示與該歷史光譜資料相關聯之先前基板的該部分。位置資料可代表當量測基板的一部分之光譜資料時(亦即,在基板量測子系統處或在處理腔室處)基板的位置及/或定向。在一些實施例中,亦可提供歷史位置資料作為機器學習模型之訓練輸入。In some embodiments, the machine learning model may be further trained using historical spectral data indicating the portion of a previous substrate associated with the historical spectral data. The position data may represent the position and/or orientation of the substrate when the spectral data of the portion of the substrate was measured (i.e., at the substrate metrology subsystem or at the processing chamber). In some embodiments, the historical position data may also be provided as a training input for the machine learning model.
可使用針對製造系統處所處理之先前基板收集的歷史計量量測值進一步訓練機器學習模型。在一些實施例中,可自計量量測值系統接收歷史計量量測值,該計量量測值系統與製造系統分離(稱作外部計量量測值系統)。在其他或類似實施例中,可自製造系統之客戶端裝置接收歷史計量量測值。可針對製造系統處所處理之每個基板產生歷史計量量測值。可提供歷史計量量測值作為機器學習模型之目標輸出。The machine learning model may be further trained using historical metrology measurements collected for previous substrates processed at the manufacturing system. In some embodiments, the historical metrology measurements may be received from a metrology measurement system that is separate from the manufacturing system (referred to as an external metrology measurement system). In other or similar embodiments, the historical metrology measurements may be received from a client device of the manufacturing system. Historical metrology measurements may be generated for each substrate processed at the manufacturing system. The historical metrology measurements may be provided as a target output of the machine learning model.
在一些實施例中,可基於針對製造系統處的先前基板所預測或以其他方式決定之計量量測值來產生用於訓練機器學習模型之訓練資料。在一個實例中,根據本文所述實施例,可針對製造系統處之第一先前基板的第一部分收集光譜資料。亦可針對第一先前基板的第二部分及/或針對製造系統處之第二先前基板的第三部分收集光譜資料。在一些實施例中,可針對第一先前基板之第二部分及/或針對第二先前基板之第三部分(例如,但不針對第一先前基板之第一部分)收集計量量測值。可將針對第一先前基板之第一部分及第一先前基板之第二部分及/或第二先前基板之第三部分的座標(例如,笛卡爾座標,等)之指示作為輸入提供給函數。亦可將針對第一先前基板之第二部分及/或第二先前基板之第三部分量測的計量量測值作為輸入提供給該函數。在一些實施例中,該函數可包括線性插值函數、外插函數、最近鄰插值函數或歐幾里德距離函數。作為輸出,該函數可提供基板之一或更多個計量量測值的指示。可基於該函數之一或更多個輸出決定第一先前基板的第一部分之計量量測值。In some embodiments, training data for training a machine learning model may be generated based on metrology measurements predicted or otherwise determined for a previous substrate at a manufacturing system. In one example, according to embodiments described herein, spectral data may be collected for a first portion of a first previous substrate at a manufacturing system. Spectral data may also be collected for a second portion of the first previous substrate and/or for a third portion of a second previous substrate at the manufacturing system. In some embodiments, metrology measurements may be collected for the second portion of the first previous substrate and/or for the third portion of the second previous substrate (e.g., but not for the first portion of the first previous substrate). An indication of coordinates (e.g., Cartesian coordinates, etc.) for the first portion of the first previous substrate and the second portion of the first previous substrate and/or the third portion of the second previous substrate may be provided as an input to a function. Metrology measurements measured for the second portion of the first previous substrate and/or the third portion of the second previous substrate may also be provided as inputs to the function. In some embodiments, the function may include a linear interpolation function, an extrapolation function, a nearest neighbor interpolation function, or a Euclidean distance function. As an output, the function may provide an indication of one or more metrology measurements of the substrate. The metrology measurement of the first portion of the first previous substrate may be determined based on one or more outputs of the function.
在另外或替代實施例中,可提供針對第一部分收集之光譜資料作為額外機器學習模型之輸入。在一些實施例中,該光譜資料可具備與第一先前基板相關聯之上下文資料。該額外機器學習模型可經訓練以基於製造系統處的先前基板之光譜資料及上下文資料來預測先前基板之一或更多個計量量測值。上下文資料可包括與第一先前基板的第一部分相關聯之第一座標的指示、對第一先前基板執行之基板製程、對第一先前基板執行基板製程期間之時間週期、針對第一先前基板收集光譜資料期間之時間週期、用以執行基板製程之設備的一或更多種類型之指示,等等。額外機器學習模型之一或更多個輸出可包括計量資料(其包括計量量測值之一或更多個集合)及計量量測值之相應集合對應於第一先前基板的第一部分之置信位準的指示。標識具有滿足置信準則(例如,超過閾值置信位準,等)的置信位準之一組計量量測值。該組計量量測值可包括第一先前基板的第一部分之計量量測值。本文中提供關於預測或以其他方式決定製造系統處的先前基板之計量測量值的另外細節。In additional or alternative embodiments, spectral data collected for the first portion may be provided as an input to an additional machine learning model. In some embodiments, the spectral data may be provided with contextual data associated with a first previous substrate. The additional machine learning model may be trained to predict one or more metrology measurements of a previous substrate based on the spectral data of the previous substrate at the manufacturing system and the contextual data. The contextual data may include an indication of a first coordinate associated with a first portion of the first previous substrate, a substrate process performed on the first previous substrate, a time period during which the substrate process was performed on the first previous substrate, a time period during which the spectral data was collected for the first previous substrate, an indication of one or more types of equipment used to perform the substrate process, and the like. One or more outputs of the additional machine learning model may include metrology data (including one or more sets of metrology measurements) and an indication of a confidence level that the respective set of metrology measurements corresponds to a first portion of a first previous substrate. A set of metrology measurements having a confidence level that satisfies a confidence criterion (e.g., exceeds a threshold confidence level, etc.) is identified. The set of metrology measurements may include metrology measurements of the first portion of the first previous substrate. Additional details are provided herein regarding predicting or otherwise determining metrology measurements of a previous substrate at a manufacturing system.
一經訓練,機器學習模型即可用以預測正在製造系統處被處理之當前基板的計量量測值。可在製造系統處的基板製程期間或之後針對當前基板(亦即,在基板量測子系統處或在處理腔室處)產生光譜資料。該光譜資料可作為輸入被提供至經訓練之機器學習模型。在一些實施例中,亦可針對當前基板產生位置資料,其中該位置資料與光譜資料相關聯。在此些實施例中,可將位置資料作為另一輸入提供至具有光譜資料的經訓練之機器學習模型。經訓練之機器學習模型可產生一或更多個輸出,其包括製造系統處所處理之先前基板的計量量測值,及正在製造系統處被處理之當前基板與先前基板之計量量測值相關聯的置信位準。可自一或更多個輸出提取正在製造系統處被處理之當前基板的計量量測值。在一些實施例中,可經由在製造系統的客戶端裝置處顯示之圖形使用者介面(graphical user interface; GUI)將當前基板之計量量測值提供給製造系統之使用者。Once trained, the machine learning model can be used to predict metrology measurements for a current substrate being processed at a manufacturing system. Spectral data can be generated for the current substrate (i.e., at a substrate metrology subsystem or at a processing chamber) during or after a substrate process at the manufacturing system. The spectral data can be provided as an input to the trained machine learning model. In some embodiments, position data can also be generated for the current substrate, where the position data is associated with the spectral data. In these embodiments, the position data can be provided as another input to the trained machine learning model with the spectral data. The trained machine learning model may generate one or more outputs including metrology measurements of a previous substrate processed at the manufacturing system and a confidence level associated with the metrology measurements of the previous substrate for a current substrate being processed at the manufacturing system. The metrology measurements of the current substrate being processed at the manufacturing system may be extracted from the one or more outputs. In some embodiments, the metrology measurements of the current substrate may be provided to a user of the manufacturing system via a graphical user interface (GUI) displayed at a client device of the manufacturing system.
本揭示案之態樣藉由提供用於訓練並使用機器學習模型來預測製造系統處所處理之基板的計量量測值之系統及方法來解決習知技術的上述缺點。可在製造系統的各個部分處(亦即,基板量測子系統、處理腔室,等)針對每個基板產生光譜資料及/或非光譜資料,並將其提供給經訓練之機器學習模型以決定當基板保留在製造系統內時基板之計量量測值。藉由決定當基板保留在製造系統內時基板之計量量測值,在基板製程期間並不自製造系統移除基板,藉此提高了總系統處理量。另外,因為可針對在製造系統處處理之每個基板產生光譜資料及/或光譜,所以可針對每個基板產生計量量測值,從而導致在製造系統處處理之所有基板的高取樣比率。可在製造系統處基於該基板之計量量測值而非基於另一基板之計量量測值來作出對基板的製程修改,從而提高製程修改將導致成功處理基板的可能性。因此,在製造系統內發生之缺陷的數目將降低,藉此提高總系統效率。另外,可偵測到與基板之預期計量量測值的偏差,且可基於該已偵測到之偏差發起誤差協定(例如,將誤差訊息傳輸至製造系統之操作員,停止製造系統處之操作,等),從而防止對基板及/或製造系統的不必要損壞。Aspects of the present disclosure address the above-described shortcomings of the prior art by providing systems and methods for training and using machine learning models to predict metrology measurements of substrates processed at a manufacturing system. Spectral data and/or non-spectral data may be generated for each substrate at various portions of the manufacturing system (i.e., substrate metrology subsystem, processing chamber, etc.) and provided to the trained machine learning model to determine metrology measurements of the substrate while the substrate remains within the manufacturing system. By determining metrology measurements of the substrate while the substrate remains within the manufacturing system, the substrate is not removed from the manufacturing system during substrate processing, thereby increasing overall system throughput. Additionally, because spectral data and/or spectra may be generated for each substrate processed at a manufacturing system, metrology measurements may be generated for each substrate, resulting in a high sampling rate of all substrates processed at the manufacturing system. Process modifications to a substrate may be made at the manufacturing system based on the metrology measurements of that substrate rather than based on the metrology measurements of another substrate, thereby increasing the likelihood that the process modifications will result in successfully processing the substrate. As a result, the number of defects occurring within the manufacturing system may be reduced, thereby increasing overall system efficiency. Additionally, deviations from expected metrology measurements for a substrate may be detected, and error protocols may be initiated based on the detected deviation (e.g., transmitting an error message to an operator of the manufacturing system, stopping operations at the manufacturing system, etc.), thereby preventing unnecessary damage to the substrate and/or the manufacturing system.
第1圖描繪根據本揭示案之態樣的說明性電腦系統架構100。在一些實施例中,電腦系統架構100可經包括而作為用於處理基板之製造系統(諸如,第3圖之製造系統300)的一部分。電腦系統架構100包括客戶端裝置120、製造設備124、計量設備128、預測伺服器112(例如,產生預測資料、提供模型適應、使用知識庫,等),及資料儲存器140。預測伺服器112可為預測系統110的一部分。預測系統110可進一步包括伺服器機器170及180。製造設備124可包括感測器125,其經配置以俘獲正在製造系統處被處理之基板的資料。在一些實施例中,製造設備124及感測器126可為感測器系統的一部分,該感測器系統包括感測器伺服器(例如,製造設施處之現場服務伺服器(field service server; FSS))及感測器識別符讀取器(例如,用於感測器系統之前開式晶圓傳送盒(front opening unified pod; FOUP)射頻識別(radio frequency identification; RFID)讀取器)。在一些實施例中,計量設備128可為計量系統的一部分,該計量系統包括計量伺服器(例如,計量資料庫、計量資料夾,等)及計量識別符讀取器(例如,用於計量系統之FOUP RFID讀取器)。FIG. 1 depicts an illustrative computer system architecture 100 according to aspects of the present disclosure. In some embodiments, the computer system architecture 100 may be included as part of a manufacturing system for processing substrates (e.g., manufacturing system 300 of FIG. 3). The computer system architecture 100 includes a client device 120, manufacturing equipment 124, metrology equipment 128, a prediction server 112 (e.g., generates prediction data, provides model adaptation, uses a knowledge base, etc.), and a data store 140. The prediction server 112 may be part of the prediction system 110. The prediction system 110 may further include server machines 170 and 180. The manufacturing equipment 124 may include a sensor 125, which is configured to capture data of a substrate being processed at the manufacturing system. In some embodiments, the manufacturing equipment 124 and the sensor 126 may be part of a sensor system that includes a sensor server (e.g., a field service server (FSS) at a manufacturing facility) and a sensor identifier reader (e.g., a front opening unified pod (FOUP) radio frequency identification (RFID) reader for the sensor system). In some embodiments, the metrology equipment 128 may be part of a metrology system that includes a metrology server (e.g., a metrology database, a metrology folder, etc.) and a metrology identifier reader (e.g., a FOUP RFID reader for the metrology system).
製造設備124可遵循配方或在一時間週期內執行運作來生產產品。製造設備124可包括基板量測子系統,其包括一或更多個感測器126,該一或更多個感測器126經配置以產生內嵌在基板量測子系統內之基板的光譜資料及/或位置資料。經配置以產生光譜資料之感測器126(本文中稱作光譜感測部件)可包括反射感測器、橢偏感測器、熱光譜感測器、電容感測器,等等。在一些實施例中,光譜感測部件可被包括在基板量測子系統內或製造系統之另一部分內。一或更多個感測器126(例如,渦流感測器,等)亦可經配置以產生基板之非光譜資料。關於第3圖及第4圖提供關於製造設備124及基板量測子系統之另外細節。The manufacturing equipment 124 may follow a recipe or perform operations within a time cycle to produce a product. The manufacturing equipment 124 may include a substrate measurement subsystem, which includes one or more sensors 126, and the one or more sensors 126 are configured to generate spectral data and/or position data of a substrate embedded in the substrate measurement subsystem. The sensor 126 configured to generate spectral data (referred to as a spectral sensing component herein) may include a reflection sensor, an elliptical sensor, a thermal spectral sensor, a capacitive sensor, and the like. In some embodiments, the spectral sensing component may be included in the substrate measurement subsystem or in another part of the manufacturing system. One or more sensors 126 (e.g., eddy current sensors, etc.) may also be configured to generate non-spectral data of the substrate. Additional details regarding the fabrication apparatus 124 and the substrate metrology subsystem are provided with respect to FIGS. 3 and 4 .
在一些實施例中,感測器126可提供與製造設備124相關聯之感測器資料。感測器資料可包括如下各者中之一或更多者的值:溫度(例如,加熱器溫度)、間距(spacing; SP)、壓力、高頻率射頻(high frequency radio frequency; HFRF)、靜電卡盤(electrostatic chuck; ESC)的電壓、電流、流量、功率、電壓等。感測器資料可與製造參數相關聯或指示製造參數,諸如,硬體參數,諸如,製造設備124之設置或部件(例如,大小、類型,等),或製造設備124之製程參數。可在製造設備124執行製造製程的同時提供感測器資料(例如,處理產品時之設備讀數)。對於每個基板而言,感測器資料142可不同。In some embodiments, the sensor 126 may provide sensor data associated with the manufacturing equipment 124. The sensor data may include values of one or more of: temperature (e.g., heater temperature), spacing (SP), pressure, high frequency radio frequency (HFRF), voltage of an electrostatic chuck (ESC), current, flow, power, voltage, etc. The sensor data may be associated with or indicative of manufacturing parameters, such as hardware parameters, such as settings or components of the manufacturing equipment 124 (e.g., size, type, etc.), or process parameters of the manufacturing equipment 124. The sensor data may be provided while the manufacturing equipment 124 is executing a manufacturing process (e.g., equipment readings while processing a product). The sensor data 142 may be different for each substrate.
計量設備128可提供與製造設備124所處理之基板(例如,晶圓,等)相關聯的計量資料。計量資料可包括膜性質資料(例如,晶圓空間膜性質)、尺寸(例如,厚度、高度,等)、介電常數、摻雜劑濃度、密度、缺陷等中之一或更多者的值。在一些實施例中,計量資料可進一步包括一或更多個表面輪廓性質資料(例如,蝕刻速率、蝕刻速率均勻性、被包括在基板表面上之一或更多個特徵的臨界尺寸、跨基板表面之臨界尺寸均勻性、邊緣放置誤差,等)之值。計量資料可屬於成品的或半成品的。對於每個基板而言,計量資料可不同。The metrology equipment 128 may provide metrology data associated with substrates (e.g., wafers, etc.) processed by the manufacturing equipment 124. The metrology data may include values of one or more of film property data (e.g., wafer spatial film properties), dimensions (e.g., thickness, height, etc.), dielectric constants, dopant concentrations, densities, defects, etc. In some embodiments, the metrology data may further include values of one or more surface profile property data (e.g., etch rate, etch rate uniformity, critical dimensions of one or more features included on the substrate surface, critical dimension uniformity across the substrate surface, edge placement error, etc.). The metrology data may be of finished products or semi-finished products. The metrology data may be different for each substrate.
客戶端裝置120可包括計算裝置,諸如,個人電腦(personal computer; PC)、膝上型電腦、行動電話、智慧型電話、桌上型電腦、筆記型電腦、網路連接電視(「智慧型TV」)、網路連接媒體播放器(例如,藍光播放器)、機上盒、雲上(over-the-top; OTT)串流裝置、接線盒,等。The client device 120 may include a computing device, such as a personal computer (PC), a laptop, a mobile phone, a smart phone, a desktop computer, a notebook computer, an Internet-connected television (“smart TV”), an Internet-connected media player (e.g., a Blu-ray player), a set-top box, an over-the-top (OTT) streaming device, a cable box, etc.
在一些實施例中,可自客戶端裝置120接收計量資料。客戶端裝置120可顯示圖形使用者介面(GUI),其中GUI使得使用者能夠提供在製造系統處所處理之基板的計量量測值,作為輸入。In some embodiments, metrology data may be received from a client device 120. The client device 120 may display a graphical user interface (GUI) that enables a user to provide, as input, metrology measurements of substrates processed at a manufacturing system.
資料儲存器140可為記憶體(例如,隨機存取記憶體)、驅動器(例如,硬碟、快閃驅動器)、資料庫系統,或能夠儲存資料之另一類型的部件或裝置。資料儲存器140可包括橫跨多個計算裝置(例如,多個伺服器電腦)之多個儲存部件(例如,多個驅動器或多個資料庫)。資料儲存器140可儲存光譜資料、非光譜資料、計量資料及預測性資料。光譜資料可包括歷史光譜資料(例如,針對在製造系統處所處理之先前基板產生的光譜資料)及/或當前光譜(針對在製造系統處所處理之當前基板產生的光譜資料)。當前光譜資料可為對其產生預測性資料之資料。儘管本揭示案之實施例引用用於訓練機器學習模型之光譜資料,但應注意,本揭示案之實施例亦可包括用以訓練機器學習模型之非光譜資料。在一些實施例中,計量資料可包括歷史計量資料(例如,在製造系統處所處理之先前基板的計量量測值)。資料儲存器140亦可儲存與在製造系統處所處理之基板相關聯的上下文資料(例如,配方名稱、配方步驟編號、預防性維護指示符、操作員,等)。Data storage 140 may be a memory (e.g., random access memory), a drive (e.g., a hard drive, a flash drive), a database system, or another type of component or device capable of storing data. Data storage 140 may include multiple storage components (e.g., multiple drives or multiple databases) across multiple computing devices (e.g., multiple server computers). Data storage 140 may store spectral data, non-spectral data, metrology data, and predictive data. The spectral data may include historical spectral data (e.g., spectral data generated for a previous substrate processed at the manufacturing system) and/or a current spectrum (spectral data generated for a current substrate processed at the manufacturing system). The current spectral data may be data for which predictive data is generated. Although embodiments of the present disclosure refer to spectral data used to train machine learning models, it should be noted that embodiments of the present disclosure may also include non-spectral data used to train machine learning models. In some embodiments, the metrology data may include historical metrology data (e.g., metrology measurements of previous substrates processed at the manufacturing system). The data store 140 may also store contextual data associated with substrates processed at the manufacturing system (eg, recipe name, recipe step number, preventive maintenance indicator, operator, etc.).
在一些實施例中,資料儲存器140可經配置以儲存製造系統之使用者不可存取的資料。舉例而言,製造系統之使用者可能無法存取針對在製造系統處所處理之基板所獲得的光譜資料、非光譜資料及/或位置資料。在一些實施例中,製造系統之使用者(例如,操作員)可能不可存取儲存在資料儲存器140處之所有資料。在其他或類似實施例中,使用者可能不可存取儲存在資料儲存器140處之資料的一部分,而儲存在資料儲存器140處之資料的另一部分可被使用者存取。在一些實施例中,可使用使用者未知之加密機制對儲存在資料儲存器140處之資料的一或更多個部分加密(例如,使用私有加密金鑰來加密資料)。在其他或類似實施例中,資料儲存器140可包括多個資料儲存器,其中使用者不可存取之資料係儲存在一或更多個第一資料儲存器中,而使用者可存取之資料係儲存在一或更多個第二資料儲存器中。In some embodiments, the data storage 140 may be configured to store data that is not accessible to users of the manufacturing system. For example, a user of the manufacturing system may not be able to access spectral data, non-spectral data, and/or position data obtained for substrates processed at the manufacturing system. In some embodiments, a user of the manufacturing system (e.g., an operator) may not be able to access all of the data stored at the data storage 140. In other or similar embodiments, a portion of the data stored at the data storage 140 may be inaccessible to the user, while another portion of the data stored at the data storage 140 may be accessible to the user. In some embodiments, one or more portions of the data stored at data storage 140 may be encrypted using an encryption mechanism unknown to the user (e.g., using a private encryption key to encrypt the data). In other or similar embodiments, data storage 140 may include multiple data storages, where data not accessible to the user is stored in one or more first data storages, and data accessible to the user is stored in one or more second data storages.
在一些實施例中,預測系統110包括伺服器機器170及伺服器機器180。伺服器機器170包括訓練集產生器172,其能夠產生訓練資料集(例如,資料輸入之集合及目標輸出之集合)以訓練、驗證及/或測試機器學習模型190。以下關於第2圖及第8圖至第11圖詳細描述訓練集產生器172之一些操作。在一些實施例中,訓練集產生器172可將訓練資料劃分成訓練集、驗證集及測試集。在一些實施例中,預測系統110產生訓練資料之多個集合。舉例而言,訓練資料之第一集合可對應於第一類型的光譜資料(例如,反射光譜資料),且訓練資料之第二集合可對應於第二類型的光譜資料(橢偏光譜資料)。In some embodiments, the prediction system 110 includes a server machine 170 and a server machine 180. The server machine 170 includes a training set generator 172, which is capable of generating a training data set (e.g., a set of data inputs and a set of target outputs) to train, validate and/or test the machine learning model 190. Some operations of the training set generator 172 are described in detail below with respect to FIG. 2 and FIG. 8 to FIG. 11. In some embodiments, the training set generator 172 may divide the training data into a training set, a validation set, and a test set. In some embodiments, the prediction system 110 generates multiple sets of training data. For example, a first set of training data may correspond to a first type of spectral data (e.g., reflectance spectral data), and a second set of training data may correspond to a second type of spectral data (elliptical spectral data).
伺服器機器180可包括訓練引擎182、驗證引擎184、選擇引擎185及/或測試引擎186。引擎可代表硬體(例如,電路系統、專用邏輯、可程式化邏輯、微代碼、處理裝置,等)、軟體(諸如,在處理裝置、通用電腦系統或專用機器上運行之指令)、韌體、微代碼或其組合。訓練引擎182可能能夠訓練機器學習模型190。機器學習模型190可代表由訓練引擎182使用訓練資料創建之模型製品,該訓練資料包括訓練輸入及對應的目標輸出(針對相應訓練輸入之正確答案)。訓練引擎182可在訓練資料中找出將訓練輸入映射至目標輸出(要預測之答案)的模式,並提供俘獲此些模式之機器學習模型190。機器學習模型190可使用支援向量機(support vector machine; SVM)、徑向基函數(Radial Basis Function; RBF)、聚類、受監督機器學習、半監督機器學習、不受監督機器學習、k-最近鄰演算法(k-nearest neighbor algorithm; k-NN)、線性迴歸、隨機森林、神經網路(例如,人工神經網路)等中之一或更多者。The server machine 180 may include a training engine 182, a verification engine 184, a selection engine 185, and/or a testing engine 186. An engine may represent hardware (e.g., circuitry, dedicated logic, programmable logic, microcode, a processing device, etc.), software (e.g., instructions running on a processing device, a general purpose computer system, or a dedicated machine), firmware, microcode, or a combination thereof. The training engine 182 may be capable of training a machine learning model 190. The machine learning model 190 may represent a model artifact created by the training engine 182 using training data that includes training inputs and corresponding target outputs (correct answers for the corresponding training inputs). The training engine 182 can find patterns in the training data that map the training inputs to the target outputs (the answers to be predicted) and provide a machine learning model 190 that captures these patterns. The machine learning model 190 can use one or more of support vector machines (SVM), radial basis functions (RBF), clustering, supervised machine learning, semi-supervised machine learning, unsupervised machine learning, k-nearest neighbor algorithm (k-NN), linear regression, random forests, neural networks (e.g., artificial neural networks), etc.
驗證引擎184可能能夠使用來自訓練集產生器172之驗證集的對應特徵集合來驗證經訓練之機器學習模型190。驗證引擎184可基於驗證集之對應特徵集合來決定經訓練之機器學習模型190中的每一者之準確度。驗證引擎184可棄用具有不符合閾值準確度之準確度的經訓練之機器學習模型190。在一些實施例中,選擇引擎185可能能夠選擇具有符合閾值準確度之準確度的經訓練之機器學習模型190。在一些實施例中,選擇引擎185可能能夠選擇具有經訓練之機器學習模型190之最高準確度的經訓練之機器學習模型190。The validation engine 184 may be capable of validating the trained machine learning models 190 using the corresponding feature set of the validation set from the training set generator 172. The validation engine 184 may determine the accuracy of each of the trained machine learning models 190 based on the corresponding feature set of the validation set. The validation engine 184 may discard the trained machine learning models 190 having an accuracy that does not meet the threshold accuracy. In some embodiments, the selection engine 185 may be capable of selecting the trained machine learning models 190 having an accuracy that meets the threshold accuracy. In some embodiments, the selection engine 185 may be capable of selecting the trained machine learning model 190 having the highest accuracy of the trained machine learning model 190.
測試引擎186可能能夠使用來自訓練集產生器172之測試集的對應特徵集合來測試經訓練之機器學習模型190。舉例而言,可使用測試集之第一特徵集合來測試已使用訓練集之第一特徵集合訓練的第一經訓練之機器學習模型190。測試引擎186可基於測試集來決定所有經訓練之機器學習模型中具有最高準確度的經訓練之機器學習模型190。The testing engine 186 may be able to test the trained machine learning model 190 using the corresponding feature set of the test set from the training set generator 172. For example, a first trained machine learning model 190 that was trained using the first feature set of the training set may be tested using the first feature set of the test set. The testing engine 186 may determine the trained machine learning model 190 with the highest accuracy among all the trained machine learning models based on the test set.
預測伺服器112包括預測部件114,其能夠將製造系統處處理之當前基板的一部分之光譜資料及/或非光譜資料作為輸入提供給經訓練之機器學習模型190,並對該輸入運行經訓練之機器學習模型190以獲得一或更多個輸出。如以下關於第4圖詳細描述,在一些實施例中,預測部件114亦能夠自經訓練之機器學習模型190的輸出提取資料並使用置信資料來估計基板的一部分之計量量測值。The prediction server 112 includes a prediction component 114 that can provide spectral data and/or non-spectral data of a portion of a current substrate being processed by the manufacturing system as input to a trained machine learning model 190 and run the trained machine learning model 190 on the input to obtain one or more outputs. As described in detail below with respect to FIG. 4, in some embodiments, the prediction component 114 can also extract data from the output of the trained machine learning model 190 and use confidence data to estimate a metrology measurement value of a portion of the substrate.
該置信資料可包括或指示計量值對應於與當前光譜資料及/或光譜資料相關聯之基板的一或更多個性質之計量值的置信位準。在一個實例中,置信位準為0與1之間的實數(包括0及1),其中0指示不置信計量值對應於與當前光譜資料相關聯之基板的一或更多個性質,而1指示絕對置信計量值對應於與當前光譜資料相關聯之基板的一或更多個性質。在一些實施例中,系統100可使用預測系統110來決定正在製造系統處被處理之基板的計量值,而非使用計量設備128來決定已量測之計量值。The confidence data may include or indicate a confidence level that the metrology value corresponds to the current spectral data and/or the metrology value of one or more properties of the substrate associated with the spectral data. In one example, the confidence level is a real number between 0 and 1 (including 0 and 1), where 0 indicates that there is no confidence that the metrology value corresponds to the one or more properties of the substrate associated with the current spectral data, and 1 indicates that there is absolute confidence that the metrology value corresponds to the one or more properties of the substrate associated with the current spectral data. In some embodiments, the system 100 may use the prediction system 110 to determine the metrology value of the substrate being processed at the manufacturing system, rather than using the metrology device 128 to determine the measured metrology value.
客戶端裝置120、製造設備124、感測器126、計量設備128、預測伺服器112、資料儲存器140、伺服器機器170及伺服器機器180可經由網路130彼此耦合。在一些實施例中,網路130為公共網路,其向客戶端裝置120提供對預測伺服器112、資料儲存器140及其他公共可用之計算裝置的存取。在一些實施例中,網路130為私用網路,其向客戶端裝置120提供對製造設備124、計量設備128、資料儲存器140及其他私人可用之計算裝置的存取。網路130可包括一或更多個廣域網路(wide area network; WAN)、區域網路(local area network; LAN)、有線網路(例如,乙太網路)、無線網路(例如,802.11網路或Wi-Fi網路)、蜂巢式網路(例如,長期演進(Long Term Evolution; LTE)網路)、路由器、集線器、交換機、伺服器電腦、雲端計算網路,及/或其組合。Client devices 120, manufacturing equipment 124, sensors 126, metering devices 128, prediction server 112, data storage 140, server machine 170, and server machine 180 may be coupled to one another via network 130. In some embodiments, network 130 is a public network that provides client devices 120 with access to prediction server 112, data storage 140, and other publicly available computing devices. In some embodiments, network 130 is a private network that provides client devices 120 with access to manufacturing equipment 124, metering devices 128, data storage 140, and other privately available computing devices. The network 130 may include one or more wide area networks (WAN), local area networks (LAN), wired networks (e.g., Ethernet networks), wireless networks (e.g., 802.11 networks or Wi-Fi networks), cellular networks (e.g., Long Term Evolution (LTE) networks), routers, hubs, switches, server computers, cloud computing networks, and/or combinations thereof.
應注意,在一些其他實施中,可由更少數目個機器來提供伺服器機器170及180以及預測伺服器112之功能。舉例而言,在一些實施例中,可將伺服器機器170及180整合至單個機器中,而在一些其他或類似實施例中,可將伺服器機器170及180以及預測伺服器112整合至單個機器中。It should be noted that in some other implementations, the functionality of server machines 170 and 180 and prediction server 112 may be provided by fewer machines. For example, in some embodiments, server machines 170 and 180 may be integrated into a single machine, while in some other or similar embodiments, server machines 170 and 180 and prediction server 112 may be integrated into a single machine.
大體而言,在一個實施中描述為由伺服器機器170、伺服器機器180及/或預測伺服器112執行之功能亦可在客戶端裝置120上執行。另外,歸屬於特定部件之功能可由一起運行之不同或多個部件來執行。Generally speaking, functions described in one implementation as being performed by server machine 170, server machine 180, and/or prediction server 112 may also be performed on client device 120. Additionally, functions attributed to a particular component may be performed by different or multiple components operating together.
在實施例中,可將「使用者」表示為單個個體。然而,本揭示案之其他實施例涵蓋係受複數個使用者及/或自動化源控制之實體的「使用者」。舉例而言,聯合成管理員之群組的一組個體使用者可被視為「使用者」。In embodiments, a "user" may be represented as a single individual. However, other embodiments of the present disclosure encompass a "user" that is an entity controlled by multiple users and/or automation sources. For example, a group of individual users joined together as a group of administrators may be considered a "user."
第2圖為根據本揭示案之態樣的用於訓練機器學習模型之方法200的流程圖。方法200係藉由處理邏輯來執行,該處理邏輯可包括硬體(電路系統、專用邏輯,等)、軟體(諸如,在通用電腦系統或專用機器上運行)、韌體,或其某一組合。在一個實施中,方法200可由電腦系統執行,諸如,第1圖之電腦系統架構100。在其他或類似實施中,方法200之一或更多個操作可由諸圖中未描繪之一或更多個其他機器來執行。在一些態樣中,方法200之一或更多個操作可由伺服器機器170之訓練集產生器172執行。FIG. 2 is a flow chart of a method 200 for training a machine learning model according to aspects of the present disclosure. Method 200 is performed by processing logic, which may include hardware (circuitry, dedicated logic, etc.), software (e.g., running on a general-purpose computer system or a dedicated machine), firmware, or a combination thereof. In one implementation, method 200 may be performed by a computer system, such as the computer system architecture 100 of FIG. 1. In other or similar implementations, one or more operations of method 200 may be performed by one or more other machines not depicted in the figures. In some aspects, one or more operations of method 200 may be performed by a training set generator 172 of a server machine 170.
為了簡化解釋,將該等方法描繪並描述為一連串動作。然而,根據本揭示案之動作可以各種次序及/或同時地發生,且具有本文中未呈現並描述之其他動作。另外,可能並非執行所有繪示動作來實施根據所揭示標的之方法。另外,熟習此項技術者將理解並瞭解,可或者經由狀態圖或事件將該等方法表示為一連串相互關聯之狀態。另外,應瞭解,本說明書中所揭示之方法能夠被儲存在製品上,以便於將此些方法運輸並移送至計算裝置。如本文中所使用,術語製品旨在涵蓋可自任何電腦可讀裝置或儲存媒體存取之電腦程式。For simplicity of explanation, the methods are depicted and described as a series of actions. However, the actions according to the present disclosure may occur in various orders and/or simultaneously, and have other actions not presented and described herein. In addition, it may not be necessary to perform all of the depicted actions to implement the methods according to the disclosed subject matter. In addition, those skilled in the art will understand and appreciate that the methods may be represented as a series of interrelated states, either via state diagrams or events. In addition, it should be understood that the methods disclosed in this specification can be stored on an article of manufacture to facilitate the transport and transfer of these methods to a computing device. As used herein, the term article of manufacture is intended to cover a computer program that can be accessed from any computer-readable device or storage medium.
在方塊210處,處理邏輯將訓練集T初始化為空集(例如,{})。在方塊220處,處理邏輯接收製造系統處所處理之基板的資料(例如,光譜資料、非光譜資料,等)。在一些實施例中,可自與製造系統整合在一起之基板量測子系統接收資料。在其他或類似實施例中,可自處在製造系統的另一部分(例如,處理腔室、裝載閘、移送腔室,等)處之一或更多個感測器接收資料。應注意,在一些其他實施中,可以某一其他方式來接收資料,且可能並非自製造系統的一部分接收。At block 210, the processing logic initializes a training set T to an empty set (e.g., {}). At block 220, the processing logic receives data (e.g., spectral data, non-spectral data, etc.) of a substrate processed at a manufacturing system. In some embodiments, the data may be received from a substrate metrology subsystem integrated with the manufacturing system. In other or similar embodiments, the data may be received from one or more sensors at another portion of the manufacturing system (e.g., a processing chamber, a load gate, a transfer chamber, etc.). It should be noted that in some other embodiments, the data may be received in some other manner and may not be received from a portion of the manufacturing system.
在方塊230處,處理邏輯視情況接收正在製造系統處被處理之基板的位置資料。在一些實施例中,可自具有該資料之基板量測子系統接收該位置資料。在其他或類似實施例中,可自處在製造系統的另一部分處之一或更多個感測器接收該資料。應注意,在一些其他實施中,可以某一其他方式來接收位置資料,且可能並非自製造系統的一部分接收。At block 230, the processing logic optionally receives position data of a substrate being processed at the fabrication system. In some embodiments, the position data may be received from a substrate metrology subsystem having the data. In other or similar embodiments, the data may be received from one or more sensors at another portion of the fabrication system. It should be noted that in some other embodiments, the position data may be received in some other manner and may not be received from a portion of the fabrication system.
在方塊240處,處理邏輯接收基板之一或更多個計量量測值。可在與製造系統分離之計量量測系統(亦即,外部計量量測系統)處獲得基板之計量量測值。在一些實施例中,外部計量量測系統可以通訊方式耦合至製造系統(例如,藉由第1圖之網路130)。在此些實施例中,處理邏輯可經由網路自外部計量量測系統接收基板之一或更多個計量量測值。在其他實施例中,可在外部計量量測值系統處產生計量量測值並經由客戶端裝置提供至製造系統。舉例而言,連接至製造系統之客戶端裝置可將圖形使用者介面(GUI)提供至製造系統之使用者(例如,操作員)。在外部計量子系統處量測該基板之後,使用者可經由GUI將計量量測值提供至客戶端裝置。回應於接收已提供之計量量測值,客戶端裝置可將計量量測值儲存在資料儲存器處,諸如,製造系統之資料儲存器140。At block 240, the processing logic receives one or more metrology measurements of the substrate. The metrology measurements of the substrate may be obtained at a metrology measurement system that is separate from the manufacturing system (i.e., an external metrology measurement system). In some embodiments, the external metrology measurement system may be communicatively coupled to the manufacturing system (e.g., via network 130 of FIG. 1 ). In these embodiments, the processing logic may receive one or more metrology measurements of the substrate from the external metrology measurement system via the network. In other embodiments, the metrology measurements may be generated at the external metrology measurement system and provided to the manufacturing system via a client device. For example, a client device connected to the manufacturing system may provide a graphical user interface (GUI) to a user (e.g., an operator) of the manufacturing system. After measuring the substrate at the external metrology subsystem, the user may provide the metrology measurements to the client device via the GUI. In response to receiving the provided metrology measurements, the client device may store the metrology measurements in a data store, such as data store 140 of the manufacturing system.
在一些實施例中,處理邏輯可基於針對基板的其他部分及/或針對其他基板收集之計量量測值來決定製造系統處之基板的一部分之計量量測值。以下關於第8圖至第11圖描述關於決定此些計量量測值並使用此些計量量測值產生訓練資料之另外細節。In some embodiments, the processing logic may determine metrology measurements for a portion of a substrate at a fabrication system based on metrology measurements collected for other portions of the substrate and/or for other substrates. Additional details regarding determining these metrology measurements and using these metrology measurements to generate training data are described below with respect to FIGS. 8-11.
在方塊250處,處理邏輯產生輸入/輸出映射。該輸入/輸出映射代表包括或基於基板的資料之訓練輸入,及針對該訓練輸入之目標輸出,其中該目標輸出標識基板之計量量測值,且其中訓練輸入與目標輸出相關聯(或映射至目標輸出)。在方塊260處,處理邏輯將輸入/輸出映射添加至訓練集T。At block 250, the processing logic generates an input/output map. The input/output map represents a training input including or based on data of a substrate, and a target output for the training input, wherein the target output identifies a metrology measurement value of the substrate, and wherein the training input is associated with (or mapped to) the target output. At block 260, the processing logic adds the input/output map to the training set T.
在方塊270處,處理邏輯決定訓練集T是否包括足夠量的訓練資料以訓練機器學習模型。應注意,在一些實施中,可簡單地基於訓練集中之輸入/輸出映射的數目來決定訓練集T之充分性,而在一些其他實施中,除了輸入/輸出映射的數目以外或替代於輸入/輸出映射的數目,可基於一或更多個其他準則(例如,訓練實例之多樣性的量度,等)來決定訓練集T之充分性。回應於決定訓練集T包括足夠量的訓練資料以訓練機器學習模型,處理邏輯提供訓練集T以訓練機器學習模型。回應於決定訓練集不包括足夠量的訓練資料以訓練機器學習模型,方法200返回至方塊220。At block 270, the processing logic determines whether the training set T includes a sufficient amount of training data to train the machine learning model. It should be noted that in some implementations, the sufficiency of the training set T may be determined simply based on the number of input/output mappings in the training set, while in some other implementations, the sufficiency of the training set T may be determined based on one or more other criteria (e.g., a measure of the diversity of training examples, etc.) in addition to or in lieu of the number of input/output mappings. In response to determining that the training set T includes a sufficient amount of training data to train the machine learning model, the processing logic provides the training set T to train the machine learning model. In response to determining that the training set does not include a sufficient amount of training data to train the machine learning model, method 200 returns to block 220.
在方塊280處,處理邏輯提供訓練集T以訓練機器學習模型。在一個實施中,將訓練集T提供至伺服器機器180之訓練引擎182以執行訓練。在神經網路之情形下,例如,將給定輸入/輸出映射之輸入值(例如,先前基板之光譜資料)輸入至神經網路,且將輸入/輸出映射之輸出值儲存在神經網路之輸出節點中。接著根據學習演算法(例如,反向傳播,等)來調整神經網路中之連接權重,並針對訓練集T中之其他輸入/輸出映射重複該程序。在方塊280之後,機器學習模型190可用以估計在製造系統處處理(例如,根據以下所述第6圖的方法600)之未來基板的計量值。At block 280, the processing logic provides a training set T to train the machine learning model. In one implementation, the training set T is provided to the training engine 182 of the server machine 180 to perform the training. In the case of a neural network, for example, the input values of a given input/output mapping (e.g., spectral data of a previous substrate) are input to the neural network, and the output values of the input/output mapping are stored in the output nodes of the neural network. The connection weights in the neural network are then adjusted according to a learning algorithm (e.g., back propagation, etc.), and the process is repeated for other input/output mappings in the training set T. Following block 280, the machine learning model 190 may be used to estimate metrology values for future substrates processed in a manufacturing system (e.g., according to method 600 of FIG. 6 described below).
第3圖為根據本揭示案之態樣的實例製造系統300之俯視示意圖。製造系統300可在基板302上執行一或更多個製程。基板302可為適合於在其上製造電子元件或電路部件之任何適當剛性的、固定尺寸的平面製品,諸如,含矽之圓盤或晶圓、經圖案化之晶圓、玻璃板,或其類似者。FIG. 3 is a schematic top view of an example manufacturing system 300 according to aspects of the present disclosure. The manufacturing system 300 can perform one or more processes on a substrate 302. The substrate 302 can be any suitably rigid, fixed-size planar article suitable for manufacturing electronic components or circuit parts thereon, such as a disk or wafer containing silicon, a patterned wafer, a glass sheet, or the like.
製造系統300可包括製程工具304及耦合至製程工具304之工廠介面306。製程工具304可包括外殼308,該外殼310具有在其中之移送腔室310。移送腔室310可包括安置在其周圍並與其耦合之一或更多個處理腔室(亦稱作製程腔室)314、316、318。製程腔室314、316、318可經由相應埠(諸如,狹縫閥或其類似者)耦合至移送腔室310。移送腔室310亦可包括移送腔室機器人312,其經配置以在製程腔室314、316、318、裝載閘320等之間移送基板302。移送腔室機器人312可包括一或更多個臂,其中每個臂包括在每個臂的端部處之一或更多個端效器。端效器可經配置以搬運特定物件,諸如,晶圓。The manufacturing system 300 may include a process tool 304 and a factory interface 306 coupled to the process tool 304. The process tool 304 may include a housing 308 having a transfer chamber 310 therein. The transfer chamber 310 may include one or more processing chambers (also referred to as process chambers) 314, 316, 318 disposed thereabout and coupled thereto. The process chambers 314, 316, 318 may be coupled to the transfer chamber 310 via corresponding ports (e.g., slit valves or the like). The transfer chamber 310 may also include a transfer chamber robot 312 configured to transfer the substrate 302 between the process chambers 314, 316, 318, a load gate 320, and the like. The transfer chamber robot 312 may include one or more arms, wherein each arm includes one or more end effectors at the end of each arm. The end effectors may be configured to handle specific objects, such as wafers.
處理腔室314、316、318可經調適以在基板302上執行任何數目個製程。可在每個處理腔室314、316、318中發生相同或不同的基板製程。基板製程可包括原子層沉積(atomic layer deposition; ALD)、物理氣相沉積(physical vapor deposition; PVD)、化學氣相沉積(chemical vapor deposition; CVD)、蝕刻、退火、固化、預清潔、金屬或金屬氧化物移除,或其類似者。在一些實施例中,基板製程可包括原子層沉積(ALD)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、蝕刻、退火、固化、預清潔、金屬或金屬氧化物移除或其類似者中之兩者或更多者的組合。可在其中之基板上執行其他製程。處理腔室314、316、318可各自包括一或更多個感測器,其經配置以在基板製程之前、之後或期間俘獲基板302及/或處理腔室314、316、318內的環境之資料。在一些實施例中,該一或更多個感測器可經配置以俘獲基板302的一部分之光譜資料及/或非光譜資料。The processing chambers 314, 316, 318 may be adapted to perform any number of processes on the substrate 302. The same or different substrate processes may occur in each of the processing chambers 314, 316, 318. The substrate processes may include atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), etching, annealing, curing, pre-cleaning, metal or metal oxide removal, or the like. In some embodiments, the substrate processes may include a combination of two or more of atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), etching, annealing, curing, pre-cleaning, metal or metal oxide removal, or the like. Other processes may be performed on the substrates therein. The processing chambers 314, 316, 318 may each include one or more sensors configured to capture data of the substrate 302 and/or the environment within the processing chambers 314, 316, 318 before, after, or during substrate processing. In some embodiments, the one or more sensors may be configured to capture spectral data and/or non-spectral data of a portion of the substrate 302.
裝載閘320亦可耦合至外殼308及移送腔室310。裝載鎖320可經配置以與一個側上之移送腔室310及工廠介面306介面連接並與其耦合。在一些實施例中,裝載閘320可具有環境控制之氣氛,該氣氛可自真空環境(其中可將基板移送至移送腔室310及自移送腔室310移送基板)改變為大氣壓或接近大氣壓之惰性氣體環境(其中可將基板移送至工廠介面306及自工廠介面306移送基板)。The load lock 320 may also be coupled to the housing 308 and the transfer chamber 310. The load lock 320 may be configured to interface and couple with the transfer chamber 310 and the factory interface 306 on one side. In some embodiments, the load lock 320 may have an environmentally controlled atmosphere that may be changed from a vacuum environment (where substrates may be transferred to and from the transfer chamber 310) to an atmospheric or near atmospheric inert gas environment (where substrates may be transferred to and from the factory interface 306).
工廠介面306可為任何適當殼體,諸如,設備前端工序模組(Equipment Front End Module; EFEM)。工廠介面306可經配置以自停靠在工廠介面306之各個裝載埠324處的基板載體322(例如,前開式晶圓傳送盒(Front Opening Unified Pod; FOUP))接收基板302。工廠介面機器人326(以虛線示出)可經配置以在基板載體(亦稱作容器)322與裝載閘320之間移送基板302。在其他及/或類似實施例中,工廠介面306可經配置以自替換零件儲存容器322接收替換零件。The factory interface 306 can be any suitable housing, such as an Equipment Front End Module (EFEM). The factory interface 306 can be configured to receive substrates 302 from substrate carriers 322 (e.g., Front Opening Unified Pods (FOUPs)) docked at respective loading ports 324 of the factory interface 306. A factory interface robot 326 (shown in phantom) can be configured to transfer substrates 302 between substrate carriers (also referred to as containers) 322 and loading gates 320. In other and/or similar embodiments, the factory interface 306 can be configured to receive replacement parts from replacement parts storage containers 322.
製造系統300亦可連接至客戶端裝置(未示出),其經配置以向使用者(例如,操作員)提供關於製造系統300之資訊。在一些實施例中,客戶端裝置可經由一或更多個圖形使用者介面(GUI)向製造系統300之使用者提供資訊。舉例而言,客戶端裝置可經由GUI提供關於將對基板302之製程配方作出的一或更多個修改之資訊。The manufacturing system 300 may also be connected to a client device (not shown) that is configured to provide information to a user (e.g., an operator) regarding the manufacturing system 300. In some embodiments, the client device may provide information to a user of the manufacturing system 300 via one or more graphical user interfaces (GUIs). For example, the client device may provide information regarding one or more modifications to be made to a process recipe for the substrate 302 via the GUI.
製造系統300亦可包括系統控制器328。系統控制器328可為計算裝置及/或包括計算裝置,諸如,個人電腦、伺服器電腦、可程式化邏輯控制器(programmable logic controller; PLC)、微控制器,等等。系統控制器328可包括一或更多個處理元件,其可為通用處理元件,諸如,微處理器、中央處理單元,或其類似者。更特定而言,處理元件可為複雜指令集計算(complex instruction set computing; CISC)微處理器、精簡指令集計算(reduced instruction set computing; RISC)微處理器、超長指令字(very long instruction word; VLIW)微處理器,或實施其他指令集之處理器或實施指令集的組合之處理器。處理元件亦可為一或更多個專用處理元件,諸如,特殊應用積體電路(application specific integrated circuit; ASIC)、現場可程式化閘極陣列(field programmable gate array; FPGA)、數位信號處理器(digital signal processor; DSP)、網路處理器,或其類似者。系統控制器328可包括資料儲存元件(例如,一或更多個磁碟驅動器及/或固態驅動器)、主記憶體、靜態記憶體、網路介面,及/或其他部件。系統控制器328可執行指令以執行本文所述之方法及/或實施例中的任何一或更多者。在一些實施例中,系統控制器328可執行指令以根據製程配方在製造系統300處執行一或更多個操作。可將指令儲存在電腦可讀儲存媒體上,其可包括主記憶體、靜態記憶體、次要儲存及/或處理元件(在指令執行期間)。Manufacturing system 300 may also include system controller 328. System controller 328 may be and/or include a computing device, such as a personal computer, a server computer, a programmable logic controller (PLC), a microcontroller, or the like. System controller 328 may include one or more processing elements, which may be general-purpose processing elements, such as a microprocessor, a central processing unit, or the like. More specifically, the processing element may be a complex instruction set computing (CISC) microprocessor, a reduced instruction set computing (RISC) microprocessor, a very long instruction word (VLIW) microprocessor, or a processor that implements other instruction sets or a combination of instruction sets. The processing element may also be one or more dedicated processing elements, such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), a network processor, or the like. The system controller 328 may include a data storage element (e.g., one or more disk drives and/or solid-state drives), a main memory, a static memory, a network interface, and/or other components. The system controller 328 may execute instructions to perform any one or more of the methods and/or embodiments described herein. In some embodiments, the system controller 328 may execute instructions to perform one or more operations at the manufacturing system 300 according to a process recipe. The instructions may be stored on a computer-readable storage medium, which may include main memory, static memory, secondary storage, and/or a processing element (during execution of the instructions).
系統控制器328可自感測器接收資料,該等感測器被包括在製造系統300之各個部分(例如,處理腔室314、316、318、移送腔室310、裝載閘320,等)上或在其內。由系統控制器328接收之資料可包括基板302的一部分之光譜資料及/或非光譜資料。出於本描述之目的,系統控制器328被描述為自包括在處理腔室314、316、318內之感測器接收資料。然而,系統控制器328可自製造系統300之任何部分接收資料,且可根據本文所述實施例來使用自該部分接收之資料。在說明性實例中,系統控制器328可在處理腔室314、316、318處的基板製程之前、之後或期間自用於處理腔室314、316、318之一或更多個感測器接收光譜資料。可將自製造系統300之各個部分的感測器接收之資料儲存在資料儲存器350中。資料儲存器350可被包括以作為系統控制器328內之部件,或可為與系統控制器328分離之部件。在一些實施例中,資料儲存器350可為關於第1圖所述之資料儲存器140。The system controller 328 may receive data from sensors included on or within various portions of the fabrication system 300 (e.g., the processing chambers 314, 316, 318, the transfer chamber 310, the load gate 320, etc.). The data received by the system controller 328 may include spectral data and/or non-spectral data of a portion of the substrate 302. For purposes of this description, the system controller 328 is described as receiving data from sensors included within the processing chambers 314, 316, 318. However, the system controller 328 may receive data from any portion of the fabrication system 300 and may use the data received from that portion in accordance with the embodiments described herein. In the illustrative example, the system controller 328 may receive spectral data from one or more sensors used in the processing chambers 314, 316, 318 before, after, or during substrate processing at the processing chambers 314, 316, 318. The data received from the sensors at various portions of the fabrication system 300 may be stored in a data store 350. The data store 350 may be included as a component within the system controller 328, or may be a separate component from the system controller 328. In some embodiments, the data store 350 may be the data store 140 described with respect to FIG.
製造系統300可進一步包括基板量測子系統340。基板量測子系統340可在製造系統302處處理基板302之前或之後獲得基板300之一或更多個部分的光譜量測值。在一些實施例中,基板量測子系統340可回應於自系統控制器328接收到對光譜量測值之請求而獲得基板302之一或更多個部分的光譜量測值。基板量測子系統340可整合在製造系統300的一部分內。在一些實施例中,基板量測子系統340可整合在工廠介面306內。在其他或類似實施例中,基板量測子系統340可不與製造系統300的任何部分整合在一起,而替代地可為獨立部件。在此些實施例中,在製造系統300處處理基板之前或之後,可將在系統量測子系統340處量測之基板302移送至製造系統300的一部分或自該部分移送該基板302。The manufacturing system 300 may further include a substrate metrology subsystem 340. The substrate metrology subsystem 340 may obtain spectral metrology values of one or more portions of the substrate 300 before or after the manufacturing system 302 processes the substrate 302. In some embodiments, the substrate metrology subsystem 340 may obtain spectral metrology values of one or more portions of the substrate 302 in response to receiving a request for spectral metrology values from the system controller 328. The substrate metrology subsystem 340 may be integrated within a portion of the manufacturing system 300. In some embodiments, the substrate metrology subsystem 340 may be integrated within the factory interface 306. In other or similar embodiments, the substrate metrology subsystem 340 may not be integrated with any portion of the manufacturing system 300, but may instead be a stand-alone component. In such embodiments, the substrate 302 being measured at the system metrology subsystem 340 may be transferred to or from a portion of the fabrication system 300 before or after the fabrication system 300 processes the substrate.
基板量測子系統340可藉由產生基板302的一部分之光譜資料及/或光譜來獲得基板302的該部分之光譜量測值。在一些實施例中,基板量測子系統340經配置以產生光譜資料、非光譜資料、位置資料及基板302的其他基板性質資料(例如,基板302之厚度、基板302之寬度,等)。在產生基板302的資料之後,基板量測子系統340可將已產生之資料傳輸至系統控制器328。回應於自基板量測子系統340接收資料,系統控制器328可將該資料儲存在資料儲存器350處。The substrate metrology subsystem 340 may obtain spectral measurements of a portion of the substrate 302 by generating spectral data and/or a spectrum of the portion of the substrate 302. In some embodiments, the substrate metrology subsystem 340 is configured to generate spectral data, non-spectral data, position data, and other substrate property data of the substrate 302 (e.g., thickness of the substrate 302, width of the substrate 302, etc.). After generating the data of the substrate 302, the substrate metrology subsystem 340 may transmit the generated data to the system controller 328. In response to receiving the data from the substrate metrology subsystem 340, the system controller 328 may store the data at the data storage 350.
第4圖為根據本揭示案之態樣的基板量測子系統400之橫截面示意性側視圖。基板量測子系統400可經配置以在處理腔室處處理基板(諸如,第3圖之基板302)之前或之後獲得基板302的一或更多個部分之量測值。基板量測子系統400可藉由產生與基板302的一部分相關聯之資料(例如,光譜資料、非光譜資料,等)獲得基板302的該部分之光譜量測值。在一些實施例中,基板量測子系統400可經配置以產生光譜資料、非光譜資料、位置資料及/或與基板302相關聯之其他性質資料。基板量測子系統400可包括控制器430,該控制器430經配置以執行一或更多個指令以用於產生與基板302的一部分相關聯之資料。FIG. 4 is a cross-sectional schematic side view of a substrate metrology subsystem 400 according to aspects of the present disclosure. The substrate metrology subsystem 400 can be configured to obtain measurements of one or more portions of a substrate 302 before or after processing a substrate (e.g., substrate 302 of FIG. 3 ) in a processing chamber. The substrate metrology subsystem 400 can obtain spectral measurements of a portion of the substrate 302 by generating data (e.g., spectral data, non-spectral data, etc.) associated with the portion of the substrate 302. In some embodiments, the substrate metrology subsystem 400 can be configured to generate spectral data, non-spectral data, positional data, and/or other property data associated with the substrate 302. The substrate metrology subsystem 400 may include a controller 430 configured to execute one or more instructions for generating data associated with a portion of the substrate 302 .
基板量測子系統400可偵測到基板302已被移送至基板量測子系統400。回應於偵測到基板302已被移送至基板量測子系統400,基板量測子系統400可決定基板302之位置及/或定向。可基於對基板302之參考位置的標識來決定基板302之位置及/或定向。參考位置可為基板302的一部分,其包括與基板302的特定部分相關聯之標識特徵。控制器328可基於基板302之已決定的標識資訊來決定與基板302的特定部分相關聯之標識特徵。The substrate metrology subsystem 400 may detect that the substrate 302 has been transferred to the substrate metrology subsystem 400. In response to detecting that the substrate 302 has been transferred to the substrate metrology subsystem 400, the substrate metrology subsystem 400 may determine a position and/or orientation of the substrate 302. The position and/or orientation of the substrate 302 may be determined based on an identification of a reference position of the substrate 302. The reference position may be a portion of the substrate 302 that includes an identification feature associated with the particular portion of the substrate 302. The controller 328 may determine the identification feature associated with the particular portion of the substrate 302 based on the determined identification information of the substrate 302.
控制器430可使用經配置以俘獲基板302的影像資料之一或更多個照相機部件450來標識基板302之參考位置。照相機部件450可產生關於基板302的一或更多個部分之影像資料並將該影像資料傳輸至控制器430。控制器430可分析該影像資料以標識與基板302的參考位置相關聯之標識特徵。控制器430可進一步基於基板302之已標識的標識特徵來決定如影像資料中所描繪之基板302的位置及/或定向。控制器430可基於基板302之已標識的標識特徵及如在影像資料中所描繪之基板302的已決定位置及/或定向來決定基板302之位置及/或定向。回應於決定基板302之位置及/或定向,控制器430可產生與基板302的一或更多個部分相關聯之位置資料。在一些實施例中,位置資料可包括一或更多個座標(例如,笛卡爾座標、極座標,等),其各自與基板302的一部分相關聯,其中每個座標係基於距基板302的參考位置之距離來決定的。The controller 430 may identify a reference position of the substrate 302 using one or more camera components 450 configured to capture image data of the substrate 302. The camera component 450 may generate image data about one or more portions of the substrate 302 and transmit the image data to the controller 430. The controller 430 may analyze the image data to identify identification features associated with the reference position of the substrate 302. The controller 430 may further determine a position and/or orientation of the substrate 302 as depicted in the image data based on the identified identification features of the substrate 302. The controller 430 may determine a position and/or orientation of the substrate 302 based on the identified identification features of the substrate 302 and the determined position and/or orientation of the substrate 302 as depicted in the image data. In response to determining the position and/or orientation of the substrate 302, the controller 430 may generate position data associated with one or more portions of the substrate 302. In some embodiments, the position data may include one or more coordinates (e.g., Cartesian coordinates, polar coordinates, etc.) each associated with a portion of the substrate 302, wherein each coordinate is determined based on a distance from a reference location of the substrate 302.
基板量測子系統400可包括用於量測基板302之一或更多個量測部件。在一些實施例中,基板量測子系統400可包括一或更多個光譜感測部件420,其經配置以產生基板302的一或更多個部分之光譜資料。如先前所論述,光譜資料可對應於針對已偵測到的波之每個波長的已偵測到之能量波強度(亦即,能量之強度或量)。關於第5圖提供關於已收集之光譜資料的另外細節。The substrate metrology subsystem 400 may include one or more metrology components for measuring the substrate 302. In some embodiments, the substrate metrology subsystem 400 may include one or more spectral sensing components 420 configured to generate spectral data of one or more portions of the substrate 302. As previously discussed, the spectral data may correspond to detected energy wave intensities (i.e., the intensity or amount of energy) for each wavelength of the detected waves. Additional details regarding the collected spectral data are provided with respect to FIG.
光譜感測部件420可經配置以偵測自基板302的一部分反射之能量波並產生與已偵測到的波相關聯之光譜資料。光譜感測部件420可包括波產生器422及反射波接收器424。在一些實施例中,波產生器422可為經配置以產生朝向基板302的一部分之光束的光波產生器。在此些實施例中,反射波接收器424可經配置以接收來自基板302的該部分之反射光束。波產生器422可經配置以產生能量流426(例如,光束)並將能量流426傳輸至基板302的一部分。反射能量波428可自基板302的該部分被反射並由反射波接收器424接收。儘管第3A圖繪示出反射離開基板302的表面之單一能量波,但多個能量波可被反射離開基板302的表面並由反射波接收器424接收。The spectrum sensing component 420 may be configured to detect energy waves reflected from a portion of the substrate 302 and generate spectrum data associated with the detected waves. The spectrum sensing component 420 may include a wave generator 422 and a reflected wave receiver 424. In some embodiments, the wave generator 422 may be an optical wave generator configured to generate an optical beam toward a portion of the substrate 302. In these embodiments, the reflected wave receiver 424 may be configured to receive the reflected optical beam from the portion of the substrate 302. The wave generator 422 may be configured to generate an energy stream 426 (e.g., an optical beam) and transmit the energy stream 426 to the portion of the substrate 302. A reflected energy wave 428 may be reflected from the portion of the substrate 302 and received by the reflected wave receiver 424. Although FIG. 3A illustrates a single energy wave reflected off the surface of substrate 302 , multiple energy waves may be reflected off the surface of substrate 302 and received by reflected wave receiver 424 .
回應於反射波接收器424自基板302的該部分接收到反射能量波428,光譜感測部件420可量測包括在反射能量波428中之每個波的波長。光譜感測部件420可進一步量測每個已量測波長之強度。回應於量測每個波長及每個波長強度,光譜感測部件420可產生基板302的該部分之光譜資料。光譜感測部件420可將已產生之光譜資料傳輸至控制器430。控制器430可回應於接收到已產生之光譜資料而產生已接收的光譜資料與基板302之已量測部分的位置資料之間的映射。In response to the reflected wave receiver 424 receiving the reflected energy wave 428 from the portion of the substrate 302, the spectrum sensing component 420 can measure the wavelength of each wave included in the reflected energy wave 428. The spectrum sensing component 420 can further measure the intensity of each measured wavelength. In response to measuring each wavelength and the intensity of each wavelength, the spectrum sensing component 420 can generate spectrum data for the portion of the substrate 302. The spectrum sensing component 420 can transmit the generated spectrum data to the controller 430. The controller 430 can generate a mapping between the received spectrum data and the position data of the measured portion of the substrate 302 in response to receiving the generated spectrum data.
基板量測子系統400可經配置以基於將在基板量測子系統400處獲得之量測類型來產生特定類型之光譜資料。在一些實施例中,光譜感測部件420可為第一光譜感測部件,其經配置以產生一種類型之光譜資料。舉例而言,光譜感測部件420可經配置以產生反射光譜資料、橢偏光譜資料、高光譜成像資料、化學成像資料、熱光譜資料或傳導光譜資料。在此些實施例中,可自基板量測子系統400移除第一光譜感測部件並用第二光譜感測部件來替換,該第二光譜感測部件經配置以產生不同類型之光譜資料(例如,反射光譜資料、橢偏光譜資料、高光譜成像資料、化學成像資料、渦流光譜資料、熱光譜資料或傳導光譜資料)。The substrate metrology subsystem 400 may be configured to generate a particular type of spectral data based on the type of measurement to be obtained at the substrate metrology subsystem 400. In some embodiments, the spectral sensing component 420 may be a first spectral sensing component that is configured to generate one type of spectral data. For example, the spectral sensing component 420 may be configured to generate reflectance spectral data, elliptical spectral data, hyperspectral imaging data, chemical imaging data, thermal spectral data, or transmission spectral data. In these embodiments, the first spectroscopic sensing component can be removed from the substrate metrology subsystem 400 and replaced with a second spectroscopic sensing component that is configured to generate a different type of spectroscopic data (e.g., reflectance spectroscopic data, elliptical spectroscopic data, hyperspectral imaging data, chemical imaging data, eddy spectroscopic data, thermal spectroscopic data, or transmission spectroscopic data).
在一些實施例中,一或更多個量測部件(諸如,光譜感測部件420)可為基板量測子系統400內之固定部件。在此些實施例中,基板量測子系統400可包括一或更多個位置部件440,其經配置以關於光譜感測部件420來修改基板302之位置及/或定向。在一些實施例中,位置部件440可經配置以相對於光譜感測部件420沿第一軸線及/或第二軸線平移基板302。在其他或類似實施例中,位置部件440可經配置以使基板302相對於光譜感測部件420圍繞第三軸線旋轉。In some embodiments, one or more metrology components (e.g., the spectroscopic sensing component 420) may be fixed components within the substrate metrology subsystem 400. In such embodiments, the substrate metrology subsystem 400 may include one or more positional components 440 configured to modify the position and/or orientation of the substrate 302 relative to the spectroscopic sensing component 420. In some embodiments, the positional component 440 may be configured to translate the substrate 302 along a first axis and/or a second axis relative to the spectroscopic sensing component 420. In other or similar embodiments, the positional component 440 may be configured to rotate the substrate 302 relative to the spectroscopic sensing component 420 about a third axis.
當光譜感測部件420產生基板302的一或更多個部分之光譜資料時,位置部件440可根據基板302之待量測的一或更多個已決定部分來修改基板302之位置及/或定向。舉例而言,在光譜感測部件420產生基板302的光譜資料之前,位置部件440可將基板302定位在笛卡爾座標(0,0)處,且光譜感測部件420可在笛卡爾座標(0,0)處產生基板302之第一光譜資料。回應於光譜感測部件420在笛卡爾座標(0,0)處產生基板302之第一光譜資料,定位部件440可使基板302沿第一軸線平移,以使得光譜感測部件420經配置以在笛卡爾座標(0,1)處產生基板302之第二光譜資料。回應於光譜感測部件420在笛卡爾座標(0,1)處產生基板302之第二光譜資料,控制器430可使基板302沿第二軸線旋轉,以使得光譜感測部件420經配置以在笛卡爾座標(1,1)處產生基板302之第三光譜資料。此過程可發生多次,直至針對基板302之每個已決定部分產生了光譜資料為止。When the spectrum sensing component 420 generates the spectrum data of one or more portions of the substrate 302, the position component 440 can modify the position and/or orientation of the substrate 302 according to the one or more determined portions to be measured of the substrate 302. For example, before the spectrum sensing component 420 generates the spectrum data of the substrate 302, the position component 440 can position the substrate 302 at Cartesian coordinates (0,0), and the spectrum sensing component 420 can generate the first spectrum data of the substrate 302 at Cartesian coordinates (0,0). In response to the spectrum sensing component 420 generating the first spectrum data of the substrate 302 at the Cartesian coordinate (0,0), the positioning component 440 may translate the substrate 302 along the first axis so that the spectrum sensing component 420 is configured to generate the second spectrum data of the substrate 302 at the Cartesian coordinate (0,1). In response to the spectrum sensing component 420 generating the second spectrum data of the substrate 302 at the Cartesian coordinate (0,1), the controller 430 may rotate the substrate 302 along the second axis so that the spectrum sensing component 420 is configured to generate the third spectrum data of the substrate 302 at the Cartesian coordinate (1,1). This process may occur multiple times until spectrum data is generated for each determined portion of the substrate 302.
在一些實施例中,材料之一或更多個層412可被包括在基板302之表面上。該一或更多個層412可包括蝕刻材料、光阻劑材料、遮罩材料、沉積材料,等。在一些實施例中,該一或更多個層412可包括將根據在處理腔室處執行之蝕刻製程來蝕刻之蝕刻材料。在此些實施例中,根據先前所揭示之實施例,可針對沉積在基板302上之層412的未經蝕刻之蝕刻材料的一或更多個部分收集光譜資料。在其他或類似實施例中,該一或更多個層412可包括已根據處理腔室處之蝕刻製程進行蝕刻的蝕刻材料。在此些實施例中,可將一或更多個結構特徵(例如,線、柱、開口,等)蝕刻至基板302之一或更多個層412中。在此些實施例中,可針對蝕刻至基板302之一或更多個層412中的一或更多個結構特徵收集光譜資料。In some embodiments, one or more layers 412 of material may be included on the surface of the substrate 302. The one or more layers 412 may include etched material, photoresist material, mask material, deposited material, etc. In some embodiments, the one or more layers 412 may include etched material to be etched according to an etch process performed at a processing chamber. In these embodiments, spectral data may be collected for one or more portions of the etched material of the layer 412 deposited on the substrate 302 that have not been etched according to the previously disclosed embodiments. In other or similar embodiments, the one or more layers 412 may include etched material that has been etched according to an etch process at a processing chamber. In such embodiments, one or more structural features (e.g., lines, posts, openings, etc.) may be etched into one or more layers 412 of substrate 302. In such embodiments, spectral data may be collected for the one or more structural features etched into one or more layers 412 of substrate 302.
根據本文所述實施例,回應於接收基板302之光譜資料、位置資料或性質資料中之至少一者,控制器430可將已接收到之資料傳輸至系統控制器328以用於處理及分析。In response to receiving at least one of spectral data, positional data, or property data of substrate 302, controller 430 may transmit the received data to system controller 328 for processing and analysis according to embodiments described herein.
第5圖根據本揭示案之態樣繪示對基板收集之光譜資料500。根據本揭示案之態樣,可自藉由第4圖的基板量測子系統400或處理腔室(諸如,第3圖之處理腔室314、316、318)的感測器接收到之反射能量產生光譜資料。如所繪示,基板量測子系統400所接收之反射能量波中可包括多個波長。每一反射能量波可與基板302的不同部分相關聯。在一些實施例中,可量測基板量測子系統400所接收之每一反射能量波的強度。如第5圖中所見,可量測基板量測子系統400所接收之反射能量波中的每一波長之每一強度。每一強度與每一波長之間的關聯性可為形成光譜資料500之基礎。在一些實施例中,一或更多個波長可與在強度值之預期範圍以外的強度值相關聯。舉例而言,線510可與在強度值之預期範圍(如由線520所繪示)以外的強度值相關聯。在此些實施例中,在強度值之預期範圍以外的強度值可為在基板302的一部分處存在缺陷之指示。根據先前所述實施例,可基於對基板302的該部分處之缺陷的該指示對用於基板302之製程配方作出修改。FIG. 5 illustrates spectral data 500 collected on a substrate according to aspects of the present disclosure. According to aspects of the present disclosure, spectral data can be generated from reflected energy received by a sensor of the substrate measurement subsystem 400 of FIG. 4 or a processing chamber (e.g., processing chambers 314, 316, 318 of FIG. 3). As shown, the reflected energy waves received by the substrate measurement subsystem 400 can include multiple wavelengths. Each reflected energy wave can be associated with a different portion of the substrate 302. In some embodiments, the intensity of each reflected energy wave received by the substrate measurement subsystem 400 can be measured. As seen in FIG. 5, each intensity of each wavelength in the reflected energy waves received by the substrate measurement subsystem 400 can be measured. The correlation between each intensity and each wavelength can be the basis for forming the spectral data 500. In some embodiments, one or more wavelengths may be associated with intensity values outside of an expected range of intensity values. For example, line 510 may be associated with intensity values outside of an expected range of intensity values (as depicted by line 520). In these embodiments, intensity values outside of the expected range of intensity values may be an indication of the presence of a defect at a portion of substrate 302. According to the previously described embodiments, a process recipe for substrate 302 may be modified based on the indication of a defect at the portion of substrate 302.
第6圖為根據本揭示案之態樣的用於使用機器學習模型來估計基板輪廓之計量值的方法600之流程圖。方法600係藉由處理邏輯來執行,該處理邏輯可包括硬體(電路系統、專用邏輯,等)、軟體(諸如,在通用電腦系統或專用機器上運行)、韌體,或其某一組合。在一些實施例中,可使用第1圖之預測伺服器112及經訓練之機器學習模型190來執行方法600。在其他或類似實施例中,可藉由第1圖中未描繪之一或更多個其他機器來執行第6圖之一或更多個方塊。FIG. 6 is a flow chart of a method 600 for estimating a metrology value of a substrate profile using a machine learning model according to aspects of the present disclosure. The method 600 is performed by processing logic, which may include hardware (circuitry, dedicated logic, etc.), software (e.g., running on a general purpose computer system or a dedicated machine), firmware, or a combination thereof. In some embodiments, the method 600 may be performed using the prediction server 112 of FIG. 1 and the trained machine learning model 190. In other or similar embodiments, one or more blocks of FIG. 6 may be performed by one or more other machines not depicted in FIG. 1.
在方塊610處,處理邏輯接收正在製造系統處被處理之基板的光譜資料。在一些實施例中,根據先前所述實施例,可自基板量測子系統或製造系統的另一部分接收光譜資料。At block 610, the processing logic receives spectral data of a substrate being processed at a fabrication system. In some embodiments, the spectral data may be received from a substrate metrology subsystem or another portion of a fabrication system in accordance with the previously described embodiments.
在方塊620處,處理邏輯將基板之光譜資料作為輸入提供至經訓練之機器學習模型。在方塊630處,處理邏輯獲得來自機器學習模型之輸出。在方塊640處,處理邏輯自方塊630處所獲得之輸出提取置信資料。在一些實施例中,該置信資料包括基板輪廓與計量值相關聯之置信位準。在一個實例中,該置信位準為在0與1之間(包括0及1)的實數。應注意,該置信位準可能並非機率。舉例而言,所有計量值之置信位準的總和可能並不等於1。At block 620, processing logic provides spectral data of the substrate as input to the trained machine learning model. At block 630, processing logic obtains output from the machine learning model. At block 640, processing logic extracts confidence data from the output obtained at block 630. In some embodiments, the confidence data includes a confidence level associated with the substrate profile and the metrology value. In one example, the confidence level is a real number between 0 and 1 (including 0 and 1). It should be noted that the confidence level may not be a probability. For example, the sum of the confidence levels of all metrology values may not be equal to 1.
在方塊650處,處理邏輯使用置信資料來估計正在製造系統處被處理之基板的計量值。在一些實施例中,若計量值之置信位準滿足閾值條件,則將基板標識為與該計量值相關聯。在方塊660處,處理邏輯視情況將已估計之計量值的指示提供給製造系統之使用者。At block 650, the processing logic uses the confidence data to estimate a metrology value for a substrate being processed at a manufacturing system. In some embodiments, if a confidence level of the metrology value satisfies a threshold condition, the substrate is identified as being associated with the metrology value. At block 660, the processing logic optionally provides an indication of the estimated metrology value to a user of the manufacturing system.
在一些實施例中,被包括在製造系統的部分中之一或更多個感測器可為與被包括在製造系統的另一部分中之感測器相同類型或類似類型的感測器。舉例而言,被包括在基板量測子系統中之經配置以產生基板的光譜資料之一或更多個感測器與被包括在處理腔室中之亦經配置以產生基板的光譜資料之感測器類型相同或類型類似。在此些實施例中,根據先前所述實施例,可使用在基板量測子系統或處理腔室中之感測器所產生的光譜資料來訓練機器學習模型。自基板量測子系統或處理腔室收集之光譜資料可用作對經訓練之機器學習模型的訓練輸入。根據前述實施例,來自經訓練之機器學習模型的輸出可用以提取與基板相關聯之計量量測值。如此,在一些實施例中,使用自基板量測子系統收集之光譜資料訓練的機器學習模型可用以使用自處理腔室獲得之輸入光譜資料來決定計量量測值。In some embodiments, one or more sensors included in a portion of a manufacturing system may be the same type or a similar type of sensor as a sensor included in another portion of the manufacturing system. For example, one or more sensors included in a substrate metrology subsystem that are configured to generate spectral data of a substrate may be the same type or a similar type of sensor as a sensor included in a processing chamber that is also configured to generate spectral data of a substrate. In these embodiments, the spectral data generated by the sensors in the substrate metrology subsystem or the processing chamber may be used to train a machine learning model in accordance with the previously described embodiments. The spectral data collected from the substrate metrology subsystem or the processing chamber may be used as training input to the trained machine learning model. According to the aforementioned embodiments, output from a trained machine learning model can be used to extract metrology measurements associated with a substrate. Thus, in some embodiments, a machine learning model trained using spectral data collected from a substrate metrology subsystem can be used to determine metrology measurements using input spectral data obtained from a processing chamber.
第7A圖至第7C圖根據本揭示案之態樣繪示用於提供對基板的一部分之計量量測值的指示之實例GUI 700。在一些實施例中,可經由製造系統之客戶端裝置向製造系統之使用者顯示該GUI 700。7A-7C illustrate an example GUI 700 for providing an indication of a metrology measurement of a portion of a substrate according to aspects of the present disclosure. In some embodiments, the GUI 700 can be displayed to a user of a manufacturing system via a client device of the manufacturing system.
GUI 700可包括顯示一或更多個交互部件之第一部分710。第一部分710可包括腔室選擇部件,其使得使用者能夠選擇製造系統之處理腔室的識別符。回應於該處理腔室識別符被選擇,可經由GUI 700之其他部分來顯示在所選腔室處處理之基板的資料。在一些實施例中,腔室選擇部件可包括下拉式選單,其提供製造系統之可供使用者選擇的一或更多個處理腔室之清單。在其他或類似實施例中,腔室選擇部件可包括可促進處理腔室識別符的使用者選擇之任何其他類型的部件。The GUI 700 may include a first portion 710 that displays one or more interactive components. The first portion 710 may include a chamber selection component that enables a user to select an identifier of a processing chamber of a fabrication system. In response to the processing chamber identifier being selected, data of substrates processed in the selected chamber may be displayed via other portions of the GUI 700. In some embodiments, the chamber selection component may include a drop-down menu that provides a list of one or more processing chambers of a fabrication system that may be selected by the user. In other or similar embodiments, the chamber selection component may include any other type of component that may facilitate user selection of a processing chamber identifier.
第一部分710可進一步包括配方選擇部件,其使得使用者能夠選擇基板製程配方之操作的識別符。回應於操作識別符被選擇,可經由GUI 700之其他部分來顯示與製程配方之所選操作相關聯的資料。在一些實施例中,配方選擇部件可包括下拉式選單,其提供可供使用者選擇的一或更多個製程配方操作之清單。在其他或類似實施例中,配方選擇部件可包括可促進操作識別符的使用者選擇之任何其他類型的部件。The first portion 710 may further include a recipe selection component that enables a user to select an identifier of an operation of a substrate process recipe. In response to the operation identifier being selected, data associated with the selected operation of the process recipe may be displayed via other portions of the GUI 700. In some embodiments, the recipe selection component may include a drop-down menu that provides a list of one or more process recipe operations that may be selected by the user. In other or similar embodiments, the recipe selection component may include any other type of component that may facilitate user selection of an operation identifier.
第一部分710可進一步包括時間週期選擇部件,其使得使用者能夠選擇在製造系統處執行之製程的時間週期。回應於時間週期被選擇,可經由GUI 700之其他部分來顯示與在所選時間週期內在製造系統處所處理之基板相關聯的資料。在一些實施例中,時間週期選擇部件可包括日曆部件,其提供指示在製造系統執行基板製程之某一日期及/或時間的日曆。製造系統之使用者可經由GUI 700之第一部分710的時間週期選擇部件來選擇第一日期及/或時間及第二日期及/或時間。所選之第一日期及/或時間及所選之第二日期及/或時間可限定所選時間週期。在其他或類似實施例中,時間週期選擇部件可包括可促進時間週期的使用者選擇之任何其他類型的部件。The first portion 710 may further include a time period selection component that enables a user to select a time period for a process to be performed at the manufacturing system. In response to the time period being selected, data associated with substrates processed at the manufacturing system during the selected time period may be displayed via other portions of the GUI 700. In some embodiments, the time period selection component may include a calendar component that provides a calendar indicating a date and/or time at which a substrate process was performed at the manufacturing system. A user of the manufacturing system may select a first date and/or time and a second date and/or time via the time period selection component of the first portion 710 of the GUI 700. The selected first date and/or time and the selected second date and/or time may define the selected time period. In other or similar embodiments, the time period selection component may include any other type of component that can facilitate user selection of a time period.
第一部分710可進一步包括一或更多個額外部件以使得使用者能夠選擇或提供與製造系統處所執行之製程相關聯的額外設置。舉例而言,第一部分710可包括控制下限部件及/或控制上限部件,其使得使用者能夠提供與製程相關聯之控制下限及/或控制上限。在另一實例中,第一部分710可包括閾值部件,其使得使用者能夠提供與製程相關聯之閾值。第一部分710中可包括可促進使用者選擇或提供與製程相關聯的額外設置之任何其他類型的部件。The first portion 710 may further include one or more additional components to enable a user to select or provide additional settings associated with a process executed at the manufacturing system. For example, the first portion 710 may include a lower control limit component and/or an upper control limit component that enables a user to provide a lower control limit and/or an upper control limit associated with a process. In another example, the first portion 710 may include a threshold component that enables a user to provide a threshold associated with a process. Any other type of component that may facilitate a user to select or provide additional settings associated with a process may be included in the first portion 710.
GUI 700可進一步包括第二部分712,其提供與製造系統處所處理之基板相關聯的計量資料。在一些實施例中,該計量資料可與製造系統處所處理之兩個或更多個基板相關聯。在此些實施例中,可以圖形形式顯示該計量資料,諸如,關於第7圖所繪示之圖形。在其他或類似實施例中,可以適合於顯示計量資料之任何其他形式來顯示計量資料。The GUI 700 can further include a second portion 712 that provides metrology data associated with substrates processed at the manufacturing system. In some embodiments, the metrology data can be associated with two or more substrates processed at the manufacturing system. In such embodiments, the metrology data can be displayed in a graphical form, such as the graphical form depicted with respect to FIG. 7. In other or similar embodiments, the metrology data can be displayed in any other form suitable for displaying metrology data.
GUI 700可進一步包括第二部分714,其提供與製造系統處所處理之基板相關聯的等高線圖。等高線圖可向使用者提供基板的一部分之一或更多個計量量測值的視覺指示。舉例而言,等高線圖可向使用者提供與基板相關聯之膜厚度或蝕刻速率的視覺指示。The GUI 700 may further include a second portion 714 that provides a contour plot associated with a substrate being processed at the manufacturing system. The contour plot may provide a user with a visual indication of one or more metrology measurements of a portion of the substrate. For example, the contour plot may provide a user with a visual indication of a film thickness or an etch rate associated with the substrate.
在一些實施例中,可在製造系統處處理各種類型之基板。舉例而言,可在製造系統處處理空白晶圓或經圖案化晶圓。GUI 700可提供一或更多個視窗以顯示與製造系統處所處理之每種不同類型的基板相關聯之資料。GUI 700之第三部分可包括視窗選擇器716,其促進在經由GUI 700顯示之不同視窗之間轉換。使用者可經由視窗選擇器716選擇選項,以使得將經由GUI 700顯示與一種類型的基板相關聯之不同視窗。舉例而言,如第7A圖中所繪示,回應於使用者選擇視窗選擇器716之「空白」選項,可經由GUI 700顯示與空白晶圓相關聯之資料。回應於使用者選擇視窗選擇器716之「圖案化」選項,可經由GUI 700顯示與經圖案化晶圓相關聯之資料。In some embodiments, various types of substrates may be processed at a manufacturing system. For example, blank wafers or patterned wafers may be processed at a manufacturing system. GUI 700 may provide one or more windows to display data associated with each different type of substrate processed at the manufacturing system. A third portion of GUI 700 may include a window selector 716 that facilitates switching between different windows displayed via GUI 700. A user may select an option via window selector 716 to cause different windows associated with a type of substrate to be displayed via GUI 700. For example, as shown in FIG. 7A , in response to a user selecting the “blank” option of window selector 716, data associated with a blank wafer may be displayed via GUI 700. In response to the user selecting the “Patternize” option of the window selector 716 , data associated with the patterned wafer may be displayed via the GUI 700 .
在一些實施例中,取決於視窗選擇器716之所選選項,可經由GUI 700向使用者顯示不同類型之計量資料。如第7B圖中所繪示,回應於使用者選擇視窗選擇器716之「圖案化」選項,提供與在製造系統處所處理之一或更多個經圖案化晶圓相關聯的資料。在一些實施例中,回應於使用者選擇視窗選擇器716之「圖案化」選項,可經由GUI 700之第二部分712顯示與一或更多個基板之臨界尺寸(稱作CD指數)相關聯的資料。在其他或類似實施例中,可經由第二部分812顯示與另一計量量測值(例如,蝕刻速率、蝕刻速率均勻性、臨界尺寸均勻性、邊對邊放置誤差,等)相關聯之資料。In some embodiments, different types of metrology data may be displayed to the user via the GUI 700, depending on the selected option of the window selector 716. As depicted in FIG. 7B , in response to a user selecting the “Patterning” option of the window selector 716, data associated with one or more patterned wafers processed at the fabrication system is provided. In some embodiments, in response to a user selecting the “Patterning” option of the window selector 716, data associated with a critical dimension (referred to as a CD index) of one or more substrates may be displayed via the second portion 712 of the GUI 700. In other or similar embodiments, data associated with another metrology measurement (e.g., etch rate, etch rate uniformity, critical dimension uniformity, side-to-side placement error, etc.) may be displayed via the second portion 812.
在一些實施例中,使用者可藉由選擇視窗選擇器716之「原始資料」選項來檢視用以產生GUI 700之一或更多個部件(例如,在第二部分712中提供之圖形)的資料。如第7C圖中所繪示,回應於使用者選擇視窗選擇器716之「原始資料」選項,可提供與在製造系統處所處理之一或更多個基板相關聯的原始資料720。原始資料720可包括對基板產生量測值之時戳、包括該基板之批次的識別符、基板的識別符、基板之製程配方的操作、製程配方之循環(亦即,兩個或更多個重複操作)的識別符、與基板相關聯之位置資料,及基板之模型厚度。In some embodiments, a user may view data used to generate one or more components of the GUI 700 (e.g., the graphics provided in the second portion 712) by selecting a "raw data" option of the window selector 716. As shown in FIG. 7C, in response to the user selecting the "raw data" option of the window selector 716, raw data 720 associated with one or more substrates processed at the manufacturing system may be provided. The raw data 720 may include a timestamp of when a measurement was generated for the substrate, an identifier of a batch including the substrate, an identifier of the substrate, an operation of a process recipe for the substrate, an identifier of a loop (i.e., two or more repeated operations) of the process recipe, position data associated with the substrate, and a model thickness of the substrate.
在一些實施例中,可顯示GUI 700之第一部分710,而與經由GUI 700提供之視窗無關。在其他或類似實施例中,可能不為GUI 700所提供之各種視窗顯示第一部分710。In some embodiments, the first portion 710 of the GUI 700 may be displayed independently of the windows provided by the GUI 700. In other or similar embodiments, the first portion 710 may not be displayed for the various windows provided by the GUI 700.
第8圖為根據本揭示案之態樣的用於產生用於訓練機器學習模型的訓練資料之方法800的流程圖。第10圖為根據本揭示案之態樣的用於決定製造系統處的基板之計量量測值的方法1000之流程圖。第11圖為根據本揭示案之態樣的用於決定製造系統處的基板之計量量測值的另一方法1100之流程圖。方法800、1000及/或1100可藉由處理邏輯來執行,該處理邏輯可包括硬體(電路系統、專用邏輯,等)、軟體(諸如,在通用電腦系統或專用機器上運行)、韌體,或其某一組合。在一些實施例中,可藉由第1圖之訓練集產生器172來執行方法800、1000及/或1100。在其他或類似實施例中,可藉由第1圖中未描繪之一或更多個其他機器來執行第8圖、第10圖或第11圖之一或更多個方塊。FIG. 8 is a flow chart of a method 800 for generating training data for training a machine learning model according to aspects of the present disclosure. FIG. 10 is a flow chart of a method 1000 for determining metrology measurements of a substrate at a manufacturing system according to aspects of the present disclosure. FIG. 11 is a flow chart of another method 1100 for determining metrology measurements of a substrate at a manufacturing system according to aspects of the present disclosure. Methods 800, 1000, and/or 1100 may be performed by processing logic that may include hardware (circuitry, dedicated logic, etc.), software (e.g., running on a general purpose computer system or a dedicated machine), firmware, or some combination thereof. In some embodiments, methods 800, 1000, and/or 1100 may be performed by the training set generator 172 of FIG. 1. In other or similar embodiments, one or more blocks of FIG. 8, FIG. 10, or FIG. 11 may be performed by one or more other machines not depicted in FIG. 1.
如以上所指示,第8圖為用於產生用於訓練機器學習模型之訓練資料的方法800之流程圖。在方塊810處,處理邏輯獲得與製造系統處之第一先前基板的第一部分以及該第一先前基板之第二部分或製造系統處的第二先前基板之第三部分中的至少一者相關聯之光譜資料。第一先前基板及/或第二先前基板可對應於本文所述之基板302。在一些實施例中,如上所述,光譜資料可由基板量測子系統400收集。在額外或替代實施例中,可根據其他技術來收集光譜資料。As indicated above, FIG. 8 is a flow chart of a method 800 for generating training data for training a machine learning model. At block 810, processing logic obtains spectral data associated with a first portion of a first previous substrate at a manufacturing system and at least one of a second portion of the first previous substrate or a third portion of a second previous substrate at the manufacturing system. The first previous substrate and/or the second previous substrate may correspond to substrate 302 described herein. In some embodiments, the spectral data may be collected by substrate metrology subsystem 400, as described above. In additional or alternative embodiments, the spectral data may be collected according to other techniques.
在方塊820處,處理邏輯標識針對第一先前基板之第二部分或第二先前基板之第三部分中的至少一者獲得之計量量測值。在一些實施例中,可使用計量設備128針對第一先前基板之第二部分及/或第二先前基板的之第三部分但不針對第一先前基板之第一部分來獲得計量量測值。第9圖根據本揭示案之態樣繪示實例基板910及基板910的一或更多個部分。在說明性實例中,可在部分912A、914A處及/或在基板910之其他部分處(例如,在部分916處、在部分918中之一或更多者處,等)產生(例如,藉由計量設備128)產生計量量測值。根據以下所提供之實施例及實例,處理邏輯可決定基板910之其他部分(諸如,部分912B、914B、920,等)及/或另一基板之部分的計量量測值。At block 820, the processing logic identifies a metrology measurement obtained for at least one of the second portion of the first previous substrate or the third portion of the second previous substrate. In some embodiments, metrology measurements may be obtained using metrology apparatus 128 for the second portion of the first previous substrate and/or the third portion of the second previous substrate, but not for the first portion of the first previous substrate. FIG. 9 illustrates an example substrate 910 and one or more portions of substrate 910 according to aspects of the present disclosure. In the illustrative example, metrology measurements may be generated (e.g., by metrology apparatus 128) at portions 912A, 914A, and/or at other portions of substrate 910 (e.g., at portion 916, at one or more of portions 918, etc.). According to the embodiments and examples provided below, the processing logic may determine metrology measurements for other portions of substrate 910 (e.g., portions 912B, 914B, 920, etc.) and/or portions of another substrate.
返回參考第8圖,在方塊830處,處理邏輯基於已標識之一或更多個計量量測值來決定與第一先前基板之第一部分相關聯的計量量測值。位於距基板中心點特定徑向距離處之基板的部分之計量量測值可對應於與距該中心點的該特定徑向距離相關聯之基板的其他部分之計量量測值(例如,與其相同或類似)。徑向距離代表圓形(或大致圓形)形狀之物件的中心點與圓形(或大致圓形)形狀之物件的另一點(例如,其不在中心點處)之間的距離。在一些實施例中,處理邏輯可基於在位於距第一先前基板的中心之相同或類似徑向距離處的部分處所產生之計量量測值來決定與第一先前基板的第一部分相關聯之計量量測值。Referring back to FIG. 8 , at block 830 , processing logic determines a metrology measurement associated with a first portion of a first previous substrate based on the identified one or more metrology measurements. The metrology measurement of a portion of the substrate located at a particular radial distance from a center point of the substrate may correspond to (e.g., be the same as or similar to) metrology measurements of other portions of the substrate associated with the particular radial distance from the center point. The radial distance represents the distance between the center point of a circular (or substantially circular) shaped object and another point of the circular (or substantially circular) shaped object (e.g., which is not at the center point). In some embodiments, the processing logic may determine a metrology measurement associated with a first portion of a first previous substrate based on metrology measurements generated at portions located at the same or similar radial distance from a center of the first previous substrate.
在說明性實例中,基板910之部分916可在基板910的中心點處或接近於基板910的中心點。基板910之部分912A可位於距部分916第一徑向距離922A處。在一些實施例中,如上所述,可在基板910之部分912B處產生光譜資料。可能不產生部分912B之計量量測值。處理邏輯可決定基板910之部分912B亦位於距部分916第一徑向距離922A處或周圍。因此,處理邏輯可決定部分912B之計量量測值對應於(例如,相同於或大致相同於)針對部分912A產生之計量量測值。在另一說明性實例中,基板910之部分914A可位於距部分916第二徑向距離922B處。處理邏輯可決定部分914B亦位於距部分916第二徑向距離922B處或周圍。因此,處理邏輯可決定部分914B之計量量測值對應於部分914A之計量量測值。In an illustrative example, portion 916 of substrate 910 may be at or near a center point of substrate 910. Portion 912A of substrate 910 may be located a first radial distance 922A from portion 916. In some embodiments, spectral data may be generated at portion 912B of substrate 910, as described above. Metrology measurements for portion 912B may not be generated. Processing logic may determine that portion 912B of substrate 910 is also located at or about the first radial distance 922A from portion 916. Therefore, processing logic may determine that the metrology measurements for portion 912B correspond to (e.g., are the same as or approximately the same as) the metrology measurements generated for portion 912A. In another illustrative example, portion 914A of substrate 910 may be located at a second radial distance 922B from portion 916. Processing logic may determine that portion 914B is also located at or about the second radial distance 922B from portion 916. Therefore, processing logic may determine that the metrology measurement of portion 914B corresponds to the metrology measurement of portion 914A.
在額外或替代實施例中,根據第10圖之實施例,處理邏輯可基於函數的一或更多個輸出來決定與第一先前基板之第一部分相關聯的計量量測值。如上所述,第10圖為根據本揭示案之態樣的用於決定製造系統處的基板之計量量測值的方法1000之流程圖。在方塊1010處,處理邏輯決定與第一基板之第一部分相關聯的第一座標及與第一基板之第二部分及/或第二基板之第三部分相關聯的第二座標。在一些實施例中,如上所述,處理邏輯可基於基板量測子系統400所收集之位置資料來決定第一座標及/或第二座標。該等座標可包括笛卡爾座標、極座標,等等。In additional or alternative embodiments, according to the embodiment of FIG. 10 , the processing logic may determine a metrology measurement associated with a first portion of a first previous substrate based on one or more outputs of the function. As described above, FIG. 10 is a flow chart of a method 1000 for determining a metrology measurement of a substrate at a manufacturing system according to aspects of the present disclosure. At block 1010, the processing logic determines a first coordinate associated with a first portion of a first substrate and a second coordinate associated with a second portion of the first substrate and/or a third portion of a second substrate. In some embodiments, as described above, the processing logic may determine the first coordinate and/or the second coordinate based on position data collected by the substrate metrology subsystem 400. The coordinates may include Cartesian coordinates, polar coordinates, etc.
在方塊1020處,處理邏輯可將針對第一先前基板之第二部分或第二先前基板之第三部分中的至少一者獲得的第一座標、第二座標及計量量測值的指示作為輸入提供給函數。該函數可包括線性插值函數、外插函數、最近鄰插值函數、歐幾里德距離函數,等等。在方塊1030處,處理邏輯可獲得該函數之一或更多個輸出。該一或更多個輸出可包括與第一先前基板之第一部分相關聯的計量量測值之指示。在方塊1040處,處理邏輯基於已獲得之一或更多個輸出來決定與第一先前基板之第一部分相關聯的計量量測值。At block 1020, the processing logic may provide the first coordinate, the second coordinate, and an indication of a metrology measurement obtained for at least one of the second portion of the first previous substrate or the third portion of the second previous substrate as inputs to a function. The function may include a linear interpolation function, an extrapolation function, a nearest neighbor interpolation function, a Euclidean distance function, or the like. At block 1030, the processing logic may obtain one or more outputs of the function. The one or more outputs may include an indication of a metrology measurement associated with the first portion of the first previous substrate. At block 1040, the processing logic determines a metrology measurement associated with the first portion of the first previous substrate based on the obtained one or more outputs.
在說明性實例中,在一些實施例中,計量設備128可產生基板910之部分916及/或一或更多個部分918的計量資料。如上所述,基板量測子系統400可產生部分916、一或更多個部分918及/或部分920之光譜資料。處理邏輯可將與部分916、918及/或920相關聯之座標連同針對部分916及/或一或更多個部分918所產生之計量量測值一起作為輸入提供給線性插值函數。在一些實施例中,線性插值函數可基於與部分916、918及/或920相關聯之已提供座標及針對部分916及/或一或更多個部分918所產生之計量量測值來內插部分920之計量量測值。因此,處理邏輯可基於線性插值函數之一或更多個輸出來決定與部分920相關聯之計量量測值。In an illustrative example, in some embodiments, the metrology apparatus 128 may generate metrology data for a portion 916 and/or one or more portions 918 of the substrate 910. As described above, the substrate metrology subsystem 400 may generate spectral data for the portion 916, one or more portions 918, and/or the portion 920. The processing logic may provide coordinates associated with the portions 916, 918, and/or 920 along with metrology measurements generated for the portions 916 and/or one or more portions 918 as inputs to a linear interpolation function. In some embodiments, the linear interpolation function may interpolate metrology measurements for the portion 920 based on the provided coordinates associated with the portions 916, 918, and/or 920 and the metrology measurements generated for the portions 916 and/or one or more portions 918. Thus, processing logic may determine a metrology measurement associated with portion 920 based on one or more outputs of the linear interpolation function.
在另外額外或替代實施例中,根據第11圖之實施例,處理邏輯可基於機器學習模型的一或更多個輸出來決定與第一先前基板之第一部分相關聯的計量量測值。如上所述,第11圖為根據本揭示案之態樣的用於決定製造系統處的基板之計量量測值的另一方法1100之流程圖。在方塊1110處,處理邏輯可獲得與第一先前基板相關聯之上下文資料。上下文資料可包括如下各者中之一或更多者:與第一先前基板的第一部分相關聯之第一座標、先前對第一先前基板執行及/或將要對第一先前基板執行之基板製程、已執行或將要執行基板製程期間之時間週期、針對第一先前基板的第一部分收集光譜資料期間之時間週期、與基板製程相關聯之設備的一或更多種類型之指示,等等。In yet additional or alternative embodiments, according to the embodiment of FIG. 11 , the processing logic may determine a metrology measurement associated with a first portion of a first previous substrate based on one or more outputs of a machine learning model. As described above, FIG. 11 is a flow chart of another method 1100 for determining a metrology measurement of a substrate at a manufacturing system according to aspects of the present disclosure. At block 1110, the processing logic may obtain context data associated with the first previous substrate. The context data may include one or more of the following: a first coordinate associated with a first portion of a first previous substrate, a substrate process previously performed on the first previous substrate and/or to be performed on the first previous substrate, a time period during which the substrate process was performed or to be performed, a time period during which spectral data was collected for the first portion of the first previous substrate, an indication of one or more types of equipment associated with the substrate process, and the like.
在方塊1120處,處理邏輯可將與第一先前基板的第一部分相關聯之光譜資料及已獲得之上下文資料作為輸入提供給機器學習模型。在一些實施例中,機器學習模型可經訓練以基於製造系統處之先前基板的給定光譜資料及上下文資料預測先前基板之計量量測值。在一些實施例中,可使用包括指示光譜資料之訓練輸入的資料集及/或與製造系統處之先前基板相關聯的上下文資料來訓練機器學習模型。該資料集可另外或替代地包括針對該等訓練輸入之目標輸出,該等目標輸出指示針對先前基板收集之一或更多個計量量測值。機器學習模型190可使用支援向量機(SVM)、徑向基函數(RBF)、聚類、受監督機器學習、半監督機器學習、不受監督機器學習、k-最近鄰演算法(k-NN)、線性迴歸、隨機森林、神經網路(例如,人工神經網路)等中之一或更多者。At block 1120, the processing logic may provide spectral data associated with a first portion of a first previous substrate and the obtained contextual data as inputs to a machine learning model. In some embodiments, the machine learning model may be trained to predict metrology measurements of a previous substrate based on given spectral data and contextual data of a previous substrate at a manufacturing system. In some embodiments, the machine learning model may be trained using a data set including training inputs indicative of spectral data and/or contextual data associated with previous substrates at a manufacturing system. The data set may additionally or alternatively include target outputs for the training inputs, the target outputs indicative of one or more metrology measurements collected for the previous substrate. The machine learning model 190 may use one or more of support vector machine (SVM), radial basis function (RBF), clustering, supervised machine learning, semi-supervised machine learning, unsupervised machine learning, k-nearest neighbor algorithm (k-NN), linear regression, random forest, neural network (e.g., artificial neural network), etc.
在說明性實例中,資料集之訓練輸入可包括與第一先前基板的第二部分及/或第二先前基板的第三部分相關聯之光譜資料及/或上下文資料。針對訓練輸入之目標輸出可包括針對第一先前基板的第二部分及/或第二先前基板的第三部分產生之計量量測值。In an illustrative example, a training input to a data set may include spectral data and/or contextual data associated with a second portion of a first previous substrate and/or a third portion of a second previous substrate. A target output for the training input may include metrology measurements generated for the second portion of the first previous substrate and/or the third portion of the second previous substrate.
在方塊1130處,處理邏輯可獲得機器學習模型之一或更多個輸出。該一或更多個輸出可包括指示計量量測值之一或更多個集合的計量資料,及對於計量量測值的每個集合而言計量量測值之相應集合對應於第一先前基板的第一部分之置信位準。在方塊1140處,處理邏輯自一或更多個輸出提取與第一先前基板之第一部分相關聯的計量量測值。處理邏輯可藉由標識出具有滿足置信準則(例如,超過置信閾值,等)的置信位準之計量量測值的相應集合而自一或更多個輸出提取計量量測值。計量量測值之已標識集合可包括第一先前基板的第一部分之計量量測值。At block 1130, the processing logic may obtain one or more outputs of the machine learning model. The one or more outputs may include metrology data indicating one or more sets of metrology measurement values, and for each set of metrology measurement values, a confidence level that the corresponding set of metrology measurement values corresponds to the first portion of the first previous substrate. At block 1140, the processing logic extracts metrology measurement values associated with the first portion of the first previous substrate from the one or more outputs. The processing logic may extract metrology measurement values from the one or more outputs by identifying a corresponding set of metrology measurement values having a confidence level that satisfies a confidence criterion (e.g., exceeds a confidence threshold, etc.). The identified set of metrology measurement values may include metrology measurement values for the first portion of the first previous substrate.
返回參考第8圖,在方塊840處,處理邏輯可產生用於訓練機器學習模型之訓練資料,以藉由執行方塊842及/或方塊844處之操作來預測製造系統處的當前基板之計量量測值。在方塊842處,處理邏輯可產生第一訓練輸入,其包括與第一先前基板的第一部分相關聯之光譜資料。在方塊844處,處理邏輯可產生針對第一訓練輸入之第一目標輸出。第一目標輸出包括與第一先前基板的第一部分相關聯之已決定的計量量測值(例如,根據上述實施例決定)。在額外或替代實施例中,處理邏輯可產生第二訓練輸入,其包括與第一先前基板的第二部分及/或第二先前基板的第三部分中之至少一者相關聯的光譜資料。處理邏輯可產生針對第二訓練輸入之第二目標輸出,該第二目標輸出包括針對第一先前基板的第二部分及/或第二先前基板的第三部分中之至少一者所產生的(若干)計量量測值。在方塊850處,處理邏輯提供訓練資料以基於(i)包括第一訓練輸入(及/或第二訓練輸入)之一組訓練輸入及(ii)包括第一目標輸出(及/或第二目標輸出)之一組目標輸出來訓練機器學習模型。Referring back to FIG. 8 , at block 840, the processing logic may generate training data for training a machine learning model to predict metrology measurements of a current substrate at a manufacturing system by performing operations at blocks 842 and/or 844. At block 842, the processing logic may generate a first training input comprising spectral data associated with a first portion of a first previous substrate. At block 844, the processing logic may generate a first target output for the first training input. The first target output comprises a determined metrology measurement associated with a first portion of a first previous substrate (e.g., determined according to the embodiments described above). In additional or alternative embodiments, the processing logic may generate a second training input comprising spectral data associated with at least one of the second portion of the first previous substrate and/or the third portion of the second previous substrate. The processing logic may generate a second target output for the second training input, the second target output comprising (several) metrology measurements generated for at least one of the second portion of the first previous substrate and/or the third portion of the second previous substrate. At block 850, the processing logic provides training data to train a machine learning model based on (i) a set of training inputs comprising the first training inputs (and/or the second training inputs) and (ii) a set of target outputs comprising the first target outputs (and/or the second target outputs).
如上所述,在訓練之後,機器學習模型可經配置以基於給定光譜資料來預測與製造系統處的當前基板相關聯之計量量測值。在一些實施例中,關於第8圖所述之機器學習模型可與關於第11圖所述之機器學習模型不同,因為第11圖之機器學習模型經訓練以基於與製造系統處的先前基板相關聯之光譜資料及上下文資料來預測計量量測值,而關於第8圖所述之機器學習模型經訓練以基於與製造系統處的當前基板相關聯之光譜資料(例如,及/或上下文資料)來預測計量量測值。As described above, after training, the machine learning model can be configured to predict metrology measurement values associated with a current substrate at the manufacturing system based on given spectral data. In some embodiments, the machine learning model described with respect to FIG. 8 can be different from the machine learning model described with respect to FIG. 11 because the machine learning model of FIG. 11 is trained to predict metrology measurement values based on spectral data and contextual data associated with previous substrates at the manufacturing system, while the machine learning model described with respect to FIG. 8 is trained to predict metrology measurement values based on spectral data (e.g., and/or contextual data) associated with a current substrate at the manufacturing system.
在一些實施例中,機器學習模型可經訓練以根據第2圖及第8圖之實施例來預測製造系統處的當前基板之計量量測值。在一些實施例中,可基於用以訓練模型之計量量測值的來源(例如,由製造系統之開發者、工程師、操作員等)調整經訓練之機器學習模型的權重。舉例而言,一些計量量測值可由計量設備128產生,如關於第2圖及第8圖所述,而其他計量量測值係根據關於第9圖至第11圖所述之實施例決定的。在一些實施例中,可調整經訓練之機器學習模型的權重,以使得與已產生之計量量測值相關聯的權重高於與已決定之計量量測值相關聯的權重。In some embodiments, a machine learning model may be trained to predict metrology measurements of a current substrate at a manufacturing system according to the embodiments of FIGS. 2 and 8. In some embodiments, the weights of the trained machine learning model may be adjusted based on the source of the metrology measurements used to train the model (e.g., by a developer, engineer, operator of the manufacturing system, etc.). For example, some metrology measurements may be generated by the metrology equipment 128, as described with respect to FIGS. 2 and 8, while other metrology measurements are determined according to the embodiments described with respect to FIGS. 9-11. In some embodiments, the weights of a trained machine learning model may be adjusted so that weights associated with generated metrology measurements are higher than weights associated with determined metrology measurements.
第12圖描繪根據本揭示案之一或更多個態樣操作的說明性電腦系統1200之方塊圖。在替代實施例中,該機器可在區域網路(LAN)、企業內部網路、企業外部網路或網際網路中連接(例如,網路連接)至其他機器。該機器可在客戶端-伺服器網路環境中以伺服器或客戶端機器之身份運行,或在點對點(或分散式)網路環境中用作對等機器。該機器可為個人電腦(personal computer; PC)、平板電腦、機上盒(set-top box; STB)、個人數位助理(Personal Digital Assistant; PDA)、蜂巢式電話、web設備、伺服器、網路路由器、交換機或橋接器,或能夠執行指定將由彼機器採取的動作之一組指令(依序或以其他方式)的任何機器。另外,雖然僅繪示單個機器,但術語「機器」亦應被認為包括個別地或聯合地執行一組(或多組)指令以執行本文所論述之方法中的任何一或更多者之機器(例如,電腦)的任何集合。在實施例中,計算裝置1200可對應於第3圖之系統控制器328或第4圖之控制器430。FIG. 12 depicts a block diagram of an illustrative computer system 1200 that operates according to one or more aspects of the present disclosure. In alternative embodiments, the machine can be connected (e.g., networked) to other machines in a local area network (LAN), an intranet, an extranet, or the Internet. The machine can operate as a server or client machine in a client-server network environment, or as a peer machine in a peer-to-peer (or distributed) network environment. The machine may be a personal computer (PC), a tablet computer, a set-top box (STB), a personal digital assistant (PDA), a cellular phone, a web appliance, a server, a network router, a switch or a bridge, or any machine capable of executing a set of instructions (sequentially or otherwise) that specify actions to be taken by that machine. In addition, although only a single machine is shown, the term "machine" should also be construed to include any collection of machines (e.g., computers) that individually or jointly execute a set (or multiple sets) of instructions to perform any one or more of the methods discussed herein. In an embodiment, the computing device 1200 may correspond to the system controller 328 of FIG. 3 or the controller 430 of FIG. 4.
實例計算裝置1200包括處理元件1202、主記憶體1204(例如,唯讀記憶體(read-only memory; ROM)、快閃記憶體、動態隨機存取記憶體(dynamic random access memory; DRAM)(諸如,同步DRAM(SDRAM),等)、靜態記憶體1206(例如,快閃記憶體、靜態隨機存取記憶體(static random access memory; SRAM),等),及次要記憶體(例如,資料儲存元件1228),此些經由匯流排1208彼此通訊。The example computing device 1200 includes a processing element 1202, a main memory 1204 (e.g., read-only memory (ROM), flash memory, dynamic random access memory (DRAM) (e.g., synchronous DRAM (SDRAM)), etc.), a static memory 1206 (e.g., flash memory, static random access memory (SRAM)), etc.), and a secondary memory (e.g., a data storage element 1228), which communicate with each other via a bus 1208.
處理元件1202可表示一或更多個通用處理器,諸如,微處理器、中央處理單元,或其類似者。更特定而言,處理元件1202可為複雜指令集計算(CISC)微處理器、精簡指令集計算(RISC)微處理器、超長指令字(VLIW)微處理器、實施其他指令集之處理器,或實施指令集組合之處理器。處理元件1202亦可為一或更多個專用處理元件,諸如,特殊應用積體電路(ASIC)、場可程式化閘極陣列(FPGA)、數位信號處理器(DSP)、網路處理器,或其類似者。處理元件1202亦可係或包括系統單晶片(system on a chip; SoC)、可程式化邏輯控制器(programmable logic controller; PLC),或其他類型之處理元件。處理元件1202經配置以執行用於執行本文所論述之操作及步驟的處理邏輯。Processing element 1202 may represent one or more general purpose processors, such as a microprocessor, a central processing unit, or the like. More specifically, processing element 1202 may be a complex instruction set computing (CISC) microprocessor, a reduced instruction set computing (RISC) microprocessor, a very long instruction word (VLIW) microprocessor, a processor implementing other instruction sets, or a processor implementing a combination of instruction sets. Processing element 1202 may also be one or more special purpose processing elements, such as an application specific integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), a network processor, or the like. The processing element 1202 may also be or include a system on a chip (SoC), a programmable logic controller (PLC), or other types of processing elements. The processing element 1202 is configured to execute processing logic for performing the operations and steps discussed herein.
計算裝置1200可進一步包括用於與網路1264通訊之網路介面裝置1222。計算裝置1200亦可包括視訊顯示單元1210(例如,液晶顯示器(liquid crystal display; LCD)或陰極射線管(cathode ray tube; CRT))、文數字輸入裝置1212(例如,鍵盤)、游標控制裝置1214(例如,滑鼠),及信號產生裝置1220(例如,揚聲器)。The computing device 1200 may further include a network interface device 1222 for communicating with a network 1264. The computing device 1200 may also include a video display unit 1210 (e.g., a liquid crystal display (LCD) or a cathode ray tube (CRT)), an alphanumeric input device 1212 (e.g., a keyboard), a cursor control device 1214 (e.g., a mouse), and a signal generating device 1220 (e.g., a speaker).
資料儲存元件1228可包括機器可讀儲存媒體(或更特定言之,為非暫時性電腦可讀儲存媒體)1224,其上儲存有一或更多組指令1226以體現本文所述方法或功能中之任何一或更多者。其中非暫時性儲存媒體代表除了載波以外之儲存媒體。在藉由電腦裝置1200執行指令1226期間,指令1226亦可全部或至少部分地駐存在主記憶體1204及/或處理元件1202內,主記憶體1204及處理元件1202亦構成電腦可讀儲存媒體。The data storage element 1228 may include a machine-readable storage medium (or more specifically, a non-transitory computer-readable storage medium) 1224, on which one or more sets of instructions 1226 are stored to implement any one or more of the methods or functions described herein. The non-transitory storage medium represents a storage medium other than a carrier. During the execution of the instructions 1226 by the computer device 1200, the instructions 1226 may also be stored in the main memory 1204 and/or the processing element 1202 in whole or in part, and the main memory 1204 and the processing element 1202 also constitute a computer-readable storage medium.
電腦可讀儲存媒體1224亦可用以儲存模型190及用以訓練模型190之資料。電腦可讀儲存媒體1224亦可儲存含有調用模型190之方法的軟體庫。雖然在實例實施例中將電腦可讀儲存媒體1224示為單個媒體,但術語「電腦可讀儲存媒體」應被視為包括儲存一或更多個指令集之單個媒體或多個媒體(例如,集中式或分散式資料庫,及/或相關聯的快取記憶體及伺服器)。術語「電腦可讀儲存媒體」亦應被視為包括任何媒體,其能夠儲存或編碼指令集以用於由機器來執行,並導致機器執行本揭示案之方法中的任何一或更多者。術語「電腦可讀儲存媒體」應相應地被視為包括但不限於固態記憶體,以及光學及磁性媒體。The computer-readable storage medium 1224 may also be used to store the model 190 and data used to train the model 190. The computer-readable storage medium 1224 may also store a software library containing methods for calling the model 190. Although the computer-readable storage medium 1224 is shown as a single medium in the example embodiments, the term "computer-readable storage medium" should be construed to include a single medium or multiple media (e.g., a centralized or distributed database, and/or associated cache memory and servers) storing one or more sets of instructions. The term "computer-readable storage medium" should also be deemed to include any medium that can store or encode a set of instructions for execution by a machine and cause the machine to perform any one or more of the methods of the present disclosure. The term "computer-readable storage medium" should accordingly be deemed to include but not be limited to solid-state memories, and optical and magnetic media.
先前描述闡述了諸多特定細節,諸如,特定系統、部件、方法等之實例,以便提供對本揭示案之若干實施例的良好理解。然而,熟習此項技術者將顯而易見,可在無此些特定細節的情況下實踐本揭示案之至少一些實施例。在其他情形下,未詳細描述或以簡單方塊圖的形式呈現熟知部件或方法,以便避免不必要地混淆本揭示案。因此,所闡述之特定細節僅為例示性的。特定實施可與此些例示性細節不同,且仍預期在本揭示案之範疇內。The previous description sets forth many specific details, such as examples of specific systems, components, methods, etc., in order to provide a good understanding of several embodiments of the present disclosure. However, it will be apparent to one skilled in the art that at least some embodiments of the present disclosure may be practiced without these specific details. In other cases, well-known components or methods are not described in detail or are presented in the form of simple block diagrams in order to avoid unnecessarily obscuring the present disclosure. Therefore, the specific details set forth are merely illustrative. Specific implementations may differ from these illustrative details and are still contemplated to be within the scope of the present disclosure.
貫穿本說明書對「一個實施例」或「實施例」之引用意謂結合實施例描述之特定特徵、結構、特性包括在至少一個實施例中。因此,貫穿本說明書在各處出現的短語「在一個實施例中」或「在實施例中」未必皆代表同一實施例。另外,術語「或」旨在意謂包括性的「或」而非排他性的「或」。當在本文中使用術語「約」或「大致」時,此旨在意謂所呈現之標稱值精確在±10%以內。References throughout this specification to "one embodiment" or "an embodiment" mean that the particular features, structures, characteristics described in conjunction with the embodiment are included in at least one embodiment. Therefore, the phrases "in one embodiment" or "in an embodiment" appearing in various places throughout this specification do not necessarily all refer to the same embodiment. In addition, the term "or" is intended to mean an inclusive "or" rather than an exclusive "or". When the term "about" or "approximately" is used herein, it is intended to mean that the nominal value presented is accurate to within ±10%.
儘管本文中以特定次序示出並描述了方法之操作,但可變更每一方法的操作次序,以使得可以相反次序執行某些操作,使得可至少部分地與其他操作同時執行某些操作。在另一實施例中,相異操作之指令或子操作可以間歇及/或交替的方式進行。Although the operations of the methods are shown and described herein in a particular order, the order of operations of each method may be changed so that certain operations may be performed in a reverse order, so that certain operations may be performed at least partially concurrently with other operations. In another embodiment, instructions or sub-operations of different operations may be performed in an intermittent and/or alternating manner.
應理解,以上描述旨在為說明性的,而非限制性的。在閱讀並理解以上描述之後,熟習此項技術者將顯而易見許多其他實施例。因此,應參考附加申請專利範圍連同此申請專利範圍所授權之等效物的整個範疇來決定本揭示案之範疇。It should be understood that the above description is intended to be illustrative and not limiting. Many other embodiments will become apparent to those skilled in the art upon reading and understanding the above description. Therefore, the scope of the present disclosure should be determined by reference to the appended claims, along with the entire scope of equivalents to which the claims are entitled.
100:電腦系統架構 110:預測系統 112:預測伺服器 114:預測部件 120:客戶端裝置 124:製造設備 126:感測器 128:計量設備 130:網路 140:資料儲存器 170:伺服器機器 172:訓練集產生器 180:伺服器機器 182:訓練引擎 184:驗證引擎 186:選擇引擎 188:測試引擎 190:機器學習模型 200:方法 210:方塊 220:方塊 230:方塊 240:方塊 250:方塊 260:方塊 270:方塊 280:方塊 300:製造系統 302:基板 304:製程工具 306:工廠介面 308:外殼 310:移送腔室 312:移送腔室機器人 314:處理腔室 316:處理腔室 318:處理腔室 320:裝載閘 322:基板載體 324:裝載埠 326:工廠介面機器人 328:系統控制器 340:基板量測子系統 350:資料儲存器 400:基板量測子系統 412:層 420:光譜感測部件 422:波產生器 424:反射波接收器 426:能量流 428:反射能量波 430:控制器 440:位置部件 450:照相機部件 500:光譜資料 510:線 520:線 600:方法 610:方塊 620:方塊 630:方塊 640:方塊 650:方塊 660:方塊 700:GUI 710:第一部分 712:第二部分 714:等高線圖 716:視窗選擇器 720:原始資料 800:方法 810:方塊 820:方塊 830:方塊 840:方塊 842:方塊 844:方塊 850:方塊 910:實例基板 912A:部分 912B:部分 914A:部分 914B:部分 916:部分 918:部分 920:部分 922A:第一徑向距離 922B:第二徑向距離 1000:方法 1010:方塊 1020:方塊 1030:方塊 1040:方塊 1100:方法 1110:方塊 1120:方塊 1130:方塊 1140:方塊 1200:計算裝置 1202:處理元件 1204:主記憶體 1206:靜態記憶體 1208:匯流排 1210:視訊顯示單元 1212:文數字輸入裝置 1214:游標控制裝置 1220:信號產生裝置 1222:網路介面裝置 1224:機器可讀儲存媒體 1226:指令 1228:資料儲存元件 1264:網路 100: Computer system architecture 110: Prediction system 112: Prediction server 114: Prediction component 120: Client device 124: Manufacturing equipment 126: Sensor 128: Measuring equipment 130: Network 140: Data storage 170: Server machine 172: Training set generator 180: Server machine 182: Training engine 184: Verification engine 186: Selection engine 188: Testing engine 190: Machine learning model 200: Method 210: Block 220: Block 230: Block 240: Block 250: Block 260: Block 270: Block 280: Block 300: Manufacturing System 302: Substrate 304: Process Tool 306: Factory Interface 308: Housing 310: Transfer Chamber 312: Transfer Chamber Robot 314: Process Chamber 316: Process Chamber 318: Process Chamber 320: Load Gate 322: Substrate Carrier 324: Load Port 326: Factory Interface Robot 328: System Controller 340: Substrate Measurement Subsystem 350: Data Storage 400: Substrate Measurement Subsystem 412: Layer 420: Spectral Sensing Components 422: Wave generator 424: Reflected wave receiver 426: Energy flow 428: Reflected energy wave 430: Controller 440: Position component 450: Camera component 500: Spectral data 510: Line 520: Line 600: Method 610: Block 620: Block 630: Block 640: Block 650: Block 660: Block 700: GUI 710: Part I 712: Part II 714: Contour map 716: Window selector 720: Raw data 800: Method 810: Block 820: Block 830: Block 840: block 842: block 844: block 850: block 910: example substrate 912A: portion 912B: portion 914A: portion 914B: portion 916: portion 918: portion 920: portion 922A: first radial distance 922B: second radial distance 1000: method 1010: block 1020: block 1030: block 1040: block 1100: method 1110: block 1120: block 1130: block 1140: block 1200: Computing device 1202: Processing element 1204: Main memory 1206: Static memory 1208: Bus 1210: Video display unit 1212: Alphanumeric input device 1214: Cursor control device 1220: Signal generating device 1222: Network interface device 1224: Machine readable storage medium 1226: Command 1228: Data storage element 1264: Network
在隨附圖式之諸圖中藉助於實例而非藉助於限制繪示出本揭示案,在隨附圖式中,相同元件符號指示類似元件。應注意,在本揭示案中對「一」或「一個」實施例之不同引用未必代表同一實施例,且此種引用意謂至少一個。The present disclosure is illustrated by way of example and not by way of limitation in the figures of the accompanying drawings in which like reference numerals indicate similar elements. It should be noted that different references to "one" or "an" embodiment in the present disclosure do not necessarily represent the same embodiment, and such references mean at least one.
第1圖描繪根據本揭示案之態樣的說明性電腦系統架構。FIG. 1 depicts an illustrative computer system architecture according to aspects of the present disclosure.
第2圖為根據本揭示案之態樣之用於訓練機器學習模型的方法之流程圖。FIG. 2 is a flow chart of a method for training a machine learning model according to an aspect of the present disclosure.
第3圖為根據本揭示案之態樣的實例製造系統之俯視示意圖。FIG. 3 is a top view schematic diagram of an example manufacturing system according to an aspect of the present disclosure.
第4圖為根據本揭示案之態樣的基板量測子系統之橫截面示意性側視圖。FIG. 4 is a schematic cross-sectional side view of a substrate metrology subsystem according to an aspect of the present disclosure.
第5圖根據本揭示案之態樣繪示對基板收集之光譜資料。FIG. 5 shows spectral data collected on a substrate according to aspects of the present disclosure.
第6圖為根據本揭示案之態樣的用於使用機器學習模型來估計基板輪廓之計量值的方法之流程圖。FIG. 6 is a flow chart of a method for estimating metrology values of a substrate profile using a machine learning model according to aspects of the present disclosure.
第7A圖至第7C圖根據本揭示案之態樣繪示用於提供對基板輪廓之經估計計量值的指示之實例GUI。7A-7C illustrate an example GUI for providing an indication of estimated metrology values for a substrate profile according to aspects of the present disclosure.
第8圖為根據本揭示案之態樣的用於產生用於訓練機器學習模型的訓練資料之方法的流程圖。FIG. 8 is a flow chart of a method for generating training data for training a machine learning model according to an aspect of the present disclosure.
第9圖根據本揭示案之態樣繪示決定基板的計量量測值之實例。FIG. 9 illustrates an example of determining metrology measurements for a substrate according to aspects of the present disclosure.
第10圖為根據本揭示案之態樣的用於決定製造系統處的基板之計量量測值的方法之流程圖。FIG. 10 is a flow chart of a method for determining a metrology measurement of a substrate at a manufacturing system according to aspects of the present disclosure.
第11圖為根據本揭示案之態樣的用於決定製造系統處的基板之計量量測值的另一方法之流程圖。FIG. 11 is a flow chart of another method for determining metrology measurements of a substrate at a manufacturing system according to aspects of the present disclosure.
第12圖描繪根據本揭示案之一或更多個態樣操作的說明性電腦系統之方塊圖。FIG. 12 depicts a block diagram of an illustrative computer system that operates according to one or more aspects of the present disclosure.
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無 Domestic storage information (please note in the order of storage institution, date, and number) None Foreign storage information (please note in the order of storage country, institution, date, and number) None
800:方法 800:Method
810:方塊 810: Block
820:方塊 820: Block
830:方塊 830: Block
840:方塊 840: Block
842:方塊 842: Block
844:方塊 844: Block
850:方塊 850:Block
Claims (20)
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US18/046,872 | 2022-10-14 | ||
US18/046,872 US20230062206A1 (en) | 2020-07-22 | 2022-10-14 | Determining substrate profile properties using machine learning |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202431026A true TW202431026A (en) | 2024-08-01 |
Family
ID=90670345
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW112139171A TW202431026A (en) | 2022-10-14 | 2023-10-13 | Determining substrate profile properties using machine learning |
Country Status (4)
Country | Link |
---|---|
KR (1) | KR20250025429A (en) |
CN (1) | CN119585679A (en) |
TW (1) | TW202431026A (en) |
WO (1) | WO2024081764A1 (en) |
Family Cites Families (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2016012316A1 (en) * | 2014-07-21 | 2016-01-28 | Asml Netherlands B.V. | Method for determining a process window for a lithographic process, associated apparatuses and a computer program |
WO2019177905A1 (en) * | 2018-03-13 | 2019-09-19 | Applied Materials, Inc. | Machine learning systems for monitoring of semiconductor processing |
WO2019200015A1 (en) * | 2018-04-10 | 2019-10-17 | Lam Research Corporation | Optical metrology in machine learning to characterize features |
EP3637186A1 (en) * | 2018-10-09 | 2020-04-15 | ASML Netherlands B.V. | Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus |
US20220026817A1 (en) * | 2020-07-22 | 2022-01-27 | Applied Materials, Inc. | Determining substrate profile properties using machine learning |
-
2023
- 2023-10-12 WO PCT/US2023/076657 patent/WO2024081764A1/en active Application Filing
- 2023-10-12 CN CN202380058463.0A patent/CN119585679A/en active Pending
- 2023-10-12 KR KR1020257001406A patent/KR20250025429A/en active Pending
- 2023-10-13 TW TW112139171A patent/TW202431026A/en unknown
Also Published As
Publication number | Publication date |
---|---|
KR20250025429A (en) | 2025-02-21 |
WO2024081764A1 (en) | 2024-04-18 |
CN119585679A (en) | 2025-03-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7586933B2 (en) | Detecting and correcting substrate process drift using machine learning | |
JP7562703B2 (en) | Determining Board Profile Characteristics Using Machine Learning | |
JP7695374B2 (en) | System and method for process chamber health monitoring and diagnosis using virtual models - Patents.com | |
US11842910B2 (en) | Detecting outliers at a manufacturing system using machine learning | |
US11862520B2 (en) | Systems and methods for predicting film thickness of individual layers using virtual metrology | |
US20240128100A1 (en) | Methods and systems for a spectral library at a manufacturing system | |
US20230062206A1 (en) | Determining substrate profile properties using machine learning | |
TW202431026A (en) | Determining substrate profile properties using machine learning | |
US20230317481A1 (en) | Temperature-based metrology calibration at a manufacturing system | |
US20240071838A1 (en) | Substrate placement optimization using substrate measurements | |
US20240069537A1 (en) | Substrate placement optimization using substrate measurements | |
TW202407484A (en) | Run-to-run control at a manufacturing system using machine learning |