TW202248466A - Spatially and dimensionally non-uniform channelled plate for tailored hydrodynamics during electroplating - Google Patents
Spatially and dimensionally non-uniform channelled plate for tailored hydrodynamics during electroplating Download PDFInfo
- Publication number
- TW202248466A TW202248466A TW111103814A TW111103814A TW202248466A TW 202248466 A TW202248466 A TW 202248466A TW 111103814 A TW111103814 A TW 111103814A TW 111103814 A TW111103814 A TW 111103814A TW 202248466 A TW202248466 A TW 202248466A
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- ridges
- electroplating
- ion
- resistive element
- Prior art date
Links
- 238000009713 electroplating Methods 0.000 title claims abstract description 100
- 239000000758 substrate Substances 0.000 claims abstract description 129
- 238000007747 plating Methods 0.000 claims abstract description 37
- 239000003792 electrolyte Substances 0.000 claims description 55
- 238000000034 method Methods 0.000 claims description 51
- 235000012431 wafers Nutrition 0.000 claims description 50
- 239000004065 semiconductor Substances 0.000 claims description 32
- 229910052751 metal Inorganic materials 0.000 claims description 27
- 239000002184 metal Substances 0.000 claims description 27
- 239000000463 material Substances 0.000 claims description 23
- 238000012545 processing Methods 0.000 claims description 17
- 229920002120 photoresistant polymer Polymers 0.000 claims description 16
- 229910052709 silver Inorganic materials 0.000 claims description 15
- 239000004332 silver Substances 0.000 claims description 15
- 238000004401 flow injection analysis Methods 0.000 claims description 10
- 238000004070 electrodeposition Methods 0.000 claims description 9
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 7
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 5
- 239000010949 copper Substances 0.000 claims description 5
- 230000037427 ion transport Effects 0.000 claims description 5
- 238000000059 patterning Methods 0.000 claims description 5
- 229910052802 copper Inorganic materials 0.000 claims description 4
- 238000004891 communication Methods 0.000 claims description 3
- 230000007423 decrease Effects 0.000 claims description 3
- 239000007788 liquid Substances 0.000 claims description 3
- 239000008151 electrolyte solution Substances 0.000 claims description 2
- 230000002093 peripheral effect Effects 0.000 claims description 2
- 238000000926 separation method Methods 0.000 claims description 2
- 102100023774 Cold-inducible RNA-binding protein Human genes 0.000 description 77
- 101000906744 Homo sapiens Cold-inducible RNA-binding protein Proteins 0.000 description 77
- 230000008569 process Effects 0.000 description 20
- 150000002500 ions Chemical class 0.000 description 18
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 13
- QCEUXSAXTBNJGO-UHFFFAOYSA-N [Ag].[Sn] Chemical compound [Ag].[Sn] QCEUXSAXTBNJGO-UHFFFAOYSA-N 0.000 description 12
- 239000012530 fluid Substances 0.000 description 10
- 238000004519 manufacturing process Methods 0.000 description 9
- 150000002739 metals Chemical class 0.000 description 9
- 230000006870 function Effects 0.000 description 8
- 239000012528 membrane Substances 0.000 description 8
- 239000010408 film Substances 0.000 description 6
- 238000000151 deposition Methods 0.000 description 5
- 238000011068 loading method Methods 0.000 description 5
- 230000008021 deposition Effects 0.000 description 4
- 239000010432 diamond Substances 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- -1 silver ions Chemical class 0.000 description 4
- 238000012546 transfer Methods 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 239000002033 PVDF binder Substances 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 239000012811 non-conductive material Substances 0.000 description 2
- 238000004806 packaging method and process Methods 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 239000004417 polycarbonate Substances 0.000 description 2
- 229920000515 polycarbonate Polymers 0.000 description 2
- 229920002981 polyvinylidene fluoride Polymers 0.000 description 2
- 238000005389 semiconductor device fabrication Methods 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 230000032258 transport Effects 0.000 description 2
- 229910001316 Ag alloy Inorganic materials 0.000 description 1
- 239000004698 Polyethylene Substances 0.000 description 1
- 229910007637 SnAg Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 150000007513 acids Chemical class 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 244000309464 bull Species 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 239000002659 electrodeposit Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 229920003023 plastic Polymers 0.000 description 1
- 229920000573 polyethylene Polymers 0.000 description 1
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 1
- 239000004810 polytetrafluoroethylene Substances 0.000 description 1
- 239000004800 polyvinyl chloride Substances 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 238000007781 pre-processing Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 description 1
- 229910001887 tin oxide Inorganic materials 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D17/00—Constructional parts, or assemblies thereof, of cells for electrolytic coating
- C25D17/001—Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D17/00—Constructional parts, or assemblies thereof, of cells for electrolytic coating
- C25D17/002—Cell separation, e.g. membranes, diaphragms
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D17/00—Constructional parts, or assemblies thereof, of cells for electrolytic coating
- C25D17/06—Suspending or supporting devices for articles to be coated
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D21/00—Processes for servicing or operating cells for electrolytic coating
- C25D21/10—Agitating of electrolytes; Moving of racks
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D21/00—Processes for servicing or operating cells for electrolytic coating
- C25D21/12—Process control or regulation
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D3/00—Electroplating: Baths therefor
- C25D3/02—Electroplating: Baths therefor from solutions
- C25D3/38—Electroplating: Baths therefor from solutions of copper
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D5/00—Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
- C25D5/02—Electroplating of selected surface areas
- C25D5/022—Electroplating of selected surface areas using masking means
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D5/00—Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
- C25D5/08—Electroplating with moving electrolyte e.g. jet electroplating
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D7/00—Electroplating characterised by the article coated
- C25D7/12—Semiconductors
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D17/00—Constructional parts, or assemblies thereof, of cells for electrolytic coating
- C25D17/02—Tanks; Installations therefor
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D17/00—Constructional parts, or assemblies thereof, of cells for electrolytic coating
- C25D17/10—Electrodes, e.g. composition, counter electrode
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D3/00—Electroplating: Baths therefor
- C25D3/02—Electroplating: Baths therefor from solutions
- C25D3/56—Electroplating: Baths therefor from solutions of alloys
- C25D3/60—Electroplating: Baths therefor from solutions of alloys containing more than 50% by weight of tin
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Electrochemistry (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Life Sciences & Earth Sciences (AREA)
- Sustainable Development (AREA)
- Automation & Control Theory (AREA)
- Electroplating Methods And Accessories (AREA)
Abstract
Description
本發明實施例係關於在電鍍期間控制電解液之流體動力學的方法及設備。更具體而言,文中所述之方法及設備尤其可用於電鍍金屬至半導體晶圓基板上尤其是具有複數凹陷特徵部的基板上。Embodiments of the invention relate to methods and apparatus for controlling the fluid dynamics of an electrolyte during electroplating. More specifically, the methods and apparatus described herein are particularly useful for electroplating metal onto semiconductor wafer substrates, especially substrates having a plurality of recessed features.
在半導體裝置製造中,使用沉積及蝕刻技術形成材料圖案例如形成鑲嵌於介電層中的金屬線。電化學沉積處理為現代積體電路製造中已建立的技術。在二十一世紀的早年自鋁轉換至銅金屬線內連線驅動了對更複雜電沉積處理與電鍍設備的需求。許多複雜的技術係為了回應在裝置金屬層中愈來愈小之載帶電流導線所發展出。此些銅線係藉著在所謂「鑲嵌」處理技術中將金屬電鍍至極薄、高深寬比的溝槽與貫孔中所形成(預鈍化金屬化)。In semiconductor device fabrication, deposition and etching techniques are used to form patterns of material such as metal lines embedded in dielectric layers. Electrochemical deposition processing is an established technique in modern integrated circuit fabrication. The switch from aluminum to copper wire interconnects in the early years of the 21st century drove the need for more sophisticated electrodeposition processing and plating equipment. Many sophisticated technologies have been developed in response to the increasingly smaller current-carrying wires in the metal layers of devices. These copper lines are formed by electroplating metal into very thin, high aspect ratio trenches and vias in a so-called "damascene" process technique (pre-passivation metallization).
現在電化學沉積係用於滿足複雜的封裝及多晶片內連技術的商業需求,這些技術一般在口語上稱為晶圓級封裝(WLP)及矽穿孔(TSV)電連接技術。這些技術部分因為大致上較大的特徵部尺寸(相較於前端製程(FEOL)之內連線)及高深寬比而表現出其各自的艱困挑戰。Electrochemical deposition systems are now being used to meet the commercial needs of complex packaging and multi-die interconnect technologies, commonly colloquially referred to as wafer-level packaging (WLP) and through-silicon via (TSV) electrical connection technologies. These technologies present their own formidable challenges due in part to generally larger feature sizes (compared to front-end-of-line (FEOL) interconnects) and high aspect ratios.
此處所提供的背景說明係用以大致上說明本發明之背景。本申請案之列名發明人之載於背景段落中的成果及在申請當時無法作為先前技術的說明態樣,並非為本發明明示或暗示自認之先前技術。The background description provided herein is for the purpose of generally presenting the context of the disclosure. The achievements of the inventors listed in the background paragraph of this application and the descriptions that cannot be regarded as prior art at the time of application are not the prior art that the present invention expressly or implicitly recognizes.
在一態樣中,一種電鍍設備。在某些實施例中,該電鍍設備包含:(a)一電鍍室,係用以在將金屬電鍍至一基板上時容納電解液及一陽極;(b)一基板支撐件,係用以在電鍍期間支撐該基板俾使該基板之一電鍍面係與該陽極分離;及一離子阻性元件,該離子阻性元件包含:(i)一通道板,係適合在電鍍期間提供通過該離子阻性元件之離子傳輸;(ii)一面基板側,係與該基板之該電鍍面平行且以一間隙與該基板之該電鍍面分離;(iii) 複數脊部,位於該離子阻性元件的該面基板側上,其中該複數脊部包含具有全最大高度之第一複數脊部及最大高度小於全最大高度之第二複數脊部。該電鍍設備更包含:該間隙之一入口,用以將橫流電解液引至該間隙;及該間隙之一出口,用以接收在該間隙中流動之該橫流電解液,其中在電鍍期間該入口及出口係鄰近該基板之該電鍍面上之方位角相對的周長位置。In one aspect, an electroplating apparatus. In certain embodiments, the electroplating apparatus includes: (a) an electroplating chamber for containing the electrolyte and an anode when metal is electroplated onto a substrate; (b) a substrate support for supporting the substrate during electroplating so that a plated side of the substrate is separated from the anode; and an ion-resistive element comprising: (i) a channel plate adapted to provide a channel through the ion-resistive element during electroplating; (ii) a substrate side parallel to and separated from the plated surface of the substrate by a gap; (iii) a plurality of ridges located on the plated surface of the ion-resistive element On the surface substrate side, wherein the plurality of ridges includes a first plurality of ridges having a full maximum height and a second plurality of ridges whose maximum height is less than the full maximum height. The electroplating apparatus further comprises: an inlet of the gap for introducing a cross-flow electrolyte into the gap; and an outlet of the gap for receiving the cross-flow electrolyte flowing in the gap, wherein during electroplating the inlet and the outlet is adjacent to the azimuth-relative perimeter position of the electroplating surface of the substrate.
在某些實施例中,該離子阻性元件之位置俾使具有較小最大高度之該第二複數脊部係鄰近該間隙之該入口。在某些實施例中,所有該脊部係彼此平行且垂直於該橫流電解液在該間隙中流動的一方向。在某些實施例中,該第二複數脊部包含具有不同最大高度的至少兩個脊部。在某些實施例中,該第二複數脊部中該脊部的設置俾使最大脊高沿著自該離子阻性板之一邊緣至一中心的一方向增加,其中具有較小高度之該第二複數脊部係僅設置於該離子阻性元件的一側上。In certain embodiments, the ion-resistive element is positioned such that the second plurality of ridges having a smaller maximum height is adjacent to the entrance of the gap. In some embodiments, all of the ridges are parallel to each other and perpendicular to a direction in which the cross-flow electrolyte flows in the gap. In certain embodiments, the second plurality of ridges comprises at least two ridges having different maximum heights. In some embodiments, the ridges of the second plurality of ridges are arranged such that the maximum ridge height increases along a direction from an edge of the ion-resistive plate to a center, wherein the ridges with smaller heights The second plurality of ridges is disposed on only one side of the ion-resistive element.
在某些實施例中,該脊部之一總數係介於約15與約30之間,具有較小最大高度之該第二複數脊部具有介於約2與約10之間的脊部。在某些實施例中,該脊部之該全最大高度係小於約5 mm。例如,在某些實施例中,該脊部之該全最大高度約為1-3 mm。在某些實施例中,介於該基板支撐件之一底部與該離子阻性元件之間的該間隙係小於約20 mm。In certain embodiments, the total number of ridges is between about 15 and about 30, the second plurality of ridges having the smaller maximum height has between about 2 and about 10 ridges. In certain embodiments, the full maximum height of the ridge is less than about 5 mm. For example, in some embodiments, the full maximum height of the ridge is about 1-3 mm. In certain embodiments, the gap between a bottom of the substrate support and the ion-resistive element is less than about 20 mm.
在某些實施例中,該複數脊部之至少一部分者具有可變高度。在某些實施例中,該複數脊部之至少一部分者具有可變高度且具有該可變高度之一脊部之脊高係沿著朝向該脊部之一邊緣的方向逐漸減少。In some embodiments, at least a portion of the plurality of ridges has a variable height. In some embodiments, at least a portion of the plurality of ridges has a variable height and the ridge height of a ridge with the variable height decreases gradually in a direction toward an edge of the ridge.
在某些實施例中,該離子阻性元件包含一區域,在該區域中該脊高係小於該全最大高度且該區域係大致上呈新月形。在某些實施例中,此區域之位置鄰近該間隙之該入口或該出口。In some embodiments, the ion-resistive element includes a region in which the ridge height is less than the full maximum height and the region is substantially crescent-shaped. In some embodiments, the region is located adjacent to the entrance or the exit of the gap.
在某些實施例中,該離子阻性元件包含一區域,在該區域中該脊高係小於該全最大高度且該區域係大致上呈環形。在某些實施例中,該離子阻性元件包含一區域,在該區域中該脊高係小於該全最大高度且該區域具有馬丁尼杯之形狀。In some embodiments, the ion-resistive element includes a region in which the ridge height is less than the full maximum height and the region is substantially annular. In some embodiments, the ion-resistive element includes a region in which the ridge height is less than the full maximum height and the region has the shape of a martini cup.
在某些實施例中,該離子阻性元件包含複數無連通之通道。在其他實施例中,該離子阻性元件包含複數連通通道之三維網路。In some embodiments, the ion-resistive element includes a plurality of disconnected channels. In other embodiments, the ion-resistive element includes a three-dimensional network of communicating channels.
在某些實施例中,該電鍍設備更包含流體耦合至該入口的一橫流注射歧管。在某些實施例中,該橫流注射歧管係至少部分由該離子阻性元件中的一空腔所定義。在某些實施例中,該電鍍設備更包含位於該離子阻性元件之一周邊部上方的一液流限制環。在某些實施例中,該入口橫跨鄰近該基板之該電鍍面之周長之介於約90-180°之間的一弧。In some embodiments, the electroplating apparatus further includes a cross-flow injection manifold fluidly coupled to the inlet. In some embodiments, the cross-flow injection manifold is at least partially defined by a cavity in the ion-resistive element. In some embodiments, the electroplating apparatus further includes a flow restriction ring positioned over a peripheral portion of the ion-resistive element. In certain embodiments, the inlet spans an arc of between about 90-180° adjacent to the perimeter of the plated face of the substrate.
在另一態樣中,提供一種離子阻性板在電鍍設備中的用法,其中阻性板適合用以將材料鍍於標準直徑的半導體晶圓上,在某些實施例中,阻性板包含:一圓形部,其具有與該半導體晶圓之一電鍍面共延伸的複數通道,其中該阻性板具有介於約2-25 mm的一厚度;及複數脊部,自該圓形部延伸,其中該複數脊部包含具有全最大高度之第一複數脊部及最大高度小於全最大高度之第二複數脊部。In another aspect, there is provided a use of an ion resistive plate in an electroplating apparatus, wherein the resistive plate is suitable for plating materials on standard diameter semiconductor wafers, in some embodiments, the resistive plate comprises : a circular portion having a plurality of channels coextensive with a plated surface of the semiconductor wafer, wherein the resistive plate has a thickness between about 2-25 mm; and a plurality of ridges extending from the circular portion Extending, wherein the plurality of ridges includes a first plurality of ridges having a full maximum height and a second plurality of ridges having a maximum height less than the full maximum height.
在另一態樣中,提供一種基板電鍍方法。在某些實施例中,該方法包含:(a)在一基板支撐件中接收一基板,其中該基板之一電鍍面係受到裸露,其中該基板支撐件係用以在電鍍期間支撐該基板俾使該基板之該電鍍面係與一陽極分離;(b)將該基板浸沒於電解液中,其中一間隙係形成於該基板之該電鍍面與一離子阻性元件平面之間,其中該離子阻性元件係至少約與該基板之該電鍍面共延伸,其中該離子阻性元件包含適合在電鍍期間提供通過該離子阻性元件之離子傳輸的一通道板,其中該離子阻性元件包含位於該離子阻性元件之一面基板側上的複數脊部,其中該複數脊部包含具有全最大高度之第一複數脊部及最大高度小於全最大高度之第二複數脊部;(c)使電解液自一側入口流入該間隙中並自一側出口流出而使電解液與該基板支撐件中的該基板相接觸,其中該側入口及該側出口被設計或用以在電鍍期間於該間隙中產生橫流電解液;(d)旋轉該基板支撐件;及(e)當在(c)中使電解液流動時將材料電鍍至該基板之該電鍍面上。In another aspect, a substrate electroplating method is provided. In some embodiments, the method includes: (a) receiving a substrate in a substrate support, wherein a plating side of the substrate is exposed, wherein the substrate support is used to support the substrate during electroplating for separating the plated side of the substrate from an anode; (b) immersing the substrate in an electrolyte, wherein a gap is formed between the plated side of the substrate and an ion resistive element plane, wherein the ion The resistive element is at least about coextensive with the plating surface of the substrate, wherein the ion resistive element comprises a channel plate adapted to provide ion transport through the ion resistive element during electroplating, wherein the ion resistive element comprises a A plurality of ridges on the substrate side of one surface of the ion-resistive element, wherein the plurality of ridges includes a first plurality of ridges with a full maximum height and a second plurality of ridges with a maximum height less than the full maximum height; (c) making the electrolytic Liquid flows into the gap from a side inlet and flows out from a side outlet to contact the electrolyte with the substrate in the substrate support, wherein the side inlet and the side outlet are designed or used to pass through the gap during electroplating. generating a lateral flow of electrolyte in (c); (d) rotating the substrate support; and (e) electroplating material onto the plated side of the substrate while flowing the electrolyte in (c).
在某些實施例中,該電鍍之材料包含錫及銀。在某些實施例中,該電鍍之材料包含銅。In some embodiments, the electroplated material includes tin and silver. In some embodiments, the electroplated material includes copper.
在某些實施例中,文中所提供之電沉積方法係與光微影圖案化一起使用,且所提供之該方法更包含下列步驟:將光阻施加至該半導體基板;將該光阻暴露至光;圖案化該光阻並將圖案轉移至該半導體基板;及自該半導體基板選擇性地移除該光阻。In certain embodiments, the electrodeposition methods provided herein are used with photolithographic patterning, and the methods provided further comprise the steps of: applying a photoresist to the semiconductor substrate; exposing the photoresist to light; patterning the photoresist and transferring the pattern to the semiconductor substrate; and selectively removing the photoresist from the semiconductor substrate.
在另一態樣中,提供一種非瞬變電腦機器可讀媒體,其中該非瞬變電腦機器可讀媒體包含用以控制基板處理用之設備的複數程式指令,其中該複數程式指令包含用以根據文中所提供之方法達成材料電沉積的程式碼。In another aspect, a non-transitory computer machine-readable medium is provided, wherein the non-transitory computer machine-readable medium includes program instructions for controlling an apparatus for substrate processing, wherein the program instructions include instructions for controlling a substrate according to The method presented herein achieves a code for electrodeposition of materials.
在附圖及下列的說明中列舉本說明書中所述之施行標的的此些及其他態樣。These and other aspects of the implementation object described in this specification are set forth in the drawings and the following description.
提供對電解液流體動力學具有較佳控制之將金屬電沉積至半導體晶圓上的方法及設備。由於對電解液流體動力學的較佳控制,可改善電沉積之均勻度。 方法對於將金屬電鍍至具有複數凹陷特徵之半導體晶圓上尤其有用。例如,可使用所提供之方法例如於WLP處理中填充凹陷特徵部。方法使用離子阻抗離子滲透元件,此元件包含具有通道之離子滲透板及自板之面基板表面朝向基板延伸的複數脊部,其中複數脊部具有不同之最大高度或、獨立的脊部具有可變高度(如錐斜脊部)、或兩者。在某些實施例中,電解液被注射至離子阻性元件與基板之表面之間的間隙中,產生電解液橫流(平行於基板之表面的橫向電解液流)。在某些實施例中,脊部(指涉脊部的「長度」尺寸)係實質上垂直於電解液橫流方向。在一實施例中,鄰近間隙之入口(例如位於入口之50 mm內)的脊部比較遠離入口的脊部具有較小的最大高度。例如,離子阻性元件可包含第一脊部(或第一複數脊部)及第二脊部(或第二複數脊部),其中離子阻性元件之位置俾使第一脊部(或第一複數脊部)比第二脊部(或第二複數脊部)更接近間隙之入口,其中第一脊部(或第一複數脊部)比第二脊部(或第二複數脊部)具有較小的最大高度。在某些實施例中,最大脊高沿著自元件之邊緣朝向元件之中心的一方向逐漸增加。Methods and apparatus for electrodepositing metals onto semiconductor wafers with better control over electrolyte fluid dynamics are provided. Electrodeposition uniformity can be improved due to better control of electrolyte fluid dynamics. The method is particularly useful for electroplating metal onto semiconductor wafers having a plurality of recessed features. For example, recessed features can be filled using the provided methods, such as in a WLP process. The method uses an ion-resistance ion-permeable element comprising an ion-permeable plate having channels and a plurality of ridges extending from a face substrate surface of the plate toward the substrate, wherein the plurality of ridges have different maximum heights or, the individual ridges have variable height (eg, tapered ridges), or both. In certain embodiments, electrolyte is injected into the gap between the ion-resistive element and the surface of the substrate, creating electrolyte lateral flow (lateral electrolyte flow parallel to the surface of the substrate). In some embodiments, the ridge (referring to the "length" dimension of the ridge) is substantially perpendicular to the direction of electrolyte cross flow. In one embodiment, ridges adjacent to the entrance of the gap (eg, within 50 mm of the entrance) have a smaller maximum height than ridges farther from the entrance. For example, the ion resistive element may comprise a first ridge (or first plurality of ridges) and a second ridge (or second plurality of ridges), wherein the ion resistive element is positioned such that the first ridge (or first plurality of ridges) A plurality of ridges) is closer to the entrance of the gap than a second ridge (or second plurality of ridges), wherein the first ridge (or first plurality of ridges) is closer to the entrance of the gap than the second ridge (or second plurality of ridges) Has a smaller maximum height. In some embodiments, the maximum ridge height gradually increases along a direction from the edge of the element towards the center of the element.
在某些實施例中,離子阻性元件具有以空間上非均勻模式分佈之各種尺寸的脊部。其能在基板表處產生客製化的對流,以改善電鍍效能。藉由客製化脊高、錐斜、及將脊部佈配於橫跨離子阻性元件的某些位置處,可調制晶圓表面處的流體動力學以改善電鍍效能。In certain embodiments, the ion-resistive element has ridges of various sizes distributed in a spatially non-uniform pattern. It can generate customized convection at the substrate surface to improve plating performance. By customizing the ridge height, taper, and distributing the ridges at certain locations across the ion-resistive element, the fluid dynamics at the wafer surface can be modulated to improve plating performance.
文中所用之「金屬」一詞係指一或多種金屬,「電沉積金屬」並不限於電沉積單一種金屬。例如,「金屬」可為錫與銀之組合。在某些實施例中,方法係用以電沉積銅(Cu)。在某些實施例中,方法係用以電沉積鎳(Ni)、錫(Sn)、或錫銀合金(SnAg)。The word "metal" used herein refers to one or more metals, and "electrodeposited metal" is not limited to electrodeposited single metal. For example, "metal" may be a combination of tin and silver. In certain embodiments, the method is for electrodepositing copper (Cu). In some embodiments, the method is used to electrodeposit nickel (Ni), tin (Sn), or tin-silver alloy (SnAg).
在本說明書中,「半導體晶圓」一詞可指半導體裝置製造之任何階段處的基板,其包含其結構內各處的半導體材料。下面的詳細說明假設本發明實施例係於晶圓上實施。應了解,毋須暴露半導體晶圓中的半導體材料。具有覆蓋半導體材料之複數其他材料(售介電材料)之膜層的半導體晶圓為半導體基板的實例。下面的詳細說明假設所揭露的實施例係於半導體晶圓上實施,半導體晶圓例如是200 mm、300 mm、或450 mm的半導體晶圓。然而,所揭露之實施例不限於此。工作件可具有各種形狀、各種尺寸、及各種材料。除了半導體晶圓外,可受惠於所揭露之實施例的其他工作件包含各種物品如印刷電路板等。In this specification, the term "semiconductor wafer" may refer to a substrate at any stage of semiconductor device fabrication that contains semiconductor material throughout its structure. The following detailed description assumes that embodiments of the invention are implemented on a wafer. It should be appreciated that the semiconductor material in the semiconductor wafer need not be exposed. A semiconductor wafer having a plurality of layers of other materials (such as dielectric materials) covering the semiconductor material is an example of a semiconductor substrate. The following detailed description assumes that the disclosed embodiments are implemented on a semiconductor wafer, such as a 200 mm, 300 mm, or 450 mm semiconductor wafer. However, the disclosed embodiments are not limited thereto. Workpieces can be of various shapes, various sizes, and various materials. In addition to semiconductor wafers, other workpieces that may benefit from the disclosed embodiments include various items such as printed circuit boards and the like.
除非另外指出並非如此,如則伴隨數值一起使用的「約」一詞包含所述數值之±10%的範圍。Unless otherwise indicated, the word "about" used in conjunction with a numerical value includes a range of ±10% of the stated numerical value.
「脊部」一詞係指離子阻抗離子滲透元件之面基板側上的凸出部。在許多實施例中,脊部具有大於至少3:1的長度對高度之比例。脊部長度係通常至少與離子阻抗離子滲透元件之具有通道之部分共延伸。在某些實施例中離子阻抗離子滲透元件係自非導電性材料如聚碳酸酯之單一件所加工而成。在其他實施例中,脊部可為可移除的,且可被插入至離子阻性元件之具有通道之部分上的槽口中。The term "ridge" refers to a protrusion on the face substrate side of an ion-resistance ion-permeable element. In many embodiments, the ridges have a length to height ratio greater than at least 3:1. The ridge length is typically coextensive with at least the portion of the ion-resistance ion-permeable element having the channel. In certain embodiments the ion-resistance ion-permeable element is fabricated from a single piece of a non-conductive material such as polycarbonate. In other embodiments, the ridge can be removable and can be inserted into a notch on the portion of the ion-resistive element having the channel.
「離子阻性元件」、「離子阻抗離子滲透元件」、「具有通道之離子阻性板」等詞在文中可交換使用且意指具有複數通道允許電解液通過之由非導電性材料所製成的元件。在某些實施例中,元件對陽極與受到陰極偏壓的晶圓基板之間的離子流導入阻抗。The terms "ion-resistive element", "ion-resistance ion-permeable element", "ion-resistive plate with channels" are used interchangeably herein and mean a material made of non-conductive material having a plurality of channels to allow the passage of electrolyte components. In some embodiments, the element introduces resistance to ion flow between the anode and the wafer substrate that is cathodically biased.
「最大高度」一詞係指脊部的最大高度。例如,在邊緣處錐斜的脊部將在錐斜區域外具有最大高度。若脊部具有固定高度,則其最大高度將等於其固定高度。脊部之「全最大高度」係指複數脊部之最大的最大高度,例如脊部具有每一脊部具有5 mm之最大高度的複數脊部以及每一脊部具有3 mm之最大高度的複數脊部,則「全最大高度」將為5 mm。The term "maximum height" refers to the maximum height of the spine. For example, a ridge that tapers at the edge will have a maximum height outside the tapered region. If the spine has a fixed height, then its maximum height will be equal to its fixed height. "Full maximum height" of a ridge means the largest maximum height of a plurality of ridges, for example a plurality of ridges having a maximum height of 5 mm each and a plurality of ridges having a maximum height of 3 mm spine, the "full maximum height" will be 5 mm.
所提供之方法使用具有通道之離子阻性板(CIRP)控制鄰近基板之電解液流體動力學,其亦被稱為離子阻抗離子滲透元件。CIRP在晶圓基板之電鍍表面與CIRP之上部之間提供小通道(橫流歧管)。CIRP可具有許多功能,包含下列之至少一者:1)使離子流能自大致上位於CIRP下方的陽極流至晶圓;2)使流體能向上流過CIRP並大致上朝向晶圓表面;3)限制電解液流遠離並流出橫流歧管區域。在某些實施例中,橫流歧管區域中的電解液流包含經由CIRP中之孔洞向上的流體以及自通常位於CIRP上之橫流注射歧管進入而達晶圓之一側而產生電解液橫流的流體。在某些實施例中,設備係用以在某些條件下操作以產生橫跨基板之電鍍面之中心點之約3 cm/sec或更大(如約5 cm/s或更大、約10 cm/s或更大、約15 cm/s或更大、或約20 cm/s或更大)之平均電解液橫向速度。The provided method controls the electrolyte fluid dynamics adjacent to the substrate using an ion-resistive plate (CIRP) with channels, also known as an ion-resistance ion-permeable element. The CIRP provides small channels (lateral flow manifolds) between the plated surface of the wafer substrate and the top of the CIRP. The CIRP can have a number of functions, including at least one of: 1) enabling a flow of ions from the anode located substantially below the CIRP to the wafer; 2) enabling fluid flow up through the CIRP and generally toward the wafer surface; 3 ) restrict electrolyte flow away from and out of the cross-flow manifold area. In certain embodiments, the electrolyte flow in the region of the cross-flow manifold includes flow up through holes in the CIRP and into one side of the wafer from a cross-flow injection manifold typically located on the CIRP to create a cross-flow of electrolyte. fluid. In certain embodiments, the apparatus is used to operate under certain conditions to produce a velocity of about 3 cm/sec or greater (e.g., about 5 cm/s or greater, about 10 cm/sec) across the center point of the plated face of the substrate. cm/s or greater, about 15 cm/s or greater, or about 20 cm/s or greater) average electrolyte lateral velocity.
當使用具有均勻脊元件(相同高度之薄凸出部)之CIRP時,應提供橫跨CIRP之均勻流動干擾。然而,在某些情況中入口或出口效應可與脊元件交互作用,產生高度紊流之區域,導致非均勻電鍍效能。根據文中所提供的某些實施例,空間分佈之脊部可抵銷及最小化入口/出口紊流並產生更均勻電鍍的基板。When using a CIRP with uniform ridge elements (thin protrusions of the same height), a uniform flow disturbance across the CIRP should be provided. However, in some cases inlet or outlet effects can interact with the ridge elements, creating regions of highly turbulent flow, resulting in non-uniform plating performance. According to certain embodiments provided herein, spatially distributed ridges can counteract and minimize inlet/outlet turbulence and produce more uniformly plated substrates.
在一態樣中,提供一種電鍍設備,其中該電鍍設備包含:(a)一電鍍室,係用以在將金屬電鍍至一基板上時容納電解液及一陽極;(b)一基板支撐件,係用以在電鍍期間支撐該基板俾使該基板之一電鍍面係與該陽極分離;及一離子阻抗離子滲透元件,其位置俾使基板之工作表面與元件之面基板表面有一間隙。該離子阻性元件包含:一通道板,係適合在電鍍期間提供通過該離子阻性元件之離子傳輸;一面基板側,係與該基板之該電鍍面平行且以一間隙與該基板之該電鍍面分離;複數脊部,位於該離子阻性元件的該面基板側上,其中該複數脊部包含具有全最大高度之第一複數脊部及最大高度小於全最大高度之第二複數脊部。在某些實施例中,該設備更包含:該間隙之一入口,用以將橫流電解液引至該間隙;及該間隙之一出口,用以接收在該間隙中流動之該橫流電解液,其中在電鍍期間該入口及出口係鄰近該基板之該電鍍面上之方位角相對的周長位置。In one aspect, an electroplating apparatus is provided, wherein the electroplating apparatus comprises: (a) an electroplating chamber for containing an electrolyte and an anode when electroplating metal onto a substrate; (b) a substrate support , for supporting the substrate during electroplating so that one plated side of the substrate is separated from the anode; and an ion-resistance ion-permeable element positioned so that there is a gap between the working surface of the substrate and the face substrate surface of the element. The ion resistive element comprises: a channel plate adapted to provide ion transport through the ion resistive element during electroplating; a substrate side parallel to the electroplated side of the substrate and with a gap to the electroplated side of the substrate plane separation; a plurality of ridges located on the plane substrate side of the ion resistive element, wherein the plurality of ridges includes a first plurality of ridges having a full maximum height and a second plurality of ridges whose maximum height is less than the full maximum height. In some embodiments, the apparatus further comprises: an inlet of the gap for introducing a cross-flow electrolyte into the gap; and an outlet of the gap for receiving the cross-flow electrolyte flowing in the gap, Wherein during electroplating, the inlet and outlet are azimuthally opposite perimeter positions adjacent to the electroplating surface of the substrate.
使用離子阻抗離子滲透元件上之非均勻脊部,藉由調整間隙(亦被認知為橫流歧管或CIRP室)中之電解液對流,客製化基板處之流體力動學環境。Using non-uniform ridges on the ion-impedance ion-permeable element, the hydrodynamic environment at the substrate is customized by adjusting the electrolyte convection in the gap (also known as a cross-flow manifold or CIRP chamber).
圖1中顯示具有CIRP 101之電鍍設備100的實例,如上所述CIRP 101具有不同最大高度之脊部,圖1顯示設備100的概略橫剖面圖。電鍍設備100包含充滿了電解液(包含被電鍍的金屬的離子及選擇性的酸與電鍍添加物)的電鍍室102,電鍍室102容納在底部處的陽極103。陽極103可為活性或惰性陽極,其係電連接至電源並用以被正偏壓。在所示的實施例中,晶圓基板105係以面向下之位向受到基板支撐件107支撐並用以在電鍍期間被負偏壓並浸沒於電解液中。在所示的實施例中,設備更包含薄膜框109及安裝於薄膜框上109介於陽極103與受到陰極偏壓的晶圓基板105之間的薄膜。薄膜可為離子選擇性薄膜,可用以維持薄膜下方之陽極室111與薄膜上方之陰極室113中的不同組成。例如,在使用活性錫陽極同時進行錫銀電鍍期間,可使用薄膜抑制或避免陰極電解液中的銀離子傳輸至陽極電解液室。在所示的實施例中,CIRP 101係座落於陰極室113中並包含通道板114及複數脊部115(在此實施例中脊部本身並無通道),通道板114中的通道(未顯示)允許電解液流過CIRP 101,複數脊部115包含具有全最大高度之第一複數脊部(概略顯示為右側的7個脊部)及具有較小最大高度的第二複數脊部 (概略顯示為左側之4個較低的脊部)。電解液依箭頭所示被注射至入口117而進入橫流歧管(CIRP室)119並在遇到高度逐漸增加之脊部115後在未經歷非所欲之紊流的情況下便流至CIRP室119之出口121,出口121係鄰近基板之電鍍面之方位角相對之周長位置(相對於入口)。An example of an
用以輸送橫流電解液的流動路徑始於當其沿著垂直向上之方向通過板中之橫流饋送通道。接著,此流動路徑進入具有通道之離子阻性板之本體內所形成的橫流注射歧管。橫流注射歧管為方位角空腔,其為板內掘出通道,可將來自各種獨立饋送通道(如來自六個橫流饋送通道中之每一者)的流體分散至橫流噴淋頭板之各種複數流動分散孔洞。此橫流注射歧管可沿著具有通道之離子阻性板101之週邊或邊緣區域之角區段設置。在某些實施例中,橫流注射歧管形成C形結構,跨越板周長區域之約90-180°的角度。橫流注射歧管之細節並未顯示於圖1中以維持清晰顯示。The flow path for conveying the cross-flow electrolyte begins as it passes in a vertically upward direction through the cross-flow feed channels in the plate. This flow path then enters a cross-flow injection manifold formed within the body of the ion-resistive plate with channels. The cross-flow injection manifold is an azimuth cavity that is a bored channel in the plate that distributes fluid from various independent feed channels, such as from each of the six cross-flow feed channels, to the various points of the cross-flow showerhead plate. Plural flow disperse holes. This cross-flow injection manifold can be arranged along the corner sections of the perimeter or edge regions of the ion-
應了解,圖1中顯示設備概圖,即在真實的CIRP中可使用更大量的脊部。It should be appreciated that in Fig. 1 a device overview is shown, ie a larger number of spines could be used in a real CIRP.
在某些實施例中,脊部之全最大高度係小於約5 mm如介於約1-3 mm之間。在某些實施例中,脊部之總數係介於約15-30之間,具有小於全最大高度之較小最大高度之脊部的數目係介於約2-10 之間。In certain embodiments, the full maximum height of the ridges is less than about 5 mm, such as between about 1-3 mm. In certain embodiments, the total number of ridges is between about 15-30, and the number of ridges with a smaller maximum height less than the full maximum height is between about 2-10.
應注意,在所示的實施例中,相較於所有脊部皆具有相同最大高度的CIRP而言,在鄰近電解液入口117之處使用較低脊高能大幅減少紊流。是以紊流之減少能造成較佳的電鍍均勻度。又,在同時電鍍錫與銀的該些實施例中使用如圖1中所示之CIRP能在整個晶圓表面造成錫銀層中更均勻的銀含量。It should be noted that in the embodiment shown, the use of a lower ridge height adjacent to the
在各種情況中,CIRP為實心無孔隙之具有離子及電阻抗特性之介電材料所製成之碟。材料用於電鍍溶液中亦為化學穩定的。在某些情況中,CIRP為陶瓷(如氧化鋁、氧化錫、氧化鈦、或金屬氧化物之混合物)或塑膠材料(如聚乙烯、聚偏二氟乙烯(PVDF)、聚四氟乙烯、聚碸、聚氯乙烯(PVC)、聚碳酸酯等)所製成的,具有介於約6,000-12,000個無連通之貫孔。在許多實施 中,碟之具有通道之部分係至少實質上與晶圓之電鍍表面共延伸(如當與300 mm 晶圓一起使用時,CIRP碟具有約300 mm的直徑)且緊鄰於晶圓如位於晶圓面下電鍍設備中之晶圓的正下方。晶圓之電鍍表面較佳地座落在最接近之CIRP表面之約20 mm內更較佳地約5 mm內。In each case, CIRP is a solid, non-porous disk of dielectric material with ionic and electrical impedance properties. The material is also chemically stable for use in electroplating solutions. In some cases, CIRP is a ceramic (such as aluminum oxide, tin oxide, titanium oxide, or a mixture of metal oxides) or a plastic material (such as polyethylene, polyvinylidene fluoride (PVDF), polytetrafluoroethylene, poly made of polyvinyl chloride (PVC), polycarbonate, etc.), have between about 6,000-12,000 unconnected through-holes. In many implementations, the portion of the plate with the channel is at least substantially coextensive with the plated surface of the wafer (e.g., a CIRP plate has a diameter of about 300 mm when used with a 300 mm wafer) and is immediately adjacent to the wafer such as Located directly below the wafer in the under-wafer plating equipment. The plated surface of the wafer preferably sits within about 20 mm, more preferably within about 5 mm of the closest CIRP surface.
CIRP之另一特徵為貫孔之直徑或主要尺寸以及其和CIRP與基板之間之距離之間的關係。在某些實施例中,每一貫孔之直徑(或大部分貫孔之直徑、或貫孔之平均直徑係不大於約晶圓電鍍表面與CIRP之最接近表面之間的距離。是以在此在類實施例中,當CIRP被置於晶圓電鍍表面之約5 mm內時,貫孔之直徑或主要尺寸應不超過約5 mm。Another characteristic of CIRP is the diameter or major dimension of the via and its relationship to the distance between the CIRP and the substrate. In some embodiments, the diameter of each via (or the diameter of a majority of the vias, or the average diameter of the vias is no greater than about the distance between the plating surface of the wafer and the closest surface of the CIRP. Therefore, In such embodiments, when the CIRP is placed within about 5 mm of the plating surface of the wafer, the diameter or major dimension of the vias should not exceed about 5 mm.
如上,板之總離子及流動阻抗係取決於板厚度及總孔隙度(使液流能流過板之面積的分量)與孔洞之尺寸/直徑。具有較低孔隙度的板將具有較高的撞擊流速及離子阻抗。比較具有相同孔隙度的板,具有較小直徑之1-D孔洞(因此較多數目之1-D孔洞)的板將在晶圓上具有更微均勻之液流分佈,因為有更多獨立液流源能具有點源的功能,其能在相同的相隙上分散且亦具有較高的總壓降(高黏度流動阻抗)。As above, the overall ionic and flow resistance of the plate is dependent on the plate thickness and the total porosity (the component of the area that enables fluid to flow through the plate) and the size/diameter of the holes. Plates with lower porosity will have higher impingement flow rates and ionic impedance. Compared to plates with the same porosity, a plate with smaller diameter 1-D holes (and thus a greater number of 1-D holes) will have a more uniform flow distribution across the wafer because there are more individual liquid A flow source can have the function of a point source, which can be dispersed over the same phase gap and also have a higher total pressure drop (high viscosity flow resistance).
然而在某些情況中,如上所述離子阻性板是多孔隙的。板中的孔隙可能不會形成獨立的1-D通道,但可能會形成可互連或可不互連的貫孔網格。例如,板可包含互連通道之三維網路。應了解,除非文中另外指出並非如此,否則文中所用之具有通道之離子阻性板(CIRP)及具有通道之離子阻性元件等詞意在包含此實施例。 脊部錐斜 In some cases, however, the ion-resistive plate is porous as described above. The pores in the plate may not form independent 1-D channels, but may form a grid of through-holes that may or may not be interconnected. For example, a board may contain a three-dimensional network of interconnected channels. It should be understood that the terms ion-resistive plate with channels (CIRP) and ion-resistive element with channels as used herein are intended to encompass this embodiment unless the context indicates otherwise. conical ridge
在某些實施例中,CIRP 之獨立脊部具有可變高度例如在邊緣處為錐斜的。此類錐斜可改善鄰近脊部邊緣之基板處的電解液之流體動力學且亦可造成更均勻的電鍍。獨立脊部之脊高變化可單獨使用或與上述具有不同最大高度之脊部一起使用。圖2及圖3A-3D顯示CIRP 200之數個圖示,其包含具有不同最大高度之脊部以及具有錐斜邊緣之脊部,其可依圖1之設備中所示加以使用。圖2例示CIRP 200,其具有27個平行脊部,其中位於CIRP之一側處之脊部的最大高度係小於剩餘脊部之最大高度。又,此外,脊部之一部分係於邊緣處錐斜(脊部在邊緣處之高度係小於脊部之中心處的高度)。錐斜脊部可為具有全最大高度之脊部、具有較小最大高度的脊部、或可為兩者。例如,全最大高度可約為3 mm且此類脊部可在邊緣處錐斜或不錐斜。例如,參考圖2,CIRP 200包含在CIRP 200之一側處之具有全最大高度之無錐斜脊部201、在CIRP 200之一相對側處之具有較小最大高度的錐斜脊部203、及具有全最大高度的錐斜脊部205。圖3A顯示圖2中所示之CIRP 200之一部分的上視圖,其顯示脊高係小於全最大高度之新月形區域310。區域係藉由下列者所形成:邊緣處之錐斜部(其中獨立脊部的高度係朝向邊緣減少)的組合及最大高度小於全最大高度之脊部。在CIRP 200新月形區域310外的部分(被稱為區域320)中,脊部具有全高度。圖3B顯示此類CIRP 200之一部分的等視角圖,其更清楚地顯示邊緣處的脊部錐斜。圖3C顯示相同CIRP 200之一部分的橫剖面,其更清楚地顯示連續脊部之最大高度的逐漸增加。圖3D顯示相同CIRP 200之一部分的不同橫剖面,其顯示邊緣處的脊部錐斜。In certain embodiments, the individual ridges of the CIRP have variable heights, eg, are tapered at the edges. Such a taper can improve the hydrodynamics of the electrolyte at the substrate adjacent the edge of the ridge and can also result in more uniform plating. Ridge height variation of individual ridges can be used alone or in combination with ridges having different maximum heights as described above. 2 and 3A-3D show several illustrations of a
在圖1所示之設備中進行錫銀電鍍時使用圖2及3A-3D 中所示之CIRP(亦被稱為實施例1),將其結果與使用具有均勻脊部(所有脊部具有相同高度而無錐斜)之CIRP的結果相比較。圖4為實驗圖400,其顯示電鍍錫銀層中的銀含量(以%為單位)為均勻脊部CIRP(黑鑽石)及實施例1之CIRP(白鑽石)之徑向輪廓(以mm為單位)的函數。由圖可見,使用具有均勻脊部之CIRP會造成靠近晶圓邊緣之膜層比晶圓中央之膜層具有更多的銀含量。當使用實施例1之CIRP時,能有利地降低作為徑向位置函數的銀含量變異,且在所有徑向位置處銀含量實質上維持固定。圖5為實驗圖500,其顯示電鍍錫銀層的厚度(凸塊高度)為均勻脊部CIRP(黑鑽石)及實施例1之CIRP(白鑽石)之徑向位置(以mm為單位)的函數。從圖可見,使用均勻脊部CIRP會造成晶圓邊緣處之膜層厚度比晶圓中央之膜層厚度劇烈減少。當使用實施例1之CIRP時,可有利地恢復厚度均勻度,且在所有徑向位置處錫銀凸塊厚度實質上維持固定。一般相信,銀含量變異及沉積層均勻度兩者的改善皆歸因於實施例1之CIRP所達到的較少紊流。當電鍍錫銀時,在此實施例中於電解液中所提供之銀的量係少於錫的量,且沉積薄膜中的銀濃度係受到對流的限制。當邊緣處之紊流增加(遇到均勻脊部CIRP,因在電解液入口處遇到全高度第一脊部而產生電解液流中斷)時,可將較大量的銀包含至晶圓邊緣處的錫銀薄膜中。藉著提供在電解液入口處連續脊部之高度逐漸增加的CIRP及藉著實施例1之CIRP 提供邊緣處之脊部錐斜,可解決此問題。針對使用均勻脊部CIRP在邊緣處增加電鍍,在此情況中所增加的紊流會造成被添加至錫銀電鍍電解液之電鍍整平劑的功能增加。類似地,使用實施例1之CIRP能減少電解液入口及邊緣處的紊流,造成實質均勻的電鍍輪廓。Using the CIRP shown in FIGS. 2 and 3A-3D (also referred to as Example 1) for tin-silver plating in the apparatus shown in FIG. Height without cone) compared to CIRP results. Figure 4 is an experimental diagram 400 showing the silver content (in %) in the electroplated tin-silver layer as the radial profile (in mm) of the uniform ridge CIRP (black diamond) and the CIRP (white diamond) of Example 1 unit) function. As can be seen, using CIRP with uniform ridges results in layers near the edge of the wafer having more silver content than layers in the center of the wafer. When using the CIRP of Example 1, the variation in silver content as a function of radial position is advantageously reduced and the silver content remains substantially constant at all radial positions. 5 is an
圖6A、6B、及6C根據實施例2提供CIRP 600的不同視圖。實施例2係類似於實施例1,但與實施例1不同之處在於包含脊高小於全最大高度之區域(包含更短的脊部及錐斜脊部部分)的新月形區域610比實施例1之CIRP 200具有更大面積。具體而言,由圖6A可見,此區域的面積約CIRP之具有通道之部分的總面積的50%。剩餘為具有全高度之脊部的區域620。在某些實施例中,此區域的面積係介於CIRP之具有通道之面基板表面之總通積的約40-60%之間。6A, 6B, and 6C provide different views of
可使用具有非均勻脊部之CIRP改善電解液之流體動力學及改善各種其他實施例中的電鍍均勻度。當具有非均勻脊部之CIRP被用於橫流歧管中之入口與出口之間具有電解液橫流之電鍍設備中時,其尤其有用。圖7中例示許多CIRP實施例,其概略例示CIRP的上視圖,其中灰色區域代表脊高小於全最大高度的區域 (錐斜區域及/或最大高度小於全最大高度的脊部)。全最大高度之區域係顯示為白色區域。箭頭顯示電解液在CIRP上方流動的方向。在實施例3中,較小脊高之區域具有如實施例1中所示的新月形,但實施例3中之CIRP之位置俾使此新月形區域的位置鄰近橫流歧管之出口而非入口。此可用以減少因出口處之紊流所造成的任何非均勻性。在實施例4中,較小脊高之區域係位於CIRP之右及左邊緣(相對於電解液流之方向)。例如在此實施例中,實質上所有之脊部在邊緣處皆可錐斜。在實施例5中,較小脊高之區域具有環形(亦被稱為牛眼實施例)。在實施例6中,較小脊高之區域具有馬蹄形且係鄰近橫流歧管之入口設置。在實施例7中,較小脊高之區域具有馬丁尼杯之形狀,較大的面積係較靠近橫流歧管之入口。CIRPs with non-uniform ridges can be used to improve the fluid dynamics of the electrolyte and improve plating uniformity in various other embodiments. It is especially useful when CIRPs with non-uniform ridges are used in electroplating equipment with cross-flow of electrolyte between the inlet and outlet in the cross-flow manifold. A number of CIRP embodiments are illustrated in Figure 7, which schematically illustrates a top view of a CIRP, where the gray areas represent areas where the ridge height is less than the full maximum height (tapered regions and/or ridges whose maximum height is less than the full maximum height). Areas of full maximum height are shown as white areas. Arrows show the direction of electrolyte flow over the CIRP. In Example 3, the area of smaller ridge height has a crescent shape as shown in Example 1, but the CIRP in Example 3 is positioned such that this crescent-shaped area is located adjacent to the outlet of the cross flow manifold. non-entry. This can be used to reduce any non-uniformity due to turbulence at the outlet. In Example 4, the regions of smaller ridge height are located on the right and left edges of the CIRP (relative to the direction of electrolyte flow). For example in this embodiment, substantially all of the ridges may be tapered at the edges. In Example 5, the area of smaller ridge height has a ring shape (also known as the bull's eye example). In Example 6, the region of smaller ridge height has a horseshoe shape and is located adjacent to the inlet of the cross-flow manifold. In Example 7, the area of smaller ridge height has the shape of a martini cup and the larger area is closer to the inlet of the crossflow manifold.
在另一態樣中,提供一種在基板上電鍍金屬之方法,其中該方法包含:(a)在一基板支撐件中接收一基板,其中該基板之一電鍍面係受到裸露,其中該基板支撐件係用以在電鍍期間支撐該基板俾使該基板之該電鍍面係與一陽極分離;(b)將該基板浸沒於電解液中,其中一間隙係形成於該基板之該電鍍面與一離子阻性元件平面之間,其中該離子阻性元件係至少約與該基板之該電鍍面共延伸,其中該離子阻性元件包含適合在電鍍期間提供通過該離子阻性元件之離子傳輸的一通道板,其中該離子阻性元件包含位於該離子阻性元件之一面基板側上的複數脊部,其中該複數脊部包含具有全最大高度之第一複數脊部及最大高度小於全最大高度之第二複數脊部。該方法更包含:(c)使電解液(i)自一側入口流入該間隙中並自一側出口流出而使電解液與該基板支撐件中的該基板相接觸,其中該側入口及該側出口被設計或用以在電鍍期間於該間隙中產生橫流電解液;(d) 旋轉該基板支撐件;及(e)當在(c)中使電解液流動時將材料電鍍至該基板之該電鍍面上。In another aspect, a method of electroplating metal on a substrate is provided, wherein the method includes: (a) receiving a substrate in a substrate support, wherein a plated side of the substrate is exposed, wherein the substrate supports A member is used to support the substrate during electroplating so that the plated side of the substrate is separated from an anode; (b) immersing the substrate in an electrolyte, wherein a gap is formed between the plated side of the substrate and an anode between the planes of the ion-resistive element, wherein the ion-resistive element is at least about coextensive with the plated side of the substrate, wherein the ion-resistive element comprises an ion-resistive element adapted to provide ion transport through the ion-resistive element during electroplating A channel plate, wherein the ion resistive element comprises a plurality of ridges on one substrate side of the ion resistive element, wherein the plurality of ridges comprises a first plurality of ridges having a full maximum height and a plurality of ridges with a maximum height less than the full maximum height Second plural ridges. The method further includes: (c) causing electrolyte (i) to flow into the gap from a side inlet and out from a side outlet so that the electrolyte contacts the substrate in the substrate support, wherein the side inlet and the The side outlet is designed or used to create a lateral flow electrolyte in the gap during electroplating; (d) rotate the substrate support; and (e) plate material onto the substrate while the electrolyte is flowing in (c) the plated surface.
在另一態樣中,提供一種離子阻性板在電鍍設備中將材料電鍍至標準直徑之半導體晶圓上的用法,該離子阻性板包含:一圓形部,其具有與該半導體晶圓之一電鍍面共延伸的複數通道,其中該阻性板具有介於約2-25 mm的一厚度;及複數脊部,自該圓形部延伸,其中該複數脊部包含具有全最大高度之第一複數脊部及最大高度小於全最大高度之第二複數脊部。在某些實施例中,該全最大脊高約為5 mm或更小如約為約3 mm或更小。 系統 In another aspect, there is provided a method for electroplating materials onto semiconductor wafers with standard diameters using an ion-resistive plate in an electroplating device, the ion-resistive plate includes: a circular portion having a shape corresponding to the semiconductor wafer A plurality of channels coextensive on the plating surface, wherein the resistive plate has a thickness between about 2-25 mm; and a plurality of ridges extending from the circular portion, wherein the plurality of ridges include A first plurality of ridges and a second plurality of ridges having a maximum height less than the full maximum height. In certain embodiments, the full maximum ridge height is about 5 mm or less, such as about about 3 mm or less. system
文中所述之沉積方法可在各種配置包含了所提供之CIRP的電鍍設備中進行。The deposition methods described herein can be performed in various configurations of electroplating equipment including the provided CIRP.
適合的金屬沉積設備包含電鍍室及基板支撐件,電鍍室係用以支撐電解液及陽極的電鍍室,基板支撐件具有用以陰極偏壓基板用的接觸件。設備可用以在在電鍍期間旋轉基板。可以面向上或面向下的位向進行沉積。某些電鍍設備亦可垂直運行。適合設備的實例為加州佛里蒙之科林研發公司所販售的SABRE 3D設備。在某些實施例中,電鍍設備包含複數電鍍池(用以電鍍相同或不同金屬)及機器人設備,其中至少一電鍍池包含如文中所述之具有非均勻脊部的CIRP,機器人設備係用以在獨立的電鍍池之間傳送基板。在某些實施例中,設備更包含控制器,控制器包含用以使文中所述之任何方法受到執行的複數程式指令。A suitable metal deposition apparatus includes a plating chamber for supporting the electrolyte and an anode, and a substrate support having contacts for cathodically biasing the substrate. The apparatus can be used to rotate the substrate during electroplating. Deposition can be performed in a face-up or face-down orientation. Some electroplating equipment can also run vertically. An example of a suitable device is the SABER 3D device sold by Colin Research & Development, Fremont, CA. In certain embodiments, the electroplating apparatus comprises a plurality of electroplating cells (for electroplating the same or different metals) and a robotic device, wherein at least one of the electroplating cells comprises a CIRP with non-uniform ridges as described herein, and the robotic device is used to Transfer substrates between separate plating cells. In some embodiments, the apparatus further includes a controller including a plurality of programmed instructions for performing any of the methods described herein.
圖8中顯示用以電沉積金屬的整合設備。在此實施例中,設備800具有一組成雙或複數成雙組態之電鍍池807,每一電鍍池807包含含電解液之電解浴。除了電鍍本身之外,設備800可進行各種其他電鍍或電平坦化相關的處理及子步驟如旋轉沖洗、旋乾、金屬與矽的濕蝕刻、無電沉積、預濕與預化學處理、還原、退火、光阻剝除、及表面之預活化。在圖8中概略顯示設備800之上視圖,在圖中僅顯示單一階層或「樓板」,但在此領域具有通常知識者應了解,此類設備如科林研發之Sabre
TM3D設備可具有彼此上下「堆疊」的兩或更多階層,每一階層在潛在上具有相同或不同類型的處理站。在某些實施例中,不同金屬用的電鍍站係設置在設備的不同階層上。在其他實施例中,單一階層可包含用以電鍍第一及第二金屬兩者的電鍍站。
An integrated apparatus for electrodepositing metals is shown in FIG. 8 . In this embodiment, the
再次參考圖8,經由前端裝載FOUP(前裝載統一艙)801將欲受到電鍍之基板806大致上饋送至設備800,在此實例中基板係藉由前端機器人802自FOUP載帶至設備800的主要基板處理區域,前端機器人802可藉由心軸803驅動收回並沿著多維度將基板806自接取站的一站移動至另一站 – 接取站包含在此實例中所顯示的兩個前端接取站804及兩個前端接取站808。前端接取站804及808可包含例如前處理站及旋轉沖洗乾燥(SRD)站。使用機器人軌道802a完成前端機器人802自一側至另一側的橫向移動。可藉由連接至馬達之芯軸所驅動的橫/錐組件(未顯示)支撐基板806的每一者,馬達可附接至安裝托架809。在此實例中亦顯示四組成雙的電鍍池807,總共有八個電鍍池807。可使用電鍍池807電鍍不同金屬。在已於電鍍站807之一者中電鍍第一金屬之後,將基板傳送至設備之相同階層上或設備800之不同階層上之用以電鍍第二金屬的電鍍池。系統控制器(未顯示)可耦合至電沉積設備800以控制電沉積設備800之某些或所有特性。可程式化或以其他方式配置系統控制器,使其根據前文所述之處理執行指令。Referring again to FIG. 8 ,
系統控制器通常包含一或多個記憶體裝置及一或多個處理器,處理器係用以執行指令俾使設備根據本發明實施方法。包含用以根據本發明控制處理操作之指令的機器可讀媒體可耦合至系統控制器。The system controller usually includes one or more memory devices and one or more processors, and the processors are used to execute instructions to make the device implement the method according to the present invention. A machine-readable medium containing instructions to control processing operations in accordance with the present invention may be coupled to the system controller.
在某些實施例中,控制器為系統的一部分,系統可為上述實例的一部分。此類系統可包含半導體製程設備,其包含一製程工具或複數製程工具、一製程室或複數製程室、一製程平臺或複數製程平臺、及/或特定的製程元件(晶圓平臺、氣體流動系統等)。此些系統係與一些電子裝置整合,此些電子裝置係用以在半導體晶圓或基板處理之前、期間及之後控制系統的操作。此些電子裝置係稱為「控制器」,其可控制系統或複數系統的各種元件或子部件。取決於製程需求及/或系統類型,控制器可被程式化以控制文中所揭露的任何處理包含輸送電鍍液、溫度設定(如加熱及/或冷卻)、輸送至陰極之電壓、晶圓傳輸進入及離開工具與連接至系統或與特定系統交界的其他傳輸設備及/或裝載互鎖機構。In some embodiments, the controller is part of a system, which may be part of the examples described above. Such systems may include semiconductor process equipment that includes a process tool or tools, a process chamber or chambers, a process platform or platforms, and/or specific process components (wafer platforms, gas flow systems Wait). Such systems are integrated with electronic devices used to control the operation of the systems before, during and after semiconductor wafer or substrate processing. These electronic devices are referred to as "controllers" which can control various elements or subcomponents of a system or systems. Depending on the process requirements and/or system type, the controller can be programmed to control any of the processes disclosed herein including delivery of plating baths, temperature settings (e.g., heating and/or cooling), voltage delivery to the cathode, wafer transfer into and off-tool and other transfer equipment and/or load interlocks connected to the system or interfaced with a specific system.
概括地說,控制器可被定義為具有各種積體電路、邏輯、記憶體及/或軟體的電子裝置,其可接收指令、發佈指令、控制操作、致能清潔操作、致能終點量測等。積體電路可包含儲存了程式指令之具有韌體形式的晶片、數位訊號處理器(DSP)、被定義為應用特定積體電路(ASIC)的晶片、一或多個微處理器、或能執行程式指令(如軟體)的微控制器。程式指令可為與控制器通訊之具有各種獨立設定(或程式檔案)形式的指令,其定義為了在半導體晶圓上或針對半導體晶圓或針對系統進行一特定製程所用的操作參數。在某些實施例中,操作參數為製程工程師為了完成一或多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓之晶粒之製造期間的一或多個製程步驟所定義之配方的一部分。In general, a controller can be defined as an electronic device with various integrated circuits, logic, memory and/or software, which can receive instructions, issue instructions, control operations, enable cleaning operations, enable endpoint measurement, etc. . An integrated circuit may include a chip in the form of firmware storing program instructions, a digital signal processor (DSP), a chip defined as an application-specific integrated circuit (ASIC), one or more microprocessors, or a chip capable of executing A microcontroller with programmed instructions (such as software). Program instructions may be in the form of various independent settings (or program files) communicated with the controller, which define operating parameters for a specific process on or for the semiconductor wafer or for the system. In some embodiments, an operating parameter is one or more parameters that a process engineer performs during fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer. Part of a recipe defined by multiple processing steps.
在某些實施例中控制器為整合至系統、耦合至系統、藉由網路連接至系統、或其組合的電腦的一部分或控制器耦合至電腦。例如,控制器可位於「雲端」中或工廠主機電腦系統的全部或部分中,這允許使用者遠端接取晶圓處理。電腦可致能遠端接取系統以監控製造操作的目前進展、檢視過去製造操作的歷程、自複數製造操作檢視驅勢或效能度量、改變現有處理的參數、設定製程步驟以符合現有製程、或開始一新的製程。在某些實例中,遠端電腦(或伺服器)可經由電腦網路對系統提供處理配方,網路包含區域網路或網際網路。遠端電腦可包含使用者介面,使用者介面讓使用者能進入或程式化參數及/或設定,然後自遠端電腦與系統通訊。在某些實例中,控制器接收具有數據形式的指令,此些指令明白指出在一或多個操作期間欲施行之製程步驟之每一者用的參數。應瞭解,參數係特別針對欲施行之處理的類型及控制器用以交界或控制之工具的類型。因此如上所述,可分散控制器如藉著包含一或多個藉由網路互連並朝向共同目的如文中所述之處理與控制工作的離散控制器。為了此類目的的分散控制器的實例為處理室上的一或多個積體電路,其係與一或多個位於遠端(例如位於平臺位準或遠端電腦的一部分)的積體電路通訊而共同控制處理室上的處理。In some embodiments the controller is part of or the controller is coupled to a computer integrated into the system, coupled to the system, connected to the system via a network, or a combination thereof. For example, the controller may reside in the "cloud" or in all or part of the factory's mainframe computer system, which allows users to remotely access wafer processing. The computer can enable remote access to the system to monitor the current progress of a manufacturing operation, view the history of past manufacturing operations, view trends or performance metrics from multiple manufacturing operations, change parameters of an existing process, set process steps to match an existing process, or Start a new process. In some examples, a remote computer (or server) can provide processing recipes to the system via a computer network, which includes a local area network or the Internet. The remote computer may include a user interface that allows a user to enter or program parameters and/or settings and then communicate with the system from the remote computer. In some examples, the controller receives instructions in the form of data specifying parameters for each of the process steps to be performed during one or more operations. It should be appreciated that the parameters are specific to the type of process to be performed and the type of tool the controller is using to interface or control. Thus, as described above, controllers can be distributed eg by comprising one or more discrete controllers interconnected by a network and working toward a common purpose of processing and control as described herein. An example of a decentralized controller for such purposes is one or more integrated circuits on a processing chamber that are connected to one or more integrated circuits located remotely (such as at platform level or as part of a remote computer) Communication to jointly control the processing on the processing chamber.
不受限地,例示性的系統可包含電漿蝕刻室或模組、沉積室或模組、旋轉沖洗室或模組、金屬鍍室或模組、清潔室或模組、邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、原子層沉積(ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、軌道室或模組、及和半導體晶圓之製造相關或用於製造的任何其他半導體處理系統。Without limitation, exemplary systems may include plasma etch chambers or modules, deposition chambers or modules, spin rinse chambers or modules, metal plating chambers or modules, clean chambers or modules, edge etch chambers or modules group, physical vapor deposition (PVD) chamber or module, chemical vapor deposition (CVD) chamber or module, atomic layer deposition (ALD) chamber or module, atomic layer etching (ALE) chamber or module, ion implantation Entry chambers or modules, orbital chambers or modules, and any other semiconductor processing systems associated with or used in the fabrication of semiconductor wafers.
如上所述,取決於工具所欲進行的處理步驟或複數步驟,控制器可與下列的一或多者通訊交流:其他工具的電路或模組、其他工具的元件、叢集工具、其他工具的界面、相鄰工具、鄰近工具、位於工廠內的工具、主電腦、另一控制器、或半導體製造工廠中用以將晶圓容器載入與載出工具位置及/或裝載接口的材料運輸用工具。 圖案化方法 / 設備 As mentioned above, depending on the processing step or steps to be performed by the tool, the controller may communicate with one or more of the following: circuits or modules of other tools, components of other tools, cluster tools, interfaces of other tools , an adjacent tool, an adjacent tool, a tool located in a fab, a host computer, another controller, or a material transport tool for loading and unloading wafer containers into and out of a tool location and/or loading interface in a semiconductor fabrication facility . Patterning method / equipment
上文中所述的各種設備/處理可與微影圖案化設備或處理一起使用,例如用以製造半導體裝置、顯示器、LED、光伏面板等的微影圖案化設備或製程。一般而言,雖然沒有必要,但此些設備/處理會在一共同的製造廠房中一起使用或進行。薄膜的微影圖案化通常包含下列步驟的部分者或全部,每一步驟可由許多可能的設備達成:(1)利用旋塗或噴塗設備將光阻施加至一工作件上;(2)利用熱板、爐管或UV固化設備固化光阻;(3)利用如晶圓步進機的一設備將光阻曝露至可見光或UV或EUV或X射線;(4)利用如濕式槽的一工具顯影光阻以選擇性地移除光阻並藉此將其圖案化;(5)利用一乾式或電漿輔助蝕刻工具將光阻圖案轉移至下方膜層或工作件中;及(6)利用如RF或微波電漿光阻剝除設備的一工具移除光阻。The various devices/processes described above may be used with lithographic patterning devices or processes, such as those used to manufacture semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Typically, though not necessarily, such equipment/processes will be used or performed together in a common manufacturing plant. Photolithographic patterning of thin films typically involves some or all of the following steps, each of which can be accomplished by a number of possible devices: (1) applying photoresist to a workpiece using spin-coating or spray-coating equipment; (2) applying thermal (3) using a device such as a wafer stepper to expose the photoresist to visible light or UV or EUV or X-rays; (4) using a tool such as a wet bath developing the photoresist to selectively remove and thereby pattern the photoresist; (5) using a dry or plasma-assisted etch tool to transfer the photoresist pattern into the underlying film or workpiece; and (6) using A tool such as RF or microwave plasma photoresist stripping equipment removes the photoresist.
100:電鍍設備
101、200、600:具有通道之離子阻性板/CIRP
102:電鍍室
103:陽極
105:晶圓基板
107:基板支撐件
109:薄膜框
111:陽極室
113:陰極室
114:通道板
115:脊部
117:入口
119:CIRP室
121:出口
201:無錐斜脊部
203:錐斜脊部
205:錐斜脊部
310、610:新月形區域
620、320:區域
400:實驗圖
500:實驗圖
800:設備
802:前端機器人
802a:機器人軌道
801:前裝載統一艙/FOUP
804:前端接取站
806:基板
808:前端接取站
807:電鍍池
809:安裝托架
100:
圖1為根據文中所提供之一實施例之具有離子阻性元件之電鍍設備的概略橫剖面圖。FIG. 1 is a schematic cross-sectional view of an electroplating apparatus with an ion-resistive element according to one embodiment provided herein.
圖2為根據文中所提供之一實施例之離子阻性元件的視圖。FIG. 2 is a view of an ion-resistive element according to one embodiment provided herein.
圖3A為圖2中所示之離子阻性元件之一部分的上視圖。FIG. 3A is a top view of a portion of the ion-resistive element shown in FIG. 2. FIG.
圖3B為圖2中所示之離子阻性元件之一部分的另一視圖。FIG. 3B is another view of a portion of the ion-resistive element shown in FIG. 2. FIG.
圖3C為圖2中所示之離子阻性元件之一部分的橫剖面圖。FIG. 3C is a cross-sectional view of a portion of the ion-resistive element shown in FIG. 2. FIG.
圖3D為圖2中所示之離子阻性元件之一部分的不同橫剖面圖。FIG. 3D is a different cross-sectional view of a portion of the ion-resistive element shown in FIG. 2. FIG.
圖4之實驗圖例示在經電沉積之錫銀層中的銀含量(以%為單位)為均勻脊部CIRP(上曲線)及實施例1之CIRP之徑向輪廓(以mm為單位)的函數。Figure 4 is an experimental graph illustrating the silver content (in %) in the electrodeposited tin-silver layer as a uniform ridge CIRP (upper curve) and the radial profile (in mm) of the CIRP of Example 1 function.
圖5之實驗圖例示在經電沉積之錫銀層的厚度(凸塊高度)為均勻脊部CIRP及實施例1之CIRP之徑向位置(以mm為單位)的函數。Figure 5 is an experimental graph illustrating the thickness (bump height) of the electrodeposited tin-silver layer as a function of the radial position (in mm) of the uniform ridge CIRP and the CIRP of Example 1.
圖6A為根據文中所提供之一實施例之阻性元件的上視圖。FIG. 6A is a top view of a resistive element according to one embodiment provided herein.
圖6B為根據文中所提供之一實施例之阻性元件之一部分的視圖。6B is a view of a portion of a resistive element according to one embodiment provided herein.
圖6C為根據文中所提供之一實施例之阻性元件之一部分的橫剖面圖。6C is a cross-sectional view of a portion of a resistive element according to one embodiment provided herein.
圖7例示根據文中所提供之實施例之不同離子阻性元件的上視圖。FIG. 7 illustrates top views of different ion-resistive elements according to embodiments provided herein.
圖8為根據文中所提供之一實施例之用於電沉積金屬之整合設備的概略圖。8 is a schematic diagram of an integrated apparatus for electrodepositing metals according to one embodiment provided herein.
100:電鍍設備 100: Electroplating equipment
101:具有通道之離子阻性板/CIRP 101: Ion Resistive Plates with Channels/CIRP
102:電鍍室 102: Electroplating room
103:陽極 103: anode
105:晶圓基板 105: Wafer substrate
107:基板支撐件 107: substrate support
109:薄膜框 109: film frame
111:陽極室 111: anode chamber
113:陰極室 113: cathode chamber
114:通道板 114: Channel plate
115:脊部 115: Ridge
117:入口 117: Entrance
119:CIRP室 119: CIRP Room
121:出口 121: export
Claims (27)
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202163199896P | 2021-02-01 | 2021-02-01 | |
US63/199,896 | 2021-02-01 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202248466A true TW202248466A (en) | 2022-12-16 |
Family
ID=82654875
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW111103814A TW202248466A (en) | 2021-02-01 | 2022-01-28 | Spatially and dimensionally non-uniform channelled plate for tailored hydrodynamics during electroplating |
Country Status (5)
Country | Link |
---|---|
US (1) | US20240076795A1 (en) |
KR (1) | KR20230136017A (en) |
CN (1) | CN115803480A (en) |
TW (1) | TW202248466A (en) |
WO (1) | WO2022164695A1 (en) |
Family Cites Families (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW197534B (en) * | 1991-03-21 | 1993-01-01 | Eltech Systems Corp | |
US20120024713A1 (en) * | 2010-07-29 | 2012-02-02 | Preisser Robert F | Process for electrodeposition of copper chip to chip, chip to wafer and wafer to wafer interconnects in through-silicon vias (tsv) with heated substrate and cooled electrolyte |
US9534308B2 (en) * | 2012-06-05 | 2017-01-03 | Novellus Systems, Inc. | Protecting anodes from passivation in alloy plating systems |
US10014170B2 (en) * | 2015-05-14 | 2018-07-03 | Lam Research Corporation | Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity |
US11001934B2 (en) * | 2017-08-21 | 2021-05-11 | Lam Research Corporation | Methods and apparatus for flow isolation and focusing during electroplating |
-
2022
- 2022-01-19 US US18/261,734 patent/US20240076795A1/en active Pending
- 2022-01-19 WO PCT/US2022/012969 patent/WO2022164695A1/en active Application Filing
- 2022-01-19 KR KR1020227044603A patent/KR20230136017A/en active Pending
- 2022-01-19 CN CN202280005381.5A patent/CN115803480A/en active Pending
- 2022-01-28 TW TW111103814A patent/TW202248466A/en unknown
Also Published As
Publication number | Publication date |
---|---|
WO2022164695A1 (en) | 2022-08-04 |
CN115803480A (en) | 2023-03-14 |
KR20230136017A (en) | 2023-09-26 |
US20240076795A1 (en) | 2024-03-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102533812B1 (en) | Control of electrolyte flow dynamics for uniform electroplating | |
KR102652962B1 (en) | Methods and apparatus for flow separation and focus during electroplating | |
US10006144B2 (en) | Method and apparatus for filling interconnect structures | |
KR102733599B1 (en) | Low temperature copper-copper direct bonding | |
CN110306224B (en) | Apparatus and method for electroplating metals using an ionically resistive ionically permeable element | |
KR102563118B1 (en) | Convection Optimization for Mixed Feature Electroplating | |
US10301739B2 (en) | Anisotropic high resistance ionic current source (AHRICS) | |
CN111094636B (en) | Method and apparatus for controlling delivery of cross-flow and impinging electrolyte during electroplating | |
KR20200024361A (en) | Eliminates Electro-Metal Oxide in Manufacturing Through Mask Interconnect | |
US20140124361A1 (en) | Method and apparatus for filling interconnect structures | |
TW202302922A (en) | Electrodeposition of metals using an ionically resistive ionically permeable element or a shield spatially tailored to die-level patterns on a substrate | |
KR20210021098A (en) | Method and apparatus for synchronized pressure regulation in separate anode chambers | |
CN210215601U (en) | Active anode and electroplating device for electroplating metal on substrate | |
CN108330518B (en) | Method and apparatus for filling an interconnect structure | |
TW202248466A (en) | Spatially and dimensionally non-uniform channelled plate for tailored hydrodynamics during electroplating |