TW202127511A - Method of forming semiconductor device - Google Patents
Method of forming semiconductor device Download PDFInfo
- Publication number
- TW202127511A TW202127511A TW109132853A TW109132853A TW202127511A TW 202127511 A TW202127511 A TW 202127511A TW 109132853 A TW109132853 A TW 109132853A TW 109132853 A TW109132853 A TW 109132853A TW 202127511 A TW202127511 A TW 202127511A
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- semiconductor
- layers
- substrate
- aforementioned
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 293
- 238000000034 method Methods 0.000 title claims abstract description 46
- 239000000758 substrate Substances 0.000 claims abstract description 116
- 239000000463 material Substances 0.000 claims abstract description 31
- 239000000203 mixture Substances 0.000 claims abstract description 8
- 238000000059 patterning Methods 0.000 abstract description 7
- 239000010410 layer Substances 0.000 description 547
- 108091006146 Channels Proteins 0.000 description 65
- 229910052710 silicon Inorganic materials 0.000 description 45
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 43
- 239000010703 silicon Substances 0.000 description 43
- 230000005669 field effect Effects 0.000 description 35
- 125000006850 spacer group Chemical group 0.000 description 26
- 229910052581 Si3N4 Inorganic materials 0.000 description 25
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 25
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 23
- 238000005229 chemical vapour deposition Methods 0.000 description 21
- 239000011810 insulating material Substances 0.000 description 20
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 17
- 229910052814 silicon oxide Inorganic materials 0.000 description 17
- 238000000231 atomic layer deposition Methods 0.000 description 15
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 12
- 238000005530 etching Methods 0.000 description 12
- 229910052732 germanium Inorganic materials 0.000 description 12
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 12
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 12
- 239000011229 interlayer Substances 0.000 description 11
- 239000003989 dielectric material Substances 0.000 description 9
- 238000002955 isolation Methods 0.000 description 8
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 8
- 229910021332 silicide Inorganic materials 0.000 description 8
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 8
- 229910010271 silicon carbide Inorganic materials 0.000 description 8
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 7
- 239000012212 insulator Substances 0.000 description 7
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 6
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 6
- -1 but not limited to Substances 0.000 description 6
- 229910017052 cobalt Inorganic materials 0.000 description 6
- 239000010941 cobalt Substances 0.000 description 6
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 6
- 229910052733 gallium Inorganic materials 0.000 description 6
- 239000005368 silicate glass Substances 0.000 description 6
- 229910052715 tantalum Inorganic materials 0.000 description 6
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 6
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 6
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 5
- KXNLCSXBJCPWGL-UHFFFAOYSA-N [Ga].[As].[In] Chemical compound [Ga].[As].[In] KXNLCSXBJCPWGL-UHFFFAOYSA-N 0.000 description 5
- 239000004020 conductor Substances 0.000 description 5
- 238000005240 physical vapour deposition Methods 0.000 description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 5
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 5
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 235000011114 ammonium hydroxide Nutrition 0.000 description 4
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 4
- 229910052735 hafnium Inorganic materials 0.000 description 4
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 4
- 239000012535 impurity Substances 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 238000000926 separation method Methods 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 229910003468 tantalcarbide Inorganic materials 0.000 description 4
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 3
- 229910005542 GaSb Inorganic materials 0.000 description 3
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 3
- AUCDRFABNLOFRE-UHFFFAOYSA-N alumane;indium Chemical compound [AlH3].[In] AUCDRFABNLOFRE-UHFFFAOYSA-N 0.000 description 3
- RVSGESPTHDDNTH-UHFFFAOYSA-N alumane;tantalum Chemical compound [AlH3].[Ta] RVSGESPTHDDNTH-UHFFFAOYSA-N 0.000 description 3
- DLISVFCFLGSHAB-UHFFFAOYSA-N antimony arsenic Chemical compound [As].[Sb] DLISVFCFLGSHAB-UHFFFAOYSA-N 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 230000009969 flowable effect Effects 0.000 description 3
- VTGARNNDLOTBET-UHFFFAOYSA-N gallium antimonide Chemical compound [Sb]#[Ga] VTGARNNDLOTBET-UHFFFAOYSA-N 0.000 description 3
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- RJAVVKVGAZUUIE-UHFFFAOYSA-N stibanylidynephosphane Chemical compound [Sb]#P RJAVVKVGAZUUIE-UHFFFAOYSA-N 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 2
- VSTCOQVDTHKMFV-UHFFFAOYSA-N [Ti].[Hf] Chemical compound [Ti].[Hf] VSTCOQVDTHKMFV-UHFFFAOYSA-N 0.000 description 2
- 239000000908 ammonium hydroxide Substances 0.000 description 2
- ONRPGGOGHKMHDT-UHFFFAOYSA-N benzene-1,2-diol;ethane-1,2-diamine Chemical compound NCCN.OC1=CC=CC=C1O ONRPGGOGHKMHDT-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 238000010924 continuous production Methods 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- IJKVHSBPTUYDLN-UHFFFAOYSA-N dihydroxy(oxo)silane Chemical compound O[Si](O)=O IJKVHSBPTUYDLN-UHFFFAOYSA-N 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 239000002135 nanosheet Substances 0.000 description 2
- 230000003071 parasitic effect Effects 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 229910019001 CoSi Inorganic materials 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- 229910016006 MoSi Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 108090000699 N-Type Calcium Channels Proteins 0.000 description 1
- 102000004129 N-Type Calcium Channels Human genes 0.000 description 1
- 229910005883 NiSi Inorganic materials 0.000 description 1
- 108010075750 P-Type Calcium Channels Proteins 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- 239000011358 absorbing material Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- AIOWANYIHSOXQY-UHFFFAOYSA-N cobalt silicon Chemical compound [Si].[Co] AIOWANYIHSOXQY-UHFFFAOYSA-N 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 150000002291 germanium compounds Chemical class 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- ZQXQADNTSSMHJI-UHFFFAOYSA-N hafnium(4+) oxygen(2-) tantalum(5+) Chemical compound [O-2].[Ta+5].[Hf+4] ZQXQADNTSSMHJI-UHFFFAOYSA-N 0.000 description 1
- KQHQLIAOAVMAOW-UHFFFAOYSA-N hafnium(4+) oxygen(2-) zirconium(4+) Chemical compound [O--].[O--].[O--].[O--].[Zr+4].[Hf+4] KQHQLIAOAVMAOW-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- GALOTNBSUVEISR-UHFFFAOYSA-N molybdenum;silicon Chemical compound [Mo]#[Si] GALOTNBSUVEISR-UHFFFAOYSA-N 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 1
- 229910021334 nickel silicide Inorganic materials 0.000 description 1
- PEUPIGGLJVUNEU-UHFFFAOYSA-N nickel silicon Chemical compound [Si].[Ni] PEUPIGGLJVUNEU-UHFFFAOYSA-N 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- JMANVNJQNLATNU-UHFFFAOYSA-N oxalonitrile Chemical compound N#CC#N JMANVNJQNLATNU-UHFFFAOYSA-N 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 238000001259 photo etching Methods 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 150000003377 silicon compounds Chemical class 0.000 description 1
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 description 1
- WNUPENMBHHEARK-UHFFFAOYSA-N silicon tungsten Chemical compound [Si].[W] WNUPENMBHHEARK-UHFFFAOYSA-N 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 229910021341 titanium silicide Inorganic materials 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/02—Manufacture or treatment characterised by using material-based technologies
- H10D84/03—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology
- H10D84/038—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology using silicon technology, e.g. SiGe
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
- H01L21/02603—Nanowires
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/30604—Chemical etching
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/014—Manufacture or treatment of FETs having zero-dimensional [0D] or one-dimensional [1D] channels, e.g. quantum wire FETs, single-electron transistors [SET] or Coulomb blockade transistors
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/031—Manufacture or treatment of FETs having insulated gates [IGFET] of thin-film transistors [TFT]
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/40—FETs having zero-dimensional [0D], one-dimensional [1D] or two-dimensional [2D] charge carrier gas channels
- H10D30/43—FETs having zero-dimensional [0D], one-dimensional [1D] or two-dimensional [2D] charge carrier gas channels having 1D charge carrier gas channels, e.g. quantum wire FETs or transistors having 1D quantum-confined channels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/67—Thin-film transistors [TFT]
- H10D30/6704—Thin-film transistors [TFT] having supplementary regions or layers in the thin films or in the insulated bulk substrates for controlling properties of the device
- H10D30/6713—Thin-film transistors [TFT] having supplementary regions or layers in the thin films or in the insulated bulk substrates for controlling properties of the device characterised by the properties of the source or drain regions, e.g. compositions or sectional shapes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/67—Thin-film transistors [TFT]
- H10D30/6729—Thin-film transistors [TFT] characterised by the electrodes
- H10D30/673—Thin-film transistors [TFT] characterised by the electrodes characterised by the shapes, relative sizes or dispositions of the gate electrodes
- H10D30/6735—Thin-film transistors [TFT] characterised by the electrodes characterised by the shapes, relative sizes or dispositions of the gate electrodes having gates fully surrounding the channels, e.g. gate-all-around
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/67—Thin-film transistors [TFT]
- H10D30/6757—Thin-film transistors [TFT] characterised by the structure of the channel, e.g. transverse or longitudinal shape or doping profile
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/67—Thin-film transistors [TFT]
- H10D30/6758—Thin-film transistors [TFT] characterised by the insulating substrates
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D62/00—Semiconductor bodies, or regions thereof, of devices having potential barriers
- H10D62/10—Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
- H10D62/117—Shapes of semiconductor bodies
- H10D62/118—Nanostructure semiconductor bodies
- H10D62/119—Nanowire, nanosheet or nanotube semiconductor bodies
- H10D62/121—Nanowire, nanosheet or nanotube semiconductor bodies oriented parallel to substrates
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/01—Manufacture or treatment
- H10D64/017—Manufacture or treatment using dummy gates in processes wherein at least parts of the final gates are self-aligned to the dummy gates, i.e. replacement gate processes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/01—Manufacture or treatment
- H10D64/018—Spacers formed inside holes at the prospective gate locations, e.g. holes left by removing dummy gates
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0158—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including FinFETs
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y10/00—Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D62/00—Semiconductor bodies, or regions thereof, of devices having potential barriers
- H10D62/10—Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
- H10D62/13—Semiconductor regions connected to electrodes carrying current to be rectified, amplified or switched, e.g. source or drain regions
- H10D62/149—Source or drain regions of field-effect devices
- H10D62/151—Source or drain regions of field-effect devices of IGFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D62/00—Semiconductor bodies, or regions thereof, of devices having potential barriers
- H10D62/10—Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
- H10D62/17—Semiconductor regions connected to electrodes not carrying current to be rectified, amplified or switched, e.g. channel regions
- H10D62/351—Substrate regions of field-effect devices
- H10D62/357—Substrate regions of field-effect devices of FETs
- H10D62/364—Substrate regions of field-effect devices of FETs of IGFETs
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Chemical & Material Sciences (AREA)
- Power Engineering (AREA)
- Nanotechnology (AREA)
- Crystallography & Structural Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
Description
本發明實施例內容是有關於一種半導體裝置的形成方法,特別是有關於一種環繞式閘極場效電晶體(GAA FET)裝置的形成方法,以增進所製得的半導體裝置的性能。The content of the embodiments of the present invention relates to a method for forming a semiconductor device, and more particularly to a method for forming a wraparound gate field effect transistor (GAA FET) device, so as to improve the performance of the manufactured semiconductor device.
隨著半導體工業演進至奈米技術製程節點,以追求更高的裝置密度、更高的效能與更低的成本,來自於製造與設計問題的挑戰係進而發展出了三維(three-dimensional)設計,例如多閘極場效電晶體(field effect transistor,FET),其包含鰭型場效電晶體(FinFET)及環繞式閘極(gate-all-around,GAA)場效電晶體。在鰭型場效電晶體中,一個閘極電極係相鄰於一通道區的三個側面,且具有閘極介電層設置於其間。由於閘極結構環繞(包裹)於鰭部的三個側面上,電晶體基本上具有三個閘極控制通過鰭部或通道區的電流。而鰭部的第四個側面,即通道的底部,則實質上不受閘極的控制。相反的,在環繞式閘極場效電晶體中,通道區之全部側面皆被閘極電極所環繞,其允許通道區中更充分的空乏(depletion),而且因為更陡的次臨界電流擺幅(sub-threshold current swing)以及更小的汲極引致能障下降(drain induced barrier lowering),因而產生較少的短通道效應(short-channel effects)。隨著電晶體尺寸持續縮小,實需要更進一步的改良環繞式閘極場效電晶體(GAA FinFET)。As the semiconductor industry evolves to the nanotechnology process node, in pursuit of higher device density, higher performance and lower cost, challenges from manufacturing and design issues have led to the development of three-dimensional designs. For example, multi-gate field effect transistors (FETs) include fin field effect transistors (FinFET) and gate-all-around (GAA) field effect transistors. In the fin-type field effect transistor, a gate electrode is adjacent to three sides of a channel region, and a gate dielectric layer is arranged between them. Since the gate structure surrounds (wraps) on the three sides of the fin, the transistor basically has three gates to control the current through the fin or the channel area. The fourth side of the fin, that is, the bottom of the channel, is not substantially controlled by the gate. In contrast, in a wrap-around gate field effect transistor, all sides of the channel region are surrounded by gate electrodes, which allows more depletion in the channel region, and because of the steeper subcritical current swing (sub-threshold current swing) and a smaller drain lead to drain induced barrier lowering, resulting in less short-channel effects. As the size of transistors continues to shrink, there is a real need to further improve the surrounding gate field effect transistors (GAA FinFET).
本發明的一些實施例提供一種半導體裝置的形成方法。此形成方法包括:在一半導體基底之上形成一絕緣層;在前述絕緣層之上形成一含有半導體之基底(semiconductor-containing substrate);在前述含有半導體之基底之上形成包含第一半導體層及第二半導體層的一堆疊,其中此些第一半導體層及此些第二半導體層具有不同的材料組成,且在前述堆疊中彼此交替設置;對前述絕緣層、前述含有半導體之基底、以及包含此些第一半導體層及此些第二半導體層的前述堆疊進行圖案化,而形成一鰭部結構(fin structure),前述鰭部結構包括了含有此些第一半導體層的犧牲層(sacrificial layers)以及含有此些第二半導體層的通道層(channel layers);於鄰近前述鰭部結構的此些通道層形成源極/汲極部件(source/drain features);去除前述鰭部結構的此些犧牲層,使得前述鰭部結構的此些通道層露出。此形成方法更包括形成一閘極結構(gate structure)環繞露出的此些通道層,其中前述含有半導體之基底的一底表面係物理性接觸前述絕緣層的一頂表面,其中前述絕緣層及前述含有半導體之基底係設置在前述閘極結構的一最底部分(bottommost portion)和前述半導體基底之間。Some embodiments of the present invention provide a method of forming a semiconductor device. The forming method includes: forming an insulating layer on a semiconductor substrate; forming a semiconductor-containing substrate on the insulating layer; forming a semiconductor-containing substrate on the semiconductor-containing substrate; A stack of second semiconductor layers, where the first semiconductor layers and the second semiconductor layers have different material compositions and are alternately arranged in the stack; for the insulating layer, the semiconductor-containing substrate, and the The aforementioned stack of the first semiconductor layers and the second semiconductor layers is patterned to form a fin structure. The fin structure includes sacrificial layers containing the first semiconductor layers. ) And channel layers containing these second semiconductor layers; forming source/drain features (source/drain features) on the channel layers adjacent to the aforementioned fin structure; removing these of the aforementioned fin structure The sacrificial layer exposes the channel layers of the aforementioned fin structure. The forming method further includes forming a gate structure around the exposed channel layers, wherein a bottom surface of the semiconductor-containing substrate physically contacts a top surface of the insulating layer, wherein the insulating layer and the aforementioned insulating layer The substrate containing the semiconductor is disposed between a bottommost portion of the aforementioned gate structure and the aforementioned semiconductor substrate.
本發明的一些實施例又提供一種半導體裝置的形成方法。此形成方法包括在一半導體基底之上形成一含有介電質之基底(dielectric-containing substrate);在前述半導體基底上方的第一方向上形成複數個第一半導體層和一第二半導體層夾設於此些第一半導體層之間;對此些第一半導體層以及此第二半導體層進行圖案化,而形成一鰭部結構(fin structure),使前述鰭部結構包括了含有此些第一半導體層的犧牲層(sacrificial layers)以及含有前述第二半導體層的一通道層(channel layer);在前述鰭部結構之上形成一犧牲閘極結構(sacrificial gate structure),使前述犧牲閘極結構覆蓋前述鰭部結構的一部分,而前述鰭部結構的留下部分則保持露出;去除前述鰭部結構的此些留下部分;至少在此些犧牲層的一凹陷表面(recessed surface)上形成一內間隔物(inner spacer);於鄰近前述內間隔物和前述通道層形成一源極/汲極區(source/drain region);去除前述犧牲閘極結構;在去除前述犧牲閘極結構之後係去除前述鰭部結構中的此些犧牲層,使前述通道層露出且懸設(suspended over)於前述含有介電質之基底的上方;以及形成一閘極介電層(gate dielectric layer)及一閘極電極層(gate electrode layer)於露出的前述通道層之周圍,其中於前述含有介電質之基底的一底表面物理性接觸前述半導體基底的一頂表面,其中在前述第一方向上,前述閘極介電層及前述閘極電極層係設置於前述含有介電質之基底及前述通道層之間。Some embodiments of the present invention provide a method for forming a semiconductor device. The forming method includes forming a dielectric-containing substrate on a semiconductor substrate; forming a plurality of first semiconductor layers and a second semiconductor layer in a first direction above the semiconductor substrate. Between the first semiconductor layers; the first semiconductor layers and the second semiconductor layers are patterned to form a fin structure, so that the fin structure includes the first semiconductor layers Sacrificial layers of the semiconductor layer and a channel layer containing the aforementioned second semiconductor layer; a sacrificial gate structure is formed on the aforementioned fin structure, so that the aforementioned sacrificial gate structure Cover a part of the aforementioned fin structure, while the remaining part of the aforementioned fin structure remains exposed; remove the remaining part of the aforementioned fin structure; at least form a recessed surface on the sacrificial layer Inner spacer; forming a source/drain region adjacent to the inner spacer and the channel layer; removing the sacrificial gate structure; removing the sacrificial gate structure after removing the sacrificial gate structure The sacrificial layers in the aforementioned fin structure expose the aforementioned channel layer and are suspended over the aforementioned dielectric-containing substrate; and form a gate dielectric layer and a gate A gate electrode layer surrounds the exposed channel layer, wherein a bottom surface of the dielectric-containing substrate physically contacts a top surface of the semiconductor substrate, wherein in the first direction, the The gate dielectric layer and the gate electrode layer are arranged between the substrate containing the dielectric and the channel layer.
本發明的一些實施例提供一種半導體裝置,包括:一含有介電質之基底(dielectric-containing substrate)位於一半導體基底之上;通道層(channel layers)垂直的懸置在前述含有介電質之基底之上,一最底部通道層係與前述含有介電質之基底垂直相隔(vertically separated)一空間(space);一第一源極/汲極區(first source/drain region)位於前述半導體基底之上,且此第一源極/汲極區接觸前述通道層的第一末端(first ends);一第二源極/汲極區(second source/drain region)位於前述半導體基底之上,且此第二源極/汲極區接觸前述通道層的第二末端(second ends);一閘極介電層(gate dielectric layer)位於前述通道層上且圍繞各個通道層;以及一閘極電極層(gate electrode layer)位於前述閘極介電層上,且前述閘極電極層圍繞各個通道層,其中圍繞最底部通道層的前述閘極介電層及前述閘極電極層係位於前述含有介電質之基底和此最底部通道層之間的前述空間中,前述含有介電質之基底係物理性接觸前述半導體基底。Some embodiments of the present invention provide a semiconductor device, including: a dielectric-containing substrate is located on a semiconductor substrate; and channel layers are vertically suspended on the aforementioned dielectric-containing substrate. On the substrate, a bottom channel layer is vertically separated from the substrate containing the dielectric by a space; a first source/drain region (first source/drain region) is located on the semiconductor substrate Above, and the first source/drain region is in contact with the first ends of the aforementioned channel layer; a second source/drain region (second source/drain region) is located on the aforementioned semiconductor substrate, and The second source/drain region contacts the second ends of the aforementioned channel layer; a gate dielectric layer is located on the aforementioned channel layer and surrounds each channel layer; and a gate electrode layer The gate electrode layer is located on the gate dielectric layer, and the gate electrode layer surrounds each channel layer, wherein the gate dielectric layer and the gate electrode layer surrounding the bottommost channel layer are located on the dielectric layer. In the space between the substrate and the bottommost channel layer, the substrate containing the dielectric is in physical contact with the semiconductor substrate.
以下內容提供了很多不同的實施例或範例,用於實現本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及一第一特徵部件形成於一第二特徵部件之上方或位於其上,可能包含上述第一和第二特徵部件直接接觸的實施例,也可能包含額外的特徵部件形成於上述第一特徵和上述第二特徵部件之間,使得第一和第二特徵部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。The following content provides many different embodiments or examples for implementing different components of the embodiments of the present invention. Specific examples of components and configurations are described below to simplify the embodiments of the present invention. Of course, these are only examples and are not intended to limit the embodiments of the present invention. For example, if it is mentioned in the description that a first characteristic component is formed above or on a second characteristic component, it may include the embodiment in which the first and second characteristic components are in direct contact, or it may include additional features. An embodiment in which a component is formed between the above-mentioned first feature and the above-mentioned second feature component so that the first and second feature components do not directly contact. In addition, the embodiment of the present invention may repeat component symbols and/or letters in many examples. These repetitions are for the purpose of simplification and clarity, and do not in themselves represent a specific relationship between the various embodiments and/or configurations discussed.
此外,在以下揭露內容中,形成一特徵於另一特徵之上、連接到及/或耦合到另一特徵可以包括以直接接觸的方式形成此些特徵的實施例,並且還可以包括在此些特徵之間設置其他特徵的實施例,而使得此些特徵並不直接接觸。此外,此處可能使用空間上的相關用語,例如 「較低的」、 「較高的」、「水平的」、「垂直的」、「在…之上」、「在…上方」、「在…之下」、「在…下方」、 「上方的」、 「下方的」、 「頂部」、 「底部」等,以及其他類似的用語可用於此,以便描述如圖所示之一元件或部件與其他元件或部件之間的關係。此空間上的相關用語除了包含圖式繪示的方位外,也包含使用或操作中的裝置的不同方位。裝置可以被轉至其他方位,則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。再者,當敘述的一數值或一數值範圍以「約」、「大約」、「大致」等詞進行描述時,除非另有指定,則這些詞是欲使所描述的數值包含了數值的正負百分之十(±10%)的範圍。例如,「約5nm」一詞,係包含了4.5nm至5.5nm的數值範圍。In addition, in the following disclosure, forming a feature on, connecting to, and/or coupling to another feature may include embodiments in which these features are formed in direct contact, and may also include The embodiments of other features are arranged between the features, so that these features are not in direct contact. In addition, spatially related terms may be used here, such as "lower", "higher", "horizontal", "vertical", "above", "above", "in "...Below", "below", "above", "below", "top", "bottom", etc., and other similar terms can be used here to describe an element or part as shown in the figure The relationship with other elements or components. The related terms in this space include not only the orientation shown in the diagram, but also the different orientations of the device in use or operation. The device can be rotated to other orientations, and the relative description of the space used here can also be interpreted according to the rotated orientation. Furthermore, when a value or a range of values is described by words such as "about", "approximately", "approximately", unless otherwise specified, these words are intended to make the described value include the positive or negative of the value Ten percent (±10%) range. For example, the term "about 5nm" encompasses the numerical range from 4.5nm to 5.5nm.
本揭露係關於但不限制於一多閘極場效電晶體(multi-gate field effect transistor),其中一個例子則是環繞式閘極場效電晶體(GAA FET)。在一環繞式閘極場效電晶體中,多個半導體通道層係垂直的懸置在下方的一半導體基底之上。一閘極結構(包括一閘極電極層和一閘極介電層)係形成於垂直相鄰的半導體通道之間的空間中。本揭露之實施例係提出至少一絕緣層設置在最底部的閘極結構(bottommost gate structure)與下方的半導體基底之間,其中最底部的閘極結構係指最接近下方的半導體基底的閘極結構。設置在最底部的閘極結構與下方的半導體基底之間的前述至少一絕緣層 可以降低環繞式閘極場效電晶體中的漏電流,最小化環繞式閘極場效電晶體的半導體基底與源極/汲極區之間的一寄生PN接面的尺寸, 並且改善環繞式閘極場效電晶體的開啟電流與關閉電流的比例(ION /IOFF ratio)。This disclosure is related to, but not limited to, a multi-gate field effect transistor (multi-gate field effect transistor). One example is a wrap-around gate field effect transistor (GAA FET). In a wrap-around gate field-effect transistor, a plurality of semiconductor channel layers are vertically suspended on a semiconductor substrate below. A gate structure (including a gate electrode layer and a gate dielectric layer) is formed in the space between vertically adjacent semiconductor channels. The embodiment of the present disclosure proposes that at least one insulating layer is disposed between the bottommost gate structure and the underlying semiconductor substrate, where the bottommost gate structure refers to the gate closest to the underlying semiconductor substrate structure. The aforementioned at least one insulating layer disposed between the bottommost gate structure and the semiconductor substrate below can reduce the leakage current in the wrap-around gate field-effect transistor, and minimize the semiconductor substrate and the surrounding gate field-effect transistor. The size of a parasitic PN junction between the source/drain region and the ratio of the turn-on current to the turn-off current of the wrap-around gate field effect transistor (I ON /I OFF ratio) are improved.
第1-22C圖顯示依據本揭露的一實施例之製造環繞式閘極場效電晶體(GAA FET)裝置的例示性連續製程。可理解的是,於第1-22C圖描述的各階段製程之前、之中和之後,可以提供一些附加的步驟。以下所描述的一些步驟可以在一些其他實施例的方法中被替代或消除。步驟/製程的順序可能是可以互換的。Figures 1-22C show an exemplary continuous process for manufacturing a wrap-around gate field effect transistor (GAA FET) device according to an embodiment of the present disclosure. It is understandable that some additional steps may be provided before, during, and after each stage of the process described in Figs. 1-22C. Some steps described below can be replaced or eliminated in the method of some other embodiments. The sequence of steps/processes may be interchangeable.
第1圖繪示對一半導體基底10進行一佈植製程,其中雜質離子(impurity ion)(摻雜物(dopant))12佈植於半導體基底10中,以形成一井區(well region)。此井區可以是一N型井區或一P型井區。實施此離子佈植(ion implantation)可以避免貫穿效應(punch-through effect)。在一實施例中,半導體基底10於其一表面部分上至少包含一單結晶半導體層(single crystalline semiconductor layer)。半導體基底10可包括一單結晶半導體材料例如,但不限於,矽(Si)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、銻化銦(InSb)、磷化鎵(GaP)、銻化鎵(GaSb)、砷化銦鋁(InAlAs)、砷化鎵銦(InGaAs)、銻磷化鎵(GaSbP)、銻砷化鎵(GaAsSb)和磷化銦(InP)。在此實施例中,半導體基底10包括矽(Si)。FIG. 1 shows an implantation process performed on a
半導體基底10可包含一層或多層的緩衝層(未繪示)在其表面區內。緩衝層可以提供從半導體基底到源極/汲極區逐漸改變之晶格常數(lattice constant)。緩衝層可由磊晶成長單結晶半導體材料而形成,單結晶半導體材料例如是,但不限於,矽(Si)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、銻化銦(InSb)、磷化鎵(GaP)、銻化鎵(GaSb)、砷化銦鋁(InAlAs)、砷化鎵銦(InGaAs)、銻磷化鎵(GaSbP)、銻砷化鎵(GaAsSb)、氮化鎵(GaN)、磷化鎵(GaP)和磷化銦(InP)。在一特定的實施例中,半導體基底10包括磊晶成長於半導體基底10之上的矽鍺(SiGe)緩衝層。矽鍺緩衝層的鍺濃度可由最底部(bottom-most)緩衝層的30原子百分比(atomic %)的鍺增加到最頂部(top-most)緩衝層的70原子百分比的鍺。半導體基底10可以包含以雜質(例如具有p型或n型導電態的雜質)適當摻雜所形成的多個區域。摻雜物12例如是一n型鰭型場效電晶體(n-type Fin FET)的硼(BF2
),或是一p型鰭型場效電晶體(p-type Fin FET)的磷(P)。The
在第2圖中,在半導體基底10的上方形成(例如直接形成)一絕緣層14。絕緣層14包括或者是一種電性絕緣材料,例如氧化矽、氮化矽、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)、氮碳化矽(SiCN)、摻氟矽玻璃(fluorine doped silicate glass,FSG)、或是一低介電常數(low-K)介電材料。絕緣層14可通過低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)、電漿化學氣相沉積(plasma chemical vapor deposition,plasma-CVD)或流動式化學氣相沉積(flowable CVD,FCVD)而形成半導體基底10之上。In FIG. 2, an
在第3圖中,在絕緣層14的上方形成(例如直接形成)一含半導體層(semiconductor-containing layer )16。此含半導體層可具有不同於絕緣層14的一種組成。舉例來說,含半導體層16可以是半導體上覆絕緣體(SOI)基底(例如,一完全空乏的SOI基底或是部分空乏的SOI基底),其包括形成在半導體基底上的一半導體材料(例如,矽)層。含半導體層16的絕緣體層可以例如是一埋置氧化(buried oxide,BOX)層、一氧化矽層、或前述之類似物。在一些實施例中,含半導體層16的半導體材料可以是沒有被摻雜的。然而,在其他實施例中,含半導體層16的半導體材料可以是被摻雜的,以與隨後在含半導體層16之上形成的通道層(例如,下面參考第4圖所描述的第二半導體層25)具有不同的導電類型。在一些實施例中,含半導體層16的厚度T1(例如,沿Z方向量測)可以在從電晶體裝置的閘極長度(gate length)的約0.4倍到電晶體裝置的閘極長度的約0.6倍的範圍內(例如,電晶體裝置的閘極長度的約0.5倍)。閘極長度在第9圖中示出,標示為長度LG
。作為一示例,含半導體層16的厚度T1可以在從大約3奈米到大約7奈米(例如,大約5納米)的範圍內,以實現高的裝置性能(device performance),例如可達到更高的電流和更快的電流速度。In FIG. 3, a semiconductor-containing
在第4圖中,一堆疊的半導體層係以交錯或交替設置的方式而形成於上述含半導體層基底10之上。堆疊的半導體層係自含半導體層16垂直的(例如,沿著Z方向)延伸。例如,一第一半導體層20設置於含半導體層16的上方,第二半導體層25設置於第一半導體層20的上方,另一第一半導體層20設置於第二半導體層25的上方,以此類推和繼續設置。再者,一遮罩層15形成於前述堆疊的層之上。上述第一半導體層20及第二半導體層25包括具有不同晶格常數的材料,且可包括一層或多層的矽(Si)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、銻化銦(InSb)、磷化鎵(GaP)、銻化鎵(GaSb)、砷化銦鋁(InAlAs)、砷化鎵銦(InGaAs)、銻磷化鎵(GaSbP)、銻砷化鎵(GaAsSb)、或磷化銦(InP)。In FIG. 4, a stacked semiconductor layer is formed on the above-mentioned semiconductor layer-containing
在一些實施例中,第一半導體層20及第二半導體層25包括矽、一矽化合物、矽鍺(SiGe)、鍺或一鍺化合物。在一實施例中,第一半導體層20包括Si(1-x)
Gex
,其中x為大於約0.3。例如x=1.0時,第一半導體層20包括Ge。且第二半導體層25包括矽或Si(1-y)
Gey
,其中y為小於約0.4,且x大於y。In some embodiments, the
在其他實施例中,第二半導體層25包括Si(1-y)
Gey
,其中y為大於約0.3,且在此種實施例中,第一半導體層20包括Si或Si(1-x)
Gex
,其中x為小於約0.4且x小於y(x<y)。在另一些其他實施例中,第一半導體層20包括Si(1-x)
Gex
,其中x是在從大約0.3到大約0.8的範圍,且第二半導體層25包括Si(1-x)
Gex
,其中x是在從大約0.1到大約0.4的範圍。In other embodiments, the
在第4圖中,設置五層的第一半導體層20和五層的第二半導體層25。然而,第一半導體層20及/或第二半導體層25的層數並不侷限於五層,而可小至一層,且在一些實施例中,每一個第一半導體層及第二半導體層可形成二到十層。注意的是,第一半導體層20是在後續會被部分去除的犧牲層,而第二半導體層25則在後續會被製作成一環繞式閘極場效電晶體(GAA FET)的通道層。藉由調整堆疊的層之數量,可調整環繞式閘極場效電晶體裝置的驅動電流(driving current)。再注意的是,在一些實施例中,可摻雜第二半導體層25使其與下方的含半導體層16的半導體材料具有不同的導電型態。In Fig. 4, five layers of the
底部的第一半導體層20(例如,在Z方向上最接近含半導體層16及/或與含半導體層16物理性接觸的第一半導體層20)係磊晶地(epitaxially)形成於含半導體層16之上。底部的第二半導體層25(例如,在Z方向上最接近底部的第一半導體層20及/或與底部的第一半導體層20物理性接觸的第二半導體層25)係磊晶地(epitaxially)形成於底部的第一半導體層20之上。前述磊晶製程係重複地進行以形成如第4圖所示之堆疊的半導體層20、25。各個第一半導體層20的厚度可以相等或不同。第一半導體層20的厚度可以等於或大於第二半導體層25的厚度。在一些實施例中,各第一半導體層20的厚度在大約5奈米至大約50奈米的範圍之間。在一些其他實施例中,各第一半導體層20的厚度範圍在大約10奈米至大約30奈米的範圍之間。在一些實施例中的第二半導體層25的厚度在大約5奈米至大約30奈米的範圍之間。在其他一些實施例中的第二半導體層25的厚度在大約10奈米至大約20奈米的範圍之間。在一些實施例中,底部的第一半導體層20的厚度可比其餘的各第一半導體層20更厚。 在此些實施例中,底部的第一半導體層20的厚度在大約10奈米至大約50奈米的範圍之間(例如在大約20奈米至大約40奈米的範圍之間)。The bottom first semiconductor layer 20 (for example, the
在一些實施例中,遮罩層15包含第一遮罩層15A及第二遮罩層15B。第一遮罩層15A係由氧化矽製成的接墊氧化物層(pad oxide layer),且可透過熱氧化(thermal oxidation)而形成。 第二遮罩層15B可包括不同於第一遮罩層15A的材料。例如在一示例中,第二遮罩層15B係包含氮化矽(SiN),且可透過化學氣相沉積(chemical vapor deposition,CVD)、低壓化學氣相沉積(low pressure CVD,LPCVD)、電漿增強化學氣相沉積(plasma enhanced CVD,PECVD)、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)或其他適合的製程形成。透過包含光學微影(photo-lithography)和蝕刻(etching)製程的圖案化步驟,可將遮罩層15圖案化而形成遮罩圖案。注意的是,在一些實施例中,至少一層的第一遮罩層15A或第二遮罩層15B可包括一光線吸收材料。In some embodiments, the
接著,如第5圖所示,將第一半導體層20及第二半導體層25之堆疊的層圖案化。在一示例中,將遮罩層15進行圖案化,而形成一圖案化遮罩層15’。接著,將圖案化遮罩層15’的圖案轉移至 第一半導體層20及第二半導體層25之堆疊的層,因而形成沿著X方向延伸的鰭部結構(fin structures)30。在一些實施例中,係使用一非等向性蝕刻製程(anisotropic etching process)以形成鰭部結構30。在第5圖的例子中,鰭部結構30係側向的在Y方向上相互分離。注意的是,鰭部結構的數量並不被侷限在兩個,可減少至例如一個鰭部結構、或者多到三個甚至更多的鰭部結構。在一些實施例中,一個或多個虛置鰭部結構(dummy fin structures)係形成在鰭部結構30的一側或兩側,以增進圖案化步驟中的圖案逼真度(pattern fidelity)。Next, as shown in FIG. 5, the stacked layers of the
如第5圖所示,鰭部結構30具有由堆疊的半導體層20及25、圖案化含半導體層16’、圖案化絕緣層14’以及井區10’所形成的部分。注意的是,井區10’是通過對半導體基底10進行圖案化(例如藉由將圖案化遮罩層15’的圖案轉移至半導體基底10)而形成。在一些實施例中,鰭部結構30的上方部分(upper portion)的寬度W1(例如,沿著Y方向量測)可在大約10奈米至大約40奈米的範圍之間(例如,在大約20奈米至大約30奈米的範圍之間)。鰭部結構30的高度H1(例如,沿著Z方向量測)可在大約100奈米至大約200奈米的範圍之間。As shown in FIG. 5, the
參照第6圖,在形成鰭部結構30之後,於半導體基底10之上形成一絕緣材料層41(包含一或多層的絕緣材料),使得鰭部結構30完全地埋置於絕緣材料層41中。絕緣材料層41的絕緣材料可包含氧化矽、氮化矽、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)、氮碳化矽(SiCN)、摻氟矽玻璃(fluorinated silicate glass,FSG)或低介電常數(low-k)的介電材料,且絕緣材料層41可透過低壓化學氣相沉積(LPCVD)、電漿化學氣相沉積(plasma-CVD)或流動式化學氣相沉積(flowable CVD)而形成。形成絕緣材料層41之後,可實施一次或多次退火(anneal)步驟(例如,以驅除存在於絕緣材料層41的材料中的自由碳及/或自由氮)。接著,實施平坦化步驟,例如化學機械研磨(chemical mechanical polishing,CMP)製程及/或回蝕刻(etch-back)製程,使最上面的第二半導體層25的上表面從絕緣材料層41露出,如第6圖所示。在一些實施例中,在形成絕緣材料層41之前,形成第一襯墊層(first liner layer)35於第5圖所示之結構上。第一襯墊層35可包括氮化矽(SiN)或其他以氮化矽為主的材料(例如氮氧化矽(SiON)、氮碳化矽(SiCN)或氮碳氧化矽(SiOCN))。Referring to FIG. 6, after the
接著,參照第7圖,使絕緣材料層41下凹以形成一隔離絕緣層(isolation insulating layer)40,使得鰭部結構30的上方部分(upper portions)露出。藉由這個步驟,鰭部結構30係藉由隔離絕緣層40亦被稱為淺溝槽隔離件(shallow trench isolation,STI)而相互電性隔離。在第7圖所示之實施例中,使絕緣材料層41下凹直到第一半導體層20的最底部露出為止。在一些其他實施例中,也露出至少圖案化含半導體層16’的上方部分。在第7圖所示之示例中,第一襯墊層35也下凹,以露出鰭部結構30的上方部分。Next, referring to FIG. 7, the insulating
如第8圖所示,在隔離絕緣層40形成之後,形成一犧牲閘極介電層(sacrificial gate dielectric layer)52。犧牲閘極介電層52包含一層或多層的絕緣材料,例如以一氧化矽為主的材料(silicon oxide-based material)。在一實施例中,犧牲閘極介電層52包括透過化學氣相沉積(CVD)形成的氧化矽。在一些實施例中,犧牲閘極介電層52的厚度係在大約1奈米至大約5奈米的範圍之間。As shown in FIG. 8, after the
第9圖繪示在鰭部結構30之上形成一犧牲閘極結構50之後的結構。犧牲閘極結構50包含一犧牲閘極電極(sacrificial gate electrode)層54以及犧牲閘極介電層52。犧牲閘極結構50形成於之後作為通道區的鰭部結構的一部份之上。犧牲閘極結構定義出環繞式閘極場效電晶體的通道區。相對於圖案化含半導體層16’的厚度T1的上述閘極長度(gate length)係在第9圖中示出,標示為長度LG
。FIG. 9 shows the structure after a
如第8圖所示,犧牲閘極結構50藉由先毯覆式的沉積犧牲閘極介電層52於鰭部結構之上而形成。然後,犧牲閘極電極層54毯覆式的沉積於犧牲閘極介電層52上與鰭部結構30之上,使得鰭部結構30完全地埋置於犧牲閘極電極層54中。犧牲閘極電極層54包含矽,例如多晶矽(polycrystalline silicon)或非晶矽(amorphous silicon)。在一些實施例中,犧牲閘極電極層54的厚度係在大約100奈米至大約200奈米的範圍之間。在一些實施例中,犧牲閘極電極層54係經過平坦化步驟。犧牲閘極介電層52和犧牲閘極電極層54透過包含低壓化學氣相沉積(LPCVD)和電漿輔助化學氣相沉積(PECVD)的化學氣相沉積(CVD)而形成,或是物理氣相沉積(PVD)、原子層沉積(ALD)或其他適合的製程而形成。接著,於犧牲閘極電極層54之上形成一遮罩層(mask layer),遮罩層包含一接墊氮化矽層(pad SiN layer)56及在接墊氮化矽層56之上的一氧化矽遮罩層(silicon oxide mask layer)58。As shown in FIG. 8, the
接著,於遮罩層上實施圖案化步驟。如第9圖所示,將遮罩層的圖案轉移至犧牲閘極電極層54,以形成犧牲閘極結構50。犧牲閘極結構50包含犧牲閘極介電層52、犧牲閘極電極層54(例如多晶矽)、接墊氮化矽層56及氧化矽遮罩層58。藉由對犧牲閘極結構進行圖案化,第一半導體層及第二半導體層之堆疊的層係於犧牲閘極結構50的相對側上部分地露出,藉此定義出源極/汲極區(source/drain regions),如第9圖所示。在此揭露中,源極和汲極之用詞可以交換使用,且兩者之結構大致上相同。於第9圖中,係形成一個犧牲閘極結構,但犧牲閘極結構的數量並不侷限於一個。在一些實施例中,兩個或更多個犧牲閘極結構係沿著X方向排列。在某一些實施例中,係於犧牲閘極結構的兩側形成一個或多個虛置犧牲閘極結構(dummy sacrificial gate structures),以提升圖案逼真度。Then, a patterning step is performed on the mask layer. As shown in FIG. 9, the pattern of the mask layer is transferred to the sacrificial
如第10圖所示,在形成犧牲閘極結構之後,包含絕緣材料的一毯覆層(blanket layer)53係透過化學氣相沉積(CVD)或其他適合的製程而形成。之後,毯覆層53被圖案化而形成側壁間隔物(sidewall spacers)55(參照第11A、11C圖)。毯覆層53係以順應性的方式(conformal manner)沉積,使其在犧牲閘極結構50的垂直表面上,例如側壁、水平表面及頂部上,具有大致上相同的厚度。在一些實施例中,毯覆層53係沉積至大約2奈米至大約10奈米的厚度範圍之間。在一實施例中,毯覆層53的絕緣材料係為一氮化矽為主的材料,例如氮化矽(SiN)、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)或氮碳化矽(SiCN)及前述之組合。As shown in FIG. 10, after the sacrificial gate structure is formed, a
再者,如第11A-11C圖所示,側壁間隔物55形成於犧牲閘極結構50的相對側壁上,且接著使源極/汲極(S/D)區的鰭部結構向下凹入至隔離絕緣層40的上表面之下。第11B圖係對應第11A圖之區域A1及線段X1-X1的剖面圖,第11C圖係對應第11A圖之線段Y1-Y1的剖面圖。於第11B圖中,係繪示一個犧牲閘極結構50的底部部分之剖面圖。Furthermore, as shown in FIGS. 11A-11C,
形成毯覆層53之後(例如第10圖所示),使用例如反應離子刻蝕(reactive ion etching,RIE)於毯覆層53上進行蝕刻(異向性(anisotropic)蝕刻)。在蝕刻過程中,從水平表面移除大部分的絕緣材料,於垂直表面上留下介電間隔層(dielectric spacer layer),例如於犧牲閘極結構50的側壁及露出的鰭部結構的側壁上留下介電間隔層。遮罩層58可從側壁間隔物露出。在一些實施例中,可進行等向性(isotropic)蝕刻,以從露出的鰭部結構30之源極/汲極(S/D)區的上方部分移除絕緣材料。After the
接著,藉由乾式蝕刻(dry etching)及/或濕式蝕刻(wet etching)將源極/汲極(S/D)區的鰭部結構向下凹入至隔離絕緣層40的上表面之下。如第11A及11C圖所示,形成於露出的鰭部結構之源極/汲極(S/D)區上的側壁間隔物55係部分的留下。然而,在其他一些實施例中,形成於露出的鰭部結構之源極/汲極(S/D)區上的側壁間隔物55則全部被去除。在這個步驟,如第11B圖所示,於犧牲閘極結構50之下的第一半導體層20及第二半導體層25之堆疊的層之末端部分(end portions)具有與側壁間隔物55齊平之大致上平坦的表面。在一些實施例中,第一半導體層20及第二半導體層25之堆疊的層之末端部分被輕微的水平蝕刻。Then, the fin structure of the source/drain (S/D) region is recessed below the upper surface of the
接著,如第12A-12C圖所示,使第一半導體層20水平地凹入(例如蝕刻),使得第一半導體20的邊緣(edges)大致上位於犧牲閘極電極層54的一側表面之下。如第12B圖所示,於犧牲閘極結構之下的第一半導體層20的末端部分(例如邊緣)係大致上與犧牲閘極電極層54的側面齊平。Next, as shown in FIGS. 12A-12C, the
如第12B圖所示,於第11A-11C圖所描述之第一半導體層20的凹入蝕刻(recess etching)及/或第一半導體層及第二半導體層之凹入蝕刻的過程中,第二半導體層25的末端部分也被水平地蝕刻。第一半導體層20的凹入的量(recessed amount)係大於第二半導體層25凹入的量。As shown in FIG. 12B, during the recess etching of the
在一些實施例中,自包含一個側壁間隔物55之平面起,第一半導體層20凹入的深度D1係在大約5奈米至大約10奈米的範圍之間,自包含一個側壁間隔物55之平面起,第二半導體層25凹入的深度D2係在大約1奈米至大約4奈米的範圍之間。在一些實施例中,深度D1與深度D2的差異D3係在大約1奈米至大約9奈米的範圍之間。注意的是,在一些特定的實施例中,並沒有對第一及第二半導體層進行蝕刻(水平地凹入)。在其他一些實施例中,第一半導體層及第二半導體層蝕刻的量大致上相同(差異小於大約0.5奈米)。In some embodiments, the recessed depth D1 of the
如第13A-13C圖所示,在第一半導體層20水平的凹入之後,在第一半導體層20的凹陷表面上形成內間隔物(inner spacer)69。通過在第一半導體層20和第二半導體層25被蝕刻的側邊末端上以及在犧牲閘極結構50之上順應性的形成一絕緣層,而形成前述內間隔物69。前述之絕緣層包括一種或多種的氮化矽和氧化矽、氮氧化矽(SiON)、碳氧化矽(SiOC)、氮碳化矽(SiCN)、氮碳氧化矽(SiOCN)、或任何其他合適的介電材料。前述內間隔物69的絕緣層係以不同於側壁間隔物55的材料而製成。可藉由原子層沉積或其他任何合適的方法而形成絕緣層。在形成前述絕緣層之後,係進行一蝕刻步驟,以部分的去除絕緣層,因而形成內間隔物69,如第13B圖所示。內間隔物69具有在大約1.0 nm至大約10.0 nm的範圍之間的厚度。在其他實施例中,內間隔物69具有在大約2.0 nm至大約5.0 nm的範圍之間的厚度。As shown in FIGS. 13A-13C, after the
如第13A-13C圖所示,在一些實施例中,係在內間隔物69的側壁上及第二半導體層25 的凹陷表面上還形成一襯墊磊晶層(liner epitaxial layer)70。襯墊磊晶層70係用於優化電晶體的短通道效應(short channel effect)以及性能。襯墊磊晶層70也形成於源極/汲極(S/D)區的凹入的鰭部結構上。在一些實施例中,襯墊磊晶層70係選擇性的成長在半導體層上,且包含未摻雜的矽。 在一些其他的實施例中,襯墊磊晶層70包含一層或多層之矽、磷化矽(SiP)及碳磷化矽(SiCP)。在一些特定的實施例中,襯墊磊晶層70包含一層或多層的矽鍺(SiGe)和鍺。在一些實施例中,在第一半導體層20的凹陷表面上的襯墊磊晶層70的厚度係在大約5 nm至大約10 nm的範圍之間。在第二半導體層25的凹陷表面上的襯墊磊晶層70的厚度係在大約1 nm至大約4 nm的範圍之間。在第二半導體層25的凹陷表面上的襯墊磊晶層70的厚度是在第一半導體層20的凹陷表面上的襯墊磊晶層70的厚度的大約20%至大約60%。As shown in FIGS. 13A-13C, in some embodiments, a
如第14圖所示,在形成襯墊磊晶層70之後,形成源極/汲極磊晶層(source/drain epitaxial layers)80。於n型通道場效電晶體(n-channel FET)中,源極/汲極(S/D)磊晶層80包含一層或多層的矽、磷化矽(SiP)、碳化矽(SiC)及碳磷化矽(SiCP);或者,於p型通道場效電晶體(p-channel FET)中,源極/汲極(S/D)磊晶層80包含一層或多層的矽、矽鍺(SiGe)、鍺。源極/汲極磊晶層80可藉由使用化學氣相沉積(CVD)、原子層沉積(ALD)或分子束磊晶(molecular beam epitaxy,MBE)的一磊晶成長方法而形成。如第14圖所示,源極/汲極磊晶層80係自形成於兩鰭部結構的對應底面上的襯墊磊晶層70開始成長。在一些實施例中,前述成長的磊晶層係在隔離絕緣層之上合併,且形成一孔洞(void)82。源極/汲極磊晶層80及襯墊磊晶層70共同形成環繞式閘極場效電晶體裝置(GAA FET device)的源極/汲極部件(S/D features)。As shown in FIG. 14, after forming the
接著,如第15圖所示,形成第二襯墊層(second liner layer)90,且接著形成層間介電(interlayer dielectric,ILD)層95。第二襯墊層90包含一以氮化矽為主的材料,例如氮化矽,且在接下來的蝕刻步驟中作為一接觸蝕刻停止層(contact etch stop layer)。層間介電層95的材料包含的化合物包括矽、氧、碳及/或氫,例如氧化矽、氫氧碳化矽(SiCOH)及碳氧化矽(SiOC)。有機材料,例如聚合物,可使用於層間介電層95。在形成層間介電層95之後,實施平坦化(planarization)步驟,例如化學機械研磨(CMP),使犧牲閘極電極層54的頂部部分露出。Next, as shown in FIG. 15, a second liner layer (second liner layer) 90 is formed, and then an interlayer dielectric (ILD)
接著,如第16圖所示,去除犧牲閘極電極層54與犧牲閘極介電層52,藉此露出鰭部結構。在去除犧牲閘極結構的過程中,層間介電層95係保護源極/汲極磊晶層80。犧牲閘極結構可使用電漿(plasma)乾式蝕刻及/或濕式蝕刻而去除。當犧牲閘極電極層54為多晶矽且層間介電層95為氧化矽時,可用濕式蝕刻劑例如氫氧化四甲銨(TMAH)溶液,以選擇性的去除犧牲閘極電極層54。犧牲閘極介電層52則在之後使用電漿乾式製程及/或濕式製程去除。Next, as shown in FIG. 16, the sacrificial
如第17A及17B圖所示,其中第17B圖係為沿著鰭部結構的剖面圖,在去除犧牲閘極結構之後,去除鰭部結構中的第一半導體層20,因而形成第二半導體層25的半導體通道層。此去除第一半導體層20的步驟亦可稱為一導線釋出步驟(wire release step)或一層片形成步驟(sheet formation step)(例如,奈米層片(nanosheet)形成步驟)。使用相對於第二半導體層25可以選擇性的蝕刻第一半導體層20的蝕刻劑,以去除或蝕刻第一半導體層20。 當第一半導體層20包含鍺或矽鍺(SiGe),且第二半導體層25包含矽時,第一半導體層20可選擇性地藉由一濕式蝕刻劑而去除,前述濕式蝕刻劑例如但不限於氫氧化氨(ammonium hydroxide,NH4OH)、氫氧化四甲銨(tetramethylammonium hydroxide,TMAH)、乙二胺鄰苯二酚(ethylenediamine pyrocatechol,EDP)或氫氧化鉀(potassium hydroxide,KOH)溶液。另一實施例中,當第一半導體層20包含矽,且第二半導體層25包含鍺或矽鍺(SiGe)時,第一半導體層20可選擇性地藉由一濕式蝕刻劑,例如但不限於氫氧化氨(ammonium hydroxide,NH4OH)、氫氧化四甲銨(tetramethylammonium hydroxide,TMAH)、乙二胺鄰苯二酚(ethylenediamine pyrocatechol,EDP)或氫氧化鉀(potassium hydroxide,KOH)溶液而去除。As shown in Figures 17A and 17B, Figure 17B is a cross-sectional view along the fin structure. After the sacrificial gate structure is removed, the
在此實施例中,由於形成了襯墊磊晶層70(例如矽),第一半導體層20(例如矽鍺(SiGe))的蝕刻則停止在襯墊磊晶層70。當第一半導體層20包含矽,襯墊磊晶層70可包含矽鍺(SiGe)或鍺。由於第一半導體層20的蝕刻停止在襯墊磊晶層70,可避免閘極電極與源極/汲極(S/D)磊晶層接觸或橋接(bridging)。在形成第二半導體層25的半導體通道層之後,於每個半導體通道層的周圍形成一閘極介電層(gate dielectric layer)102,且於閘極介電層102上形成閘極電極層104,如第18圖所示。In this embodiment, since the liner epitaxial layer 70 (for example, silicon) is formed, the etching of the first semiconductor layer 20 (for example, silicon germanium (SiGe)) stops at the
在一些特定的實施例中,閘極介電層102包含一層或多層的介電材料,例如氧化矽、氮化矽或高介電常數(high-k)的介電材料、其他適合的介電材料、及/或前述之組合。高介電常數的介電材料的示例包含二氧化鉿(HfO2
)、矽氧化鉿(HfSiO)、碳氧矽化鉿(HfSiON)、氧鉭化鉿(HfTaO)、氧鈦化鉿(HfTiO)、氧鋯化鉿(HfZrO)、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2
-Al2
O3
)合金、其他適合的高介電常數的介電材料、及/或前述之組合。在一些實施例中,閘極介電層102包含形成於通道層與介電材料之間的一界面層(interfacial layer)。In some specific embodiments, the
閘極介電層102可透過化學氣相沉積(CVD)、原子層沉積(ALD)或其他適合的製程形成。在一實施例中,閘極介電層102使用一高度順應性(conformal)的沉積製程例如原子層沉積(ALD)形成,以確保形成的閘極介電層於每個通道層周圍具有一致的厚度。在一實施例中,閘極介電層102的厚度係在大約1 nm至大約6 nm的範圍之間。The
閘極電極層104形成於閘極介電層102之上,並圍繞每個通道層。閘極電極層104包含一層或多層之導電材料,例如多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、氮化鈦(TiN)、氮化鎢(WN)、鈦鋁(TiAl)、氮化鋁鈦(TiAlN)、碳氮化鉭(TaCN)、碳化鉭(TaC)、氮矽化鉭(TaSiN)、金屬合金、其他適合的材料、及/或前述之組合。The
閘極電極層104可透過化學氣相沉積(CVD)、原子層沉積(ALD)、電鍍(electro-plating)、或其他適合的製程形成。閘極電極層也沉積在層間介電層95的上表面之上。接著,使用例如化學機械研磨(CMP)將形成於層間介電層95之上的閘極介電層與閘極電極層平坦化,直到層間介電層95的頂表面露出。The
如第18圖所示,平坦化步驟之後,使閘極電極層104凹入,且形成一蓋體絕緣層(cap insulating layer)106於凹入的閘極電極層104之上。蓋體絕緣層106包含一層或多層之一以氮化矽為主的材料,例如氮化矽。蓋體絕緣層106可通過沉積一絕緣材料之後進行平坦化步驟而形成。As shown in FIG. 18, after the planarization step, the
在本揭露的一些特定實施例中,一或多個功函數調整層(work function adjustment layers)(未示出)係置於閘極介電層102與閘極電極層104之間。功函數調整層係由導電材料製成,例如單層的氮化鈦(TiN)、氮化鉭(TaN)、碳化鉭鋁(TaAlC)、碳化鈦(TiC)、碳化鉭(TaC)、鈷(Co)、鋁(Al)、鈦鋁(TiAl)、鉿鈦(HfTi)、矽化鈦(TiSi)、矽化鉭(TaSi)或碳化鈦鋁(TiAlC),或多層的兩種或更多種的前述材料。在n型通道場效電晶體中,係使用氮化鉭(TaN)、碳化鉭鋁(TaAlC)、氮化鈦(TiN)、碳化鈦(TiC)、鈷(Co)、鈦鋁(TiAl)、鉿鈦(HfTi)、矽化鈦(TiSi)和矽化鉭(TaSi)中的一或多個作為功函數調整層。而在p型通道場效電晶體中,係使用碳化鈦鋁(TiAlC)、鋁(Al)、鈦鋁(TiAl)、氮化鉭(TaN)、碳化鉭鋁(TaAlC)、氮化鈦(TiN)、碳化鈦(TiC)和鈷(Co)中的一或多個作為功函數調整層。功函數調整層可透過原子層沉積(ALD)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、電子束蒸鍍法(e-beam evaporation)、或其他適合的製程形成。再者,功函數調整層可於使用不同的金屬層的n型通道場效電晶體及p型通道場效電晶體中分開的形成。In some specific embodiments of the present disclosure, one or more work function adjustment layers (not shown) are interposed between the
接著,如第19圖所示,使用乾式蝕刻於層間介電層95中形成接觸孔(contact holes)110。在一些實施例中,係蝕刻源極/汲極磊晶層80的上方部分。如第20圖所示,矽化物層(silicide layer)120係形成於源極/汲極磊晶層80之上。前述矽化物層120包含矽鎢(WSi)、矽鈷 (CoSi)、矽鎳(NiSi)、矽鈦(TiSi)、矽鉬(MoSi)及矽鉭(TaSi)的其中一個或多個材料。接著,如第21圖所示,於接觸孔中形成導電材料130。導電材料130包含一或多個之鈷(Co)、鎳(Ni)、鎢(W)、鈦(Ti)、鉭(Ta)、銅(Cu)、鋁(Al)、氮化鈦(TiN)及氮化鉭(TaN)。Next, as shown in FIG. 19, dry etching is used to form contact holes 110 in the
第22A-22C圖顯示第21圖繪示之結構的剖面圖。第22A圖顯示沿著Y方向切割閘極的剖面圖,第22B圖顯示沿著X方向切割閘極的剖面圖,且第22C圖顯示沿著Y方向切割源極/汲極區的剖面圖。Figures 22A-22C show cross-sectional views of the structure shown in Figure 21. FIG. 22A shows a cross-sectional view of the gate cut along the Y direction, FIG. 22B shows a cross-sectional view of the gate cut along the X direction, and FIG. 22C shows a cross-sectional view of the source/drain region cut along the Y direction.
如第22A圖所示,由第二半導體層25製成的半導體通道層係堆疊於Z方向。前述半導體通道層也可稱為多橋式通道(multi-bridge channel)、多個奈米板(nanoslabs)、多個奈米層片(nanosheets)、多條導線(例如,具有圓形、方形、六角形或其他的剖面形狀)。可理解的是,當去除第一半導體層20時,第二半導體層25也會被蝕刻,因此第二半導體層25的角落是圓的。界面層102A包裹每個導線的周圍,且閘極介電層102B覆蓋界面層102A。 雖然在第22A圖中包裹一個導線周圍的閘極介電層102B係接觸相鄰導線的閘極介電層102B,但結構並不侷限於第22A圖。在一些其他的實施例中,閘極電極104也包裹被界面層102A與閘極介電層102B覆蓋的每個導線的周圍。As shown in FIG. 22A, the semiconductor channel layer made of the
如第22B圖所示,襯墊磊晶層70形成於源極/汲極磊晶層80與導線(第二半導體層25)之間。在一些實施例中,位於導線之間部分的襯墊磊晶層70之厚度T1係在大約5奈米至大約10奈米的範圍之間,位於導線末端的襯墊磊晶層70凹入的厚度T2係在大約1奈米至大約4奈米的範圍之間。在一些實施例中,厚度T1與厚度T2的差異T3係在大約1奈米至大約9奈米的範圍之間。在一些特定的實施例中,厚度T2是厚度T1的大約20%至大約60%,且在其他一些實施例中厚度T2是厚度T1的大約小於40%。As shown in FIG. 22B, the
在如第1至22C圖所示製程的實施例中,環繞式閘極場效電晶體(GAA FET)包括圖案化絕緣層14’及圖案化含半導體層16’(例如SOI基板)。然而,在一些其他的實施例中,可以省略圖案化含半導體層16’(例如SOI基板)。在此些實施例中,,例如第23A至23C圖所示,所形成的環繞式閘極場效電晶體(GAA FET)包括圖案化絕緣層14’,但沒有圖案化含半導體層16’(例如SOI基板)。不論是前述的哪些實施例,所形成的環繞式閘極場效電晶體都有許多優點。例如,本揭露係關於但不限制於一多閘極場效電晶體(multi-gate field effect transistor),其中一個例子則是環繞式閘極場效電晶體。在一環繞式閘極場效電晶體中,多個半導體通道層係垂直的懸置在下方一半導體基底之上。一閘極結構(包括一閘極電極層和一閘極介電層)係形成於垂直相鄰的半導體通道之間的空間中。本揭露之實施例係提出至少一絕緣層設置在最底部的閘極結構(bottommost gate structure)與下方的半導體基底之間,其中最底部的閘極結構係指最接近下方的半導體基底的閘極結構。設置在最底部的閘極結構與下方的半導體基底之間的前述至少一絕緣層 可以降低環繞式閘極場效電晶體中的漏電流,最小化環繞式閘極場效電晶體的半導體基底與源極/汲極區之間的一寄生PN接面的尺寸, 並且改善環繞式閘極場效電晶體的開啟電流與關閉電流的比例(ION
/IOFF
ratio)。可理解的是,環繞式閘極場效電晶體後續會通過更多的互補式金屬氧化物半導體(CMOS)製程,以形成不同特徵部件,例如接觸/導孔(contact/via)、內連線金屬層(interconnect metal layer)、介電層、保護層(passivation layer)等等。In the embodiment of the process shown in FIGS. 1 to 22C, a wrap-around gate field effect transistor (GAA FET) includes a patterned insulating
第24圖顯示根據本揭露的一實施例之一多閘極場效電晶體(multi-gate FET)的形成方法的流程圖。如第24圖所示,此形成方法包括在一半導體基底之上形成一絕緣層的步驟240,以及在前述絕緣層之上形成一含有半導體之基底(semiconductor-containing substrate)的步驟242。此形成方法更包括在前述含有半導體之基底之上形成一堆疊的步驟244,此堆疊包含第一半導體層及第二半導體層,其中此些第一半導體層及此些第二半導體層具有不同的材料組成,且在堆疊中彼此交替設置。如第24圖所示之形成方法的步驟246中,係包括對前述絕緣層、前述含有半導體之基底、以及包含第一半導體層及第二半導體層的前述堆疊進行圖案化,而形成一鰭部結構(fin structure),此鰭部結構包括了含有此些第一半導體層的犧牲層(sacrificial layers)以及含有此些第二半導體層的通道層(channel layers)。此形成方法更包括於鄰近前述鰭部結構的此些通道層形成源極/汲極部件(source/drain features)的步驟248,以及去除前述鰭部結構的此些犧牲層,使得前述鰭部結構的此些通道層露出的步驟250。如第24圖所示之形成方法的步驟252中,係包括形成一閘極結構(gate structure)環繞露出的此些通道層,其中前述含有半導體之基底的一底表面係物理性接觸前述絕緣層的一頂表面,且其中前述絕緣層及前述含有半導體之基底係設置在前述閘極結構的一最底部分(bottommost portion)和前述半導體基底之間。FIG. 24 shows a flowchart of a method for forming a multi-gate FET (multi-gate FET) according to an embodiment of the disclosure. As shown in FIG. 24, this forming method includes a step 240 of forming an insulating layer on a semiconductor substrate, and a
在一實施例中,本揭露提供一種半導體裝置的形成方法。此方法包括在一半導體基底之上形成一絕緣層;在前述絕緣層之上形成一含有半導體之基底(semiconductor-containing substrate);在前述含有半導體之基底之上形成包含第一半導體層及第二半導體層的一堆疊,其中此些第一半導體層及此些第二半導體層具有不同的材料組成,且在前述堆疊中彼此交替設置;對前述絕緣層、前述含有半導體之基底、以及包含此些第一半導體層及此些第二半導體層的前述堆疊進行圖案化,而形成一鰭部結構(fin structure),前述鰭部結構包括了含有此些第一半導體層的犧牲層(sacrificial layers)以及含有此些第二半導體層的通道層(channel layers);於鄰近前述鰭部結構的此些通道層形成源極/汲極部件(source/drain features);去除前述鰭部結構的此些犧牲層,使得前述鰭部結構的此些通道層露出;以及形成一閘極結構(gate structure)環繞露出的此些通道層,其中前述含有半導體之基底的一底表面係物理性接觸前述絕緣層的一頂表面,其中前述絕緣層及前述含有半導體之基底係設置在前述閘極結構的一最底部分(bottommost portion)和前述半導體基底之間。In one embodiment, the present disclosure provides a method for forming a semiconductor device. The method includes forming an insulating layer on a semiconductor substrate; forming a semiconductor-containing substrate on the insulating layer; forming a semiconductor-containing substrate on the semiconductor-containing substrate; A stack of semiconductor layers, in which the first semiconductor layers and the second semiconductor layers have different material compositions and are alternately arranged in the foregoing stack; for the foregoing insulating layer, the foregoing semiconductor-containing substrate, and the foregoing The foregoing stack of the first semiconductor layer and the second semiconductor layers is patterned to form a fin structure. The fin structure includes sacrificial layers containing the first semiconductor layers and Channel layers containing these second semiconductor layers; forming source/drain features (source/drain features) on the channel layers adjacent to the aforementioned fin structure; removing these sacrificial layers of the aforementioned fin structure , Exposing the channel layers of the aforementioned fin structure; and forming a gate structure (gate structure) surrounding the exposed channel layers, wherein a bottom surface of the aforementioned semiconductor-containing substrate is in physical contact with a portion of the aforementioned insulating layer On the top surface, the insulating layer and the semiconductor-containing substrate are disposed between a bottommost portion of the gate structure and the semiconductor substrate.
在一實施例中,前述含有半導體之基底包括一半導體上覆絕緣體(semiconductor-on-insulator,SOI)基底。在一實施例中,前述半導體上覆絕緣體(SOI)基底的一介電層具有與前述絕緣層不同的組成。在一實施例中,前述絕緣層包含一材料,前述材料係選自以氧化矽、氮化矽、氮氧化矽(silicon oxynitride)、氮碳氧化矽(silicon oxycarbonitride)、氮碳化矽(silicon carbon nitride)、摻氟矽玻璃(fluorine-doped silicate glass)、以及前述之組合所組成之群組。在一實施例中,此些源極/汲極部件的底部分(bottom portions of the source/drain features)物理性接觸前述絕緣層的側壁及前述含有半導體之基底的側壁。在一實施例中,此些源極/汲極部件的第一個係與此些源極/汲極部件的第二個以一分隔距離(separation distance)側向的分隔開來,其中前述含有半導體之基底的厚度係在前述分隔距離的約0.4倍至前述分隔距離的約0.6倍的範圍之間。在一實施例中,前述分隔距離是一電晶體裝置(transistor device)的一閘極長度(gate length),前述電晶體裝置包括前述鰭部結構及前述閘極結構。在一實施例中,前述方法中於鄰近前述鰭部結構的此些通道層形成前述源極/汲極部件的步驟包括:在前述鰭部結構之上形成一犧牲閘極結構(sacrificial gate structure),以使前述犧牲閘極結構覆蓋前述鰭部結構的一第一部分(first part),而前述鰭部結構的第二部分(second parts)維持露出;去除未被前述犧牲閘極結構覆蓋的前述鰭部結構的此些第二部分,前述去除係露出部分的前述半導體基底;水平的凹入此些犧牲層,以使此些犧牲層的邊緣(edges)位於前述犧牲閘極結構之下;在此些犧牲層的凹陷表面(recessed surface)上形成一內側間隔物(inner spacer);在前述半導體基底的此些露出部分之上形成一襯墊磊晶層(liner epitaxial layer);以及在前述襯墊磊晶層的上方形成此些源極/汲極部件。在一實施例中,前述半導體裝置的形成方法中,前述襯墊磊晶層包含未摻雜的矽。In one embodiment, the aforementioned semiconductor-containing substrate includes a semiconductor-on-insulator (SOI) substrate. In one embodiment, a dielectric layer of the aforementioned semiconductor-on-insulator (SOI) substrate has a different composition from the aforementioned insulating layer. In one embodiment, the insulating layer includes a material selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, silicon oxycarbonitride, and silicon carbon nitride. ), fluorine-doped silicate glass, and the aforementioned combination. In one embodiment, the bottom portions of the source/drain features (bottom portions of the source/drain features) physically contact the sidewalls of the aforementioned insulating layer and the aforementioned sidewalls of the semiconductor-containing substrate. In one embodiment, the first one of the source/drain components is laterally separated from the second one of the source/drain components by a separation distance, wherein the aforementioned The thickness of the semiconductor-containing substrate is in the range of about 0.4 times the aforementioned separation distance to about 0.6 times the aforementioned separation distance. In one embodiment, the separation distance is a gate length of a transistor device, and the transistor device includes the fin structure and the gate structure. In one embodiment, the step of forming the source/drain features in the channel layers adjacent to the fin structure in the foregoing method includes: forming a sacrificial gate structure on the fin structure , So that the sacrificial gate structure covers a first part of the fin structure, while the second part of the fin structure remains exposed; the fins that are not covered by the sacrificial gate structure are removed For these second parts of the structure, the aforementioned removal is to expose the part of the aforementioned semiconductor substrate; the sacrificial layers are recessed horizontally so that the edges of the sacrificial layers are located below the aforementioned sacrificial gate structure; here An inner spacer is formed on the recessed surfaces of the sacrificial layers; a liner epitaxial layer is formed on the exposed portions of the semiconductor substrate; and the liner epitaxial layer is formed on the liner These source/drain components are formed on the epitaxial layer. In one embodiment, in the method for forming a semiconductor device, the liner epitaxial layer includes undoped silicon.
在另一實施例中,本揭露提出一種半導體裝置的形成方法。此方法包括:在一半導體基底之上形成一含有介電質之基底(dielectric-containing substrate);在前述半導體基底上方的第一方向上形成複數個第一半導體層和一第二半導體層夾設於此些第一半導體層之間;對此些第一半導體層以及此第二半導體層進行圖案化,而形成一鰭部結構(fin structure),使前述鰭部結構包括了含有此些第一半導體層的犧牲層(sacrificial layers)以及含有前述第二半導體層的一通道層(channel layer);在前述鰭部結構之上形成一犧牲閘極結構(sacrificial gate structure),使前述犧牲閘極結構覆蓋前述鰭部結構的一部分,而前述鰭部結構的留下部分則保持露出;去除前述鰭部結構的此些留下部分;至少在此些犧牲層的一凹陷表面(recessed surface)上形成一內間隔物(inner spacer);於鄰近前述內間隔物和前述通道層形成一源極/汲極區(source/drain region);去除前述犧牲閘極結構;在去除前述犧牲閘極結構之後係去除前述鰭部結構中的此些犧牲層,使前述通道層露出且懸設(suspended over)於前述含有介電質之基底的上方;以及形成一閘極介電層(gate dielectric layer)及一閘極電極層(gate electrode layer)於露出的前述通道層之周圍,其中於前述含有介電質之基底的一底表面物理性接觸前述半導體基底的一頂表面,其中在前述第一方向上,前述閘極介電層及前述閘極電極層係設置於前述含有介電質之基底及前述通道層之間。In another embodiment, the present disclosure provides a method for forming a semiconductor device. The method includes: forming a dielectric-containing substrate on a semiconductor substrate; forming a plurality of first semiconductor layers and a second semiconductor layer in a first direction above the semiconductor substrate. Between the first semiconductor layers; the first semiconductor layers and the second semiconductor layer are patterned to form a fin structure, so that the fin structure includes the first semiconductor layers Sacrificial layers of the semiconductor layer and a channel layer containing the aforementioned second semiconductor layer; a sacrificial gate structure is formed on the aforementioned fin structure, so that the aforementioned sacrificial gate structure Cover a part of the aforementioned fin structure, while the remaining part of the aforementioned fin structure remains exposed; remove the remaining part of the aforementioned fin structure; at least form a recessed surface on the sacrificial layer Inner spacer; forming a source/drain region adjacent to the inner spacer and the channel layer; removing the sacrificial gate structure; removing the sacrificial gate structure after removing the sacrificial gate structure The sacrificial layers in the aforementioned fin structure expose the aforementioned channel layer and are suspended over the aforementioned dielectric-containing substrate; and form a gate dielectric layer and a gate A gate electrode layer surrounds the exposed channel layer, wherein a bottom surface of the dielectric-containing substrate physically contacts a top surface of the semiconductor substrate, wherein in the first direction, the The gate dielectric layer and the gate electrode layer are arranged between the substrate containing the dielectric and the channel layer.
在一實施例中,前述含有介電質之基底包括一第一層(first layer)及位於第一層之上的一第二層,前述第一層物理性接觸前述半導體基底,前述第一層及前述第二層具有不同的組成。在一實施例中,前述第一層包含一材料,此材料係選自以氧化矽、氮化矽、氮氧化矽(silicon oxynitride)、氮碳氧化矽(silicon oxycarbonitride)、氮碳化矽(silicon carbon nitride)、摻氟矽玻璃(fluorine-doped silicate glass)、以及前述之組合所組成之群組。在一實施例中,前述第二層包括一半導體上覆絕緣體(semiconductor-on-insulator,SOI)基底。在一實施例中,前述第二層在前述第一方向中的一厚度係在前述閘極電極層在一第二方向中之尺寸的約0.4倍至前述閘極電極層在第二方向中之尺寸的約0.6倍的範圍之間,第二方向係垂直於第一方向。在一實施例中,前述第二層在第一方向中的一厚度係在3奈米(nanometers)至7奈米的範圍之間。在一實施例中,前述內間隔物包括一材料,此材料係選自以氮化矽、氧化矽、氮氧化矽(SiON)、碳氧化矽(SiOC)、氮碳化矽(SiCN)、氮碳氧化矽(SiOCN)、以及前述之組合所組成之群組。In one embodiment, the substrate containing the dielectric includes a first layer and a second layer located on the first layer. The first layer physically contacts the semiconductor substrate, and the first layer And the aforementioned second layer has a different composition. In one embodiment, the aforementioned first layer includes a material selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, silicon oxycarbonitride, and silicon carbonitride. Nitride, fluorine-doped silicate glass, and a combination of the foregoing. In one embodiment, the aforementioned second layer includes a semiconductor-on-insulator (SOI) substrate. In one embodiment, a thickness of the second layer in the first direction is about 0.4 times the size of the gate electrode layer in a second direction to a thickness of the gate electrode layer in the second direction. In the range of about 0.6 times the size, the second direction is perpendicular to the first direction. In an embodiment, a thickness of the aforementioned second layer in the first direction is in the range of 3 nanometers to 7 nanometers. In one embodiment, the aforementioned inner spacer includes a material selected from the group consisting of silicon nitride, silicon oxide, silicon oxynitride (SiON), silicon oxycarbide (SiOC), silicon carbide nitride (SiCN), carbon nitride A group consisting of silicon oxide (SiOCN) and the aforementioned combination.
在另一實施例中,本揭露提出一種半導體裝置。此半導體裝置包括:一含有介電質之基底(dielectric-containing substrate)位於一半導體基底之上;通道層(channel layers)垂直的懸置在前述含有介電質之基底之上,一最底部通道層係與前述含有介電質之基底垂直相隔(vertically separated)一空間(space);一第一源極/汲極區(first source/drain region)位於前述半導體基底之上,且此第一源極/汲極區接觸前述通道層的第一末端(first ends);一第二源極/汲極區(second source/drain region)位於前述半導體基底之上,且此第二源極/汲極區接觸前述通道層的第二末端(second ends);一閘極介電層(gate dielectric layer)位於前述通道層上且圍繞各個通道層;以及一閘極電極層(gate electrode layer)位於前述閘極介電層上,且前述閘極電極層圍繞各個通道層,其中圍繞最底部通道層的前述閘極介電層及前述閘極電極層係位於前述含有介電質之基底和此最底部通道層之間的前述空間中,前述含有介電質之基底係物理性接觸前述半導體基底。In another embodiment, the present disclosure provides a semiconductor device. The semiconductor device includes: a dielectric-containing substrate on a semiconductor substrate; channel layers are vertically suspended on the aforementioned dielectric-containing substrate, and a bottommost channel The layer is vertically separated from the aforementioned dielectric-containing substrate by a space; a first source/drain region (first source/drain region) is located on the aforementioned semiconductor substrate, and the first source The electrode/drain region is in contact with the first ends of the aforementioned channel layer; a second source/drain region (second source/drain region) is located on the aforementioned semiconductor substrate, and the second source/drain region The region is in contact with the second ends of the aforementioned channel layer; a gate dielectric layer is located on the aforementioned channel layer and surrounds each channel layer; and a gate electrode layer is located on the aforementioned gate On the dielectric layer, and the gate electrode layer surrounds each channel layer, wherein the gate dielectric layer and the gate electrode layer surrounding the bottommost channel layer are located on the substrate containing the dielectric substance and the bottommost channel In the aforementioned space between the layers, the aforementioned dielectric-containing substrate is in physical contact with the aforementioned semiconductor substrate.
在一實施例中,前述含有介電質之基底包含一第一材料,此第一材料係選自以氧化矽、氮化矽、氮氧化矽(silicon oxynitride)、氮碳氧化矽(silicon oxycarbonitride)、氮碳化矽(silicon carbon nitride)、摻氟矽玻璃(fluorine-doped silicate glass)、摻氟矽玻璃(fluorine-doped silicate glass)、以及前述之組合所組成之群組。在一實施例中,前述含有介電質之基底更包括設置於前述第一材料上的一半導體上覆絕緣體(SOI)基底,且此半導體上覆絕緣體基底係物理性接觸前述第一材料。在一實施例中,前述通道層包括矽或一以矽為主的化合物(Si-based compound)。In one embodiment, the aforementioned dielectric-containing substrate includes a first material selected from silicon oxide, silicon nitride, silicon oxynitride, and silicon oxycarbonitride. , Silicon carbon nitride, fluorine-doped silicate glass, fluorine-doped silicate glass, and a combination of the foregoing. In one embodiment, the aforementioned dielectric-containing substrate further includes a semiconductor-on-insulator (SOI) substrate disposed on the aforementioned first material, and the semiconductor-on-insulator (SOI) substrate is in physical contact with the aforementioned first material. In one embodiment, the aforementioned channel layer includes silicon or a Si-based compound.
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。The components of several embodiments are summarized above so that those with ordinary knowledge in the technical field of the present invention can better understand the viewpoints of the embodiments of the present invention. Those with ordinary knowledge in the technical field of the present invention should understand that they can easily design or modify other manufacturing processes and structures based on the embodiments of the present invention to achieve the same purposes and/or advantages as the embodiments described herein. . Those with ordinary knowledge in the technical field to which the present invention belongs should also understand that such equivalent structures do not depart from the spirit and scope of the present invention, and they can do various things without departing from the spirit and scope of the present invention. Such changes, substitutions and replacements. Therefore, the scope of protection of the present invention shall be subject to the definition of the attached patent scope.
10:半導體基底
10’:井區
12:雜質離子
14:絕緣層
14’:圖案化絕緣層
15:遮罩層
15A:第一遮罩層
15B:第二遮罩層
15’:圖案化遮罩層
16:含半導體層
16’:圖案化含半導體層
20:第一半導體層
25:第二半導體層
30:鰭部結構
35:第一襯墊層
40:隔離絕緣層
41:絕緣材料層
50:犧牲閘極結構
52:犧牲閘極介電層
53:毯覆層
54:犧牲閘極電極層
55:側壁間隔物
56:接墊氮化矽層
58:(氧化矽)遮罩層
69:內間隔物
70:襯墊磊晶層
80:源極/汲極磊晶層
82:孔洞
90:第二襯墊層
95:層間介電層
102:閘極介電層
102A:界面層
104:閘極電極層
106:蓋體絕緣層
110:接觸孔
120:矽化物層
130:導電材料
X1-X1,Y1-Y1:剖面線段
LG
:長度
T1,T2,T3:厚度(厚度差)
W1:寬度
H:高度
D1,D2,D3:深度(深度差)
X,Y,Z:方向
240,242,244,246,248,250,252:步驟10:
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1、2、3、4、5、6、7、8、9、10、11A、11B、11C、12A、12B、12C、13A、13B、13C、14、15、16、17A、17B、18、19、20、21、22A、22B和22C圖顯示依據本揭露的一實施例之製造環繞式閘極場效電晶體(GAA FET)裝置的例示性連續製程。 第23A、23B、23C圖顯示依據本揭露之另一實施例的環繞式閘極場效電晶體(GAA FET)裝置。 第24圖顯示根據本揭露的一實施例之一多閘極場效電晶體(multi-gate FET)的形成方法的流程圖。The content of the embodiments of the present invention can be better understood through the following detailed description in conjunction with the accompanying drawings. It should be emphasized that according to industry standard practices, many features are not drawn to scale. In fact, in order to be able to discuss clearly, the size of various components may be arbitrarily increased or decreased. 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11A, 11B, 11C, 12A, 12B, 12C, 13A, 13B, 13C, 14, 15, 16, 17A, 17B, 18 , 19, 20, 21, 22A, 22B, and 22C show an exemplary continuous process for manufacturing a wrap-around gate field effect transistor (GAA FET) device according to an embodiment of the present disclosure. Figures 23A, 23B, and 23C show a wrap-around gate field effect transistor (GAA FET) device according to another embodiment of the present disclosure. FIG. 24 shows a flowchart of a method for forming a multi-gate FET (multi-gate FET) according to an embodiment of the disclosure.
240,242,244,246,248,250,252:步驟240,242,244,246,248,250,252: steps
Claims (1)
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962906287P | 2019-09-26 | 2019-09-26 | |
US62/906,287 | 2019-09-26 | ||
US16/926,165 US11282748B2 (en) | 2019-09-26 | 2020-07-10 | Semiconductor device and method of forming the same |
US16/926,165 | 2020-07-10 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202127511A true TW202127511A (en) | 2021-07-16 |
Family
ID=75163719
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109132853A TW202127511A (en) | 2019-09-26 | 2020-09-23 | Method of forming semiconductor device |
Country Status (1)
Country | Link |
---|---|
TW (1) | TW202127511A (en) |
-
2020
- 2020-09-23 TW TW109132853A patent/TW202127511A/en unknown
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI726365B (en) | Semiconductor device and manufacturing method thereof | |
US12142635B2 (en) | Gate all-around semiconductor device | |
TWI661490B (en) | Semiconductor device and manufacturing the same | |
KR102354010B1 (en) | Semiconductor device and manufacturing method thereof | |
US11823957B2 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
KR102354012B1 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
US11282748B2 (en) | Semiconductor device and method of forming the same | |
TWI746351B (en) | Semiconductor device and manufacturing method thereof | |
TWI770748B (en) | Semiconductor devices and methods for manufacturing the same | |
TW202333381A (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
US12142533B2 (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
TW202105600A (en) | Semiconductor device and manufacturing method thereof | |
KR102495777B1 (en) | Multi-gate devices with multi-layer inner spacers and fabrication methods thereof | |
TW202027170A (en) | Method of manufacturing a semiconductor device and a semiconductor device | |
TW202127511A (en) | Method of forming semiconductor device | |
US20240395864A1 (en) | Semiconductor device and manufacturing method thereof | |
TW202320145A (en) | Semiconductor device and method of manufacturing the same |