[go: up one dir, main page]

TW200929541A - Transistor and method of fabricating the same - Google Patents

Transistor and method of fabricating the same Download PDF

Info

Publication number
TW200929541A
TW200929541A TW097141063A TW97141063A TW200929541A TW 200929541 A TW200929541 A TW 200929541A TW 097141063 A TW097141063 A TW 097141063A TW 97141063 A TW97141063 A TW 97141063A TW 200929541 A TW200929541 A TW 200929541A
Authority
TW
Taiwan
Prior art keywords
layer
epitaxial layer
concentration
epitaxial
transistor
Prior art date
Application number
TW097141063A
Other languages
English (en)
Inventor
Cheol-Hoon Yang
Yong-Han Jeon
Original Assignee
Jusung Eng Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Jusung Eng Co Ltd filed Critical Jusung Eng Co Ltd
Publication of TW200929541A publication Critical patent/TW200929541A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/791Arrangements for exerting mechanical stress on the crystal lattice of the channel regions
    • H10D30/797Arrangements for exerting mechanical stress on the crystal lattice of the channel regions being in source or drain regions, e.g. SiGe source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/01Manufacture or treatment
    • H10D30/021Manufacture or treatment of FETs having insulated gates [IGFET]
    • H10D30/027Manufacture or treatment of FETs having insulated gates [IGFET] of lateral single-gate IGFETs
    • H10D30/0275Manufacture or treatment of FETs having insulated gates [IGFET] of lateral single-gate IGFETs forming single crystalline semiconductor source or drain regions resulting in recessed gates, e.g. forming raised source or drain regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/01Manufacture or treatment
    • H10D62/021Forming source or drain recesses by etching e.g. recessing by etching and then refilling
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/01Manufacture or treatment
    • H10D30/021Manufacture or treatment of FETs having insulated gates [IGFET]
    • H10D30/0212Manufacture or treatment of FETs having insulated gates [IGFET] using self-aligned silicidation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/80Semiconductor bodies, or regions thereof, of devices having potential barriers characterised by the materials
    • H10D62/82Heterojunctions
    • H10D62/822Heterojunctions comprising only Group IV materials heterojunctions, e.g. Si/Ge heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

200929541 九、發明說明: 【發明所屬之技術領域】 本發明係關於電晶體及其製造方法,且_日日# 且灵明確而言,係 關於具有藉由在源極及汲極區域中磊晶生長半導體層而形 成之應變通道之電晶體及其製造方法。 【先前技術】 - 隨著半導體裝置已高度集成’電晶體之閘極長度減小, 且因此電晶體之通道長度亦變得更小。隨著通道長产減 〇 小,源極及汲極對通道區域中之電場或電位之影響變得顯 著。此現象被稱為短通道效應β載流子遷移率由於短通道 效應而減小,且電晶體之效能由於接觸寄生電阻而降低。 因此,已經對能夠改良電晶體之效能同時減小閘極長度之 方法進行了各種研究。 於該等方法中’有一種方法使用局部應變效應,其藉由 在電晶體之閘極下之通道區域中產生張力及壓縮力來增加 電子或電洞之移動。使用局部應變效應之代表性方法為在 NMOS電晶體之情況下,藉由改變在形成接觸孔之過程期 間充當蝕刻停止層之層之種類及厚度而在通道區域甲形成 ’ 張力之方法,或在PMOS電晶體之情況下,藉由在使源極 , 及汲極區域凹進之後,經由選擇性磊晶生長(SEG)使用矽 鍺(SiGe)填充凹進區域而在通道區域中形成應變之方法, 矽鍺與具有5.43A之晶格常數之矽相比具有較大之晶格常 數。 在PMOS電晶體中,為了藉由產生較大之應變來進一步 135679.doc 200929541 增強載流子遷移率,使SiGe中Ge之成分增加至某一等級, 諸如大於20%,使得可在凹進區域中產生諸如堆垛層錯之 缺陷。即,若以大於20%之較高Ge濃度來生長SiGe,那麼 隨著Ge之濃度增加,其生長模式趨向於展示島嶼型生長模 式(沃盧默-羊博模式(V〇lumer_Weber m〇de))或層型及島嶼 型生長模式之雜磊晶模式(斯特蘭斯基_克拉斯塔馬諾夫模 式(Stranski-Krastanove m〇de)),而並非逐層地磊晶生長該 層之層型生長模式(弗蘭克-范德爾梅模式(Frank_van da Merwe mode))。因此在凹進區域與SiGe區域之界面中產生 諸如堆垛層錯之缺陷。 此外,執行離子植入及矽化物製程,以減小具有高&濃 度之SiGe層之接觸電阻。然而,相反薄層電阻增加。即, 在300 K之溫度下,Si之電子遷移率及電洞遷移率分別為 1500 cm2/V-s及400 cm2/V-s,且Ge之電子遷移率及電洞遷 移率分別為3900 cm2/V-s及1900 cm2/V-s。理論上,隨著
SiGe中Ge之濃度增加’薄層電阻減小β然而,實際上,隨 著Ge之濃度增加’薄層電阻亦增加,因為在犯矽化物製程 中用作矽化物之矽化物材料(諸如Ni)容易聚集在SiGe之表 面上。 由於諸如源極及汲極區域之SiGe之堆垛層錯之缺陷,形 成應變通道之效應減小。當矽化物之薄層電阻增加時洩 漏電流增加,且因此不能實現期望藉由使用SiGe來增加載 流子遷移率。另外,上述缺點降低了電晶體之效能。 【發明内容】 135679.doc 200929541 本發明之一態樣提供一種電晶體及其製造方法,其能夠 藉由防止電阻增加及堆祿層錯來改良效能。 本發明之另一態樣提供一種電晶體及其製造方法,其能 夠藉由形成Ge濃度比第一 SiGe層之Ge濃度低之第二SiGe 層來在矽化物製程之後防止堆垛層錯及薄層電阻增加,其 中該第二SiGe層形成於第一 SiGe層上。 本發明之又一態樣提供一種電晶體及其製造方法,其能 夠藉由形成Ge濃度皆比SiGe層之Ge濃度低之SiGe種子層 及SiGe蓋層來在矽化物製程之後防止堆垛層錯及薄層電阻 增加,其中SiGe種子層及SiGe蓋層分別形成於SiGe層下及 SiGe層上。 根據本發明之例示性實施例,一種電晶體包括:第一遙 晶層,其含有Ge,且形成於基板在閘電極之兩側處之凹進 區域中;以及第二磊晶層,其含有Ge,其濃度低於第一磊 晶層之Ge濃度’且第二磊晶層形成於第一磊晶層下及上中 之至少一者》 第一磊晶層可包括SiGe層,且SiGe層之Ge之濃度可在約 25%至約50%之範圍内。 第二磊晶層可包括SiGe層,且SiGe層之Ge之濃度可在約 5%至約15%之範圍内。 形成於第一磊晶層上之第二磊晶層中所含有之之濃度 可於自下部至上部之過程中減小。 形成於第一磊晶層下之第二磊晶層中所含有之Ge之濃度 可於自下部至上部之過程中增加。 I35679.doc 200929541 該電晶體進-步可包括形成於閘電極、第一磊晶層或形 成於該第一磊晶層上之第二磊晶層上之矽化物層。 該電晶體可進一步包括形成於第二磊晶層上之矽層,該 第二蟲晶層形成於第一蟲晶層上。 該電晶體可進一步包括形成於矽層及閘電極上之矽化物 層。 根據本發明之另一例示性實施例,一種製造電晶鱧之方 法包括.在基板之給定部分上形成閘電極;藉由在該閘電 極之兩側触刻基板之預定部分來形成凹進區域;在凹進區 域中形成含有Ge之第一磊晶層;以及形成^濃度低於第 一磊晶層之Ge濃度之第二磊晶層,其中該第二磊晶層形成 於第一蠢晶層下及上中之至少一者。 第一及第二磊晶層可包括SiGe層。 形成於第一磊晶層上之第二磊晶層可經形成以使得(^濃 度於自下部至上部之過程中減小。 形成於第一磊晶層下之第二磊晶層可經形成以使得以濃 度於自下部至上部之過程中增加。 形成第一磊晶層及形成第二磊晶層可連續執行。 該方法進一步可包括在閘電極、第一磊晶層或形成於第 一磊晶層上之第二磊晶層上進一步形成矽化物層。 該方法進一步包括在第一磊晶層或第二磊晶層上形成矽 層。 該方法進一步包括在矽層及閘電極上形成矽化物層。 【實施方式】 135679.doc -9- 200929541 藉由參看隨附®式詳細描述本發明之較佳實施例,本發 明之上述及其他特徵及優勢將變得更加明顯。 在下文中,將參看隨附圖式詳細描述例示性實施例。然 而,本發明可以不同形式實施,且不應被解釋為侷限於本 t所陳述之實施例。相反地,提供此等實施例以使得本發 月將是詳盡及疋整的,且將向熟習此項技術者全面傳達本 發明之範圍。在圖中,為了說明清晰而誇示層及區域之尺 寸。相同參考標號始終指代相同元件。亦將理解,當稱 ® &、膜、區或板位於另-者"上"時,其可直接位於該另一 者上’或亦可能存在一或多個介入之層、膜、區或板。 圖1說明根據本發明第一實施例之電晶體之橫截面圖。 參看圖1 ’該電晶體包括以堆疊結構形成於基板110上之 閘極絕緣層1 20及閘電極130、形成於閘電極1 3〇之側壁上 之間隔物140、形成於基板11〇在閘電極13〇之兩側處之凹 進區域中且充當源極及汲極區域之磊晶層152、形成於磊 _ 晶層152上之蓋層153,以及形成於閘電極13〇及蓋層153上 之矽化物層160。本文中,磊晶層152及蓋層153由SiGe形 成,且蓋層153中所含有之Ge之濃度低於磊晶層152中所含 有之Ge之濃度。此外’蓋層153中所含有之Ge之濃度可於 自下部至上部之過程中逐漸降低。根據本發明之另一實施 例,裝置隔離層115可形成於基板110中。 基板110可包括具有單晶半導體層或單晶半導體晶圓之 絕緣體上矽(SOI)基板。該單晶半導體層可為單晶矽層、 單晶鍺層、單晶矽鍺層及單晶碳化矽層中之一者。單晶半 135679.doc -10· 200929541 導體晶圓可為單晶矽晶圓、單晶鍺晶圓、單晶矽鍺晶圓及 單晶碳化矽晶圓中之一者。同時,裝置隔離層115可形成 於基板110中,以界定有源區域及場區域,從而隔離裝 置。可藉由淺溝槽隔離(STI)製程來形成裝置隔離層115〇 閘極絕緣層120形成於基板110之一部分上,且可藉由使 用氧化矽(Si〇2)層、氮化矽(SiNx)層、氧氮化矽(Si〇N)層 等而以單個或堆疊結構形成。 閘電極130形成於閘極絕緣層120上,且可藉由使用諸如 多晶矽層及金屬層之導電層而以單個或堆疊結構形成。 間隔物140形成於閘電極13〇之側壁上,且可藉由使用氧 化矽(Si〇2)層、氮化矽(SiNx)層、氧氮化矽(Si〇N)層等而 以單個或堆疊結構形成。 磊晶層152形成於凹進區域中,其中基板11〇在閘電極 130之兩側處以給定深度凹進’且可藉由磊晶生長“&層 而形成。磊晶層152含有Ge,其濃度大於25%,且較佳在 約25%至約50%之範圍内。若磊晶層152中所含有之Ge之濃 度低於25% ’那麼難以使載流子遷移率增加達到所需之等 級’因為通道區域具有較小之應變,且蓋層之影響較輕。 另一方面,若Ge之濃度大於50%,那麼Ge可能在隨後之製 程中擴散,且因此電阻可能增加。 蓋層153形成於磊晶層152上,且厚度小於磊晶層152之 厚度。舉例而言’蓋層1 53之厚度在約5 nm至約10 nm之範 圍内。蓋層153由SiGe形成,且所含有之Ge之濃度低於磊 晶層152中所含有之Ge之濃度。蓋層ι53所含有之Ge之濃 135679.doc 200929541 度低於1 5%,且較佳在約5%至約丨5%之範圍内。若蓋層 153中所含有之Ge之濃度低於5%,那麼層ι53不能充當蓋 層’因為其特徵變得實質上與以層之特徵相同。另一方 面,若Ge之濃度大於15%,那麼該濃度接近於磊晶層152 中所含有之Ge之濃度’且因此蓋層153不能充當緩衝層。 此外,蓋層153形成為所含有之^濃度可於自與磊晶層152 接觸之下部至上部之過程中逐漸降低。舉例而言,蓋層 153中所含有之Ge之濃度在下部為15%,且在最上部變為 5%,因為Ge之濃度在到達蓋層153之上部之過程中降低。 矽化物層160形成於導電層(即,閘電極13〇及蓋層153) 之暴露部分上,且可包括Ni層。Ni層可包括純Ni層或Ni合 金層。Ni合金層可含有選自由以下各物組成之群組中之一 者.组(Ta)、鍅(Zr)、鈦(Ti)、給(Hf)、||(W)、姑(Co)、 始(Pt)、钥(Mo)、鈀(Pd)、釩(V)、鈮(Nb)及其組合。 在根據本發明第一實施例之電晶體中,藉由在源極及汲 極區域中生長SiGe磊晶層152,SiGe在凹進區域中具有應 變,因為Si及Ge之晶格常數分別為5.43及5.65。此應變之 SiGe向通道區域提供壓縮應變,且因此電洞遷移率增加。 此外’可藉由在磊晶層152上生長蓋層153來防止電阻由於 磊晶層152中所含有之Ge之濃度增加而增加,其中蓋層ι53 中所含有之Ge之濃度低於磊晶層152中所含有之仏之濃 度。因此’可藉由在PMOS電晶體中使用應變通道增強載 流子遷移率,來改良電晶體之效能。 圖2至圖5為說明製造圖1中所描述之根據本發明第一實 135679.doc 12 200929541 施例之電晶體之方法之橫截面圖。 參看圖2,裝置隔離層115形成於基板11〇之一部分中, 其包括單晶半導體晶圓或具有單晶半導體層之s〇I基板。 裝置隔離層U5可藉由STI製程形成,藉由使用諸如氧化矽 層、氮化矽層或氧氮化矽層之絕緣層而使閘極絕緣層12〇 形成於基板110上。接著,藉由以單個或堆疊結構形成諸 如多晶矽層或金屬層之導電層,且圖案化該導電層,來製 作閘電極1 30。間隔物1 40形成於閘電極130之側壁上。可 藉由在包括閘電極130之所得結構之整個表面上以單個或 堆整結構形成絕緣層’且對該絕緣層執行前蝕刻製程,來 製作間隔物140。接著藉由經由乾蝕刻或濕蝕刻製程,在 間隔物140之兩側以給定深度蝕刻基板丨1〇,來形成凹進區 域 150。 參看圖3,藉由乾式清潔或濕式清潔過程來移除保留在 凹進區域150之表面上之原生氧化物或污染材料。使用化 學耽相沈積方法形成蠢晶層152,該化學氣相沈積方法諸 如為超高真空化學氣相沈積(UHVCVD)方法、遠端電漿 CVD(RPCVD)方法、低壓CVD(LPCVD)方法或大氣壓 CVD(APCVD)方法》磊晶層152可包括使用含有siH4或
Si2Hfi之Si源氣體及含有GeH4之Ge源氣體形成之SiGe層。 此時,添加含有C卜HCM、SiCl4、SiHCl3、SiH2Cl2及其組 合中之一者的基於C丨之蝕刻氣體以增強選擇性效能。在磊 晶生長過程中,SiGe磊晶層152選擇性地形成於基板110之 凹進區域150中’但其不形成於諸如裝置隔離層(未圖示)及 135679.doc 13 200929541 間隔物140之絕緣層上。本文中,磊晶層152經形成以藉由 調節Si源氣體及Ge源氣體之流入,而含有濃度在約25%至 約50%之範圍内之Ge。可在根據裝置之設計或種類而原位 摻雜第III族元素時’生長磊晶層152。 參看圖4’蓋層153形成於磊晶層152上,以具有小於磊 晶層1 52之厚度之厚度。類似於磊晶層丨52,蓋層1 53由
SiGe形成。即,使用含有8出4或叫札之“源氣體、含有
GeH4 之 Ge 源氣體以及含有 C1、HC1、SiCl4、SiHCl3、
SiHAb及其組合中之一者的基於(^之蝕刻氣體來形成蓋層 153。此時,蓋層153形成為所含有之&之濃度低於磊晶層 152中所含有之Ge之濃度。舉例而言,蓋層153經形成以藉 由調節Si源氣體及Ge源氣體之流入,而含有濃度變為在約 5%至約15。/❶之範圍内之Ge。可在形成磊晶層152之過程之 後的過程中形成蓋層153 ^即,可在形成磊晶層152之後, 藉由減少Ge源氣體之流入而形成蓋層153。同時,蓋層153 可經形成以含有濃度於自與磊晶層152接觸之下部至上部 之過程中逐漸降低之Ge。舉例而言,蓋層153中所含有之
Ge之漠度在下部可為15%,且在上部可為5%。在此情況 下,亦可在連續過程中形成磊晶層152及蓋層153。即,在 形成磊晶層152之後,可隨著Ge源氣體之流入之減少而形 成蓋層1 53。 參看圖5,藉由在包括蓋層153之所得結構之整個表面上 形成諸如Νι層之金屬層,且對該%層執行熱處理過程,以 允許Ni層與蓋層153及閘電極13〇起反應,來製作矽化物層 135679.doc 200929541 ^Ο。在本文中,可在形成金屬層之前,將諸如b之第Ιπ族 元素注入蓋層153中。 圖ό說明根據本發明第二實施例之電晶體之橫截面圖。 藉由在形成磊晶層之前形成包括SiGe層之種子層(以以層 所含有之Ge之濃度在約5%至約15%之範圍内),有可能去 除由於磊晶層含有濃度大於25%之Ge而容易發生在凹進部 分之下部處之堆垛層錯。藉由形成蓋層來防止電阻增加。 參看圖6 ’根據本發明第二實施例之電晶體包括以堆疊 結構形成於基板110上之閘極絕緣層12〇及閘電極130、形 成於閘電極130之侧壁上的間隔物14〇、形成於基板1丨〇在 閘電極130之兩側處之凹進區域中的種子層151及磊晶層 152、形成於磊晶層152上之蓋層153,以及形成於閘電極 13 0及蓋層153上之矽化物層160。在本文中,種子層151、 蠢晶層152及蓋層153係由SiGe形成,且種子層151及蓋層 153中所含有之Ge之濃度低於磊晶層152中所含有之〇6之 濃度。此外’蓋層153中所含有之Ge之濃度可於自下部至 上部之過程中逐漸降低。種子層1 5丨中所含有之Ge之濃度 可於自下部至上部之過程中逐漸增加。 種子層15 1經形成以在凹進區域中具有約5 nm至約10 nm 之給定厚度,其中基板110在閘電極丨3〇之兩側處以給定深 度凹進,且可藉由磊晶生長SiGe層來形成。種子層151可 含有濃度低於1 5%,且宜在約5%至約1 5%之範圍内之Ge。 此外,種子層15 1可經形成以含有濃度於自下部至上部之 過程中逐漸增加之Ge。舉例而言,種子層151中所含有之 135679.doc 200929541
Ge之/辰度在下部可為5%,且在最上部變為1 5%,因為^ 之濃度在到達上部之過程中逐漸增加。 藉由在種子層丨51上磊晶生長SiGe層來形成磊晶層丨52。 磊晶層152可經形成以含有濃度大於25%,且較佳在約25% 至約50%之範圍内之Ge。 蓋層153形成於磊晶層152上,且具有小於磊晶層152之 厚度之厚度。舉例而言,蓋層153之厚度在約5 nm至約1〇 nm之範圍内。蓋層153*Si(Je形成,且所含有之&之濃度 低於磊晶層152中所含有之Ge之濃度。蓋層153含有濃度低 於1 5%,且較佳在約5〇/〇至約1 5%之範圍内之Ge。此外,蓋 層153可經形成以含有濃度於自與磊晶層ι52接觸之下部至 上部之過程中逐漸降低之Ge。舉例而言,蓋層丨53中所含 有之Ge之濃度在下部為15%,且在最上部變為5%,因為 Ge之濃度在到達上部之過程中降低。 形成根據第二實施例之電晶體之方法遵循製造圖1及圖 2A至圖2D中所描述的根據第一實施例之電晶體之過程。 與第一實施例不同’根據第二實施例之電晶體包括在形成 蠢晶層152之前形成之種子層151,其中種子層151由含有 濃度在約5°/。至約15%之範圍内之Ge的SiGe或含有濃度於自 下部至上部之過程中逐漸增加之Ge的SiGe形成。因此,可 在連續過程中形成種子層151、磊晶層152及蓋層153。在 一個實施例中’種子層151首先經形成以藉由供應Si源氣 體、Ge源氣體及蝕刻氣體而含有濃度低於1 5%之Ge ;隨 後,磊晶層152經形成以藉由減少Si源氣體之流入並增加 135679.doc -16- 200929541
Ge源氣體之流入而含有濃度大於25%之Ge ;且最後’蓋層 1 53經形成以藉由再次增加Si源氣體之流入並減少Ge源氣 體之流入而含有濃度低於1 5%之濃度之Ge。在另一實施例 中,種子層15 1經形成以藉由減少S i源氣體之流入並增加 Ge源氣體之流入而含有濃度自5。/。增加至15%之Ge ;接著 磊晶層152經形成以藉由減少Si源氣體之流入並增加Ge源 ' 氣體之流入而含有濃度大於25%之Ge ;且最後,蓋層153 經形成以藉由再次增加Si源氣體之流入並減少Ge源氣體之 〇 流入而含有濃度自1 5%降低至5%之Ge。在又一實施例中, 種子層151經形成以含有濃度自5°/。逐漸增加至15°/。之Ge, 且蓋層153含有濃度固定為15%之Ge。在再一實施例中, 種子層151含有濃度固定為15%之Ge,且蓋層153經形成以 含有濃度自1 5%逐漸減小至5%之Ge。 圖7說明根據本發明第三實施例之電晶體之橫截面圖。 參看圖7’該電晶體包括以堆疊結構形成於基板11()上之 0 閘極絕緣層120及閘電極丨30、形成於閘電極130之側壁上 之間隔物140、形成於基板11〇在閘電極13〇之兩側處之凹 進區域中的種子層151及磊晶層152、形成於磊晶層152上 之第一蓋層153及第二蓋層154、以及形成於閘電極no及 第二蓋層154上之矽化物層16〇。在本文中,種子層ι51、 蟲晶層152及第一蓋層153由SiGe形成,且種子層151及第 一蓋層153中所含有之Ge之濃度低於磊晶層152中所含有之 Ge之濃度。此外,第一蓋層ι53中所含有之&之濃度可於 自下部至上部之過程中逐漸降低。種子層151中所含有之 135679.doc 17. 200929541
Gei,農度可於自下部至上部之過程中逐漸增加。使用矽層 形成第二蓋層154,且具有等於或小於第一蓋層153之厚度 之厚度。 如上文所述,當在由SiGe形成之第一蓋層153上形成由 矽形成之第二蓋層154時,可藉由第二蓋層154進一步減小 源極及汲極區域之電阻。在此情況下,〇6濃度大於25%之 磊晶層152之間的閘電極13〇下之區域變為應變通道區域。 同時,該實施例藉由在磊晶層152上形成蓋層153而防止 © 電阻增加,藉由分別在磊晶層151下形成種子層151及在磊 晶層151上形成蓋層153來防止堆垛層錯及電阻增加,且藉 由在蓋層153上形成矽蓋層154來進一步防止電阻增加。同 時可僅在蠢晶層152下形成種子層151,其可防止堆梅層 錯以及電阻增加。即,藉由經由形成種子層151防止磊晶 層152之堆垛層錯,Ge完全組合於磊晶層152中,且因此有 可能防止在隨後之矽化物製程中發生Ge聚集於磊晶層152 之表面上。 0 根據本發明之例示性實施例,具有高Ge濃度之siGe磊晶 層於閘極下之通道區域中形成壓縮應變,從而改良載流子 遷移率’且形成於SiGe磊晶層下具有較低Ge濃度之8丨(^種 子層可防止凹進區域中堆垛層錯之產生。而且,藉由在 SiGe蟲晶層上形成具有較低Ge濃度之SiGe蓋層,有可能防 止電阻隨著磊晶層之Ge濃度增加而增加。此外,藉由在 SiGe蓋層上形成矽蓋層,可進一步減小電阻。 因此,可藉由使用應變通道增加PMOS電晶體之載流子 I35679.doc -18· 200929541 遷移率來實現高密度及高集成度,此改良了 CMOS裝置之 總體效能。 儘管已參考例示性實施例描述了本發明,但本發明並非 偈限於此。因此,熟習此項技術者將容易理解,可在不脫 離由所附申請專利範圍所界定之本發明的精神及範疇之情 況下,對本發明作出各種修改及改變。 【圖式簡單說明】
圖1為說明根據本發明第一實施例之電晶體之橫截面 圖; 圖2至圖5為說明製造圖i中所描述之根據本發明第一實 施例之電晶體的方法之橫截面圖; 圖6為說明根據本發明第一 . + ® a乐一貫施例之電晶體之橫截面 圖 7為說明根據本發明第 圖。 三實施例之電晶體之橫截面
【主要元件符號說明】 110 115 120 130 140 150 151 152 基板 裝置隔離層 閘極絕緣層 閘電極 間隔物 凹進區域 種子層 遙晶層 135679.doc -19· 200929541 153 第 一蓋層 154 第 二蓋層 160 矽化物層 ❹ ❹ 135679.doc -20-

Claims (1)

  1. 200929541 十、申請專利範圍: 1, 一種電晶體,其包含: 一形成於一基板上之閘電極; 一第一遙晶層’其含有Ge’且形成於該基板在該閘電 極之兩侧處之一凹進區域中;以及 一第二磊晶層’其Ge濃度低於該第一磊晶層之Ge濃 度’且形成於該第一遙晶層下與上中之至少一者。 2. 如请求項1之電晶體,其中該第一磊晶層包含一 SiGe & 層’且該SiGe層之Ge之該濃度在約25%至約50%之一範 圍内。 3. 如請求項1之電晶體,其中該第二磊晶層包含一 siGe 層’且該SiGe層之Ge之該濃度在約5%至約15%之一範圍 内。 4. 如請求項3之電晶體,其中形成於該第一磊晶層上之該 第二磊晶層中所含有之Ge之該濃度於自一下部至一上部 之過程中減小。 5. 如請求項3之電晶體,其中形成於該第一磊晶層下之該 第二磊晶層中所含有之Ge之該濃度於自一下部至一上部 之過程中增加。 6. 如請求項1之電晶體,進一步包含一矽化物層,其形成 於該閘電極、該第一磊晶層,或形成於該第一磊晶層上 之該第二磊晶層上。 7'如請求項1之電晶體,進一步包含一形成於該第二磊晶 層上之矽層,其中該第二磊晶層係形成於該第一磊晶層 135679.doc 200929541 上。 8.如請求項7之電晶體,進一步包含一形成於該矽層及該 閘電極上之石夕化物層。 9· 種製造一電晶體之方法’該方法包含: 於一基板之一給定部分上形成一閘電極; 藉由餘刻該基板在該閘電極之兩側處之預定部分形成 一凹進區域; 在該凹進區域中形成一含有Ge之第一磊晶層;以及 形成Ge濃度低於該第一磊晶層之Ge濃度之一第二蟲晶 層,其令該第二蟲晶層形成於該第一蟲晶層下與上中之 至少一者。 1〇·如请求項9之方法,其中該第一及該第二磊晶層包含一 SiGe 層。 11. 如請求項9之方法,其中形成於該第一磊晶層上之該第 二磊晶層經形成以使得(^濃度於自一下部至一上部之過 程中減小。 12. 如请求項9之方法,其中形成於該第一磊晶層下之該第 —磊晶層經形成以使得Ge濃度於自一下部至一上部之過 程中增加。 13·如請求項9之方法,丨中形成該第一磊晶層及形成該第 二為晶層係連續執行的。 14 · 言青 jjg q 之方法,進一步包含於該閘電極、該第一磊 s層或形成於該第—磊晶層上之該第二磊晶層上形成一 矽化物層。 135679.doc 200929541
    15·如請求項9之方法,進一步包含於該第一磊晶層或該第 二蟲晶層上形成一梦層。 16.如請求項15之方法,進一步包含於該矽層及該閘電極上 形成一 $夕化物層。 135679.doc
TW097141063A 2007-10-31 2008-10-24 Transistor and method of fabricating the same TW200929541A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070109926A KR101369907B1 (ko) 2007-10-31 2007-10-31 트랜지스터 및 그 제조 방법

Publications (1)

Publication Number Publication Date
TW200929541A true TW200929541A (en) 2009-07-01

Family

ID=40581695

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097141063A TW200929541A (en) 2007-10-31 2008-10-24 Transistor and method of fabricating the same

Country Status (4)

Country Link
US (2) US7943969B2 (zh)
KR (1) KR101369907B1 (zh)
CN (1) CN101425534B (zh)
TW (1) TW200929541A (zh)

Families Citing this family (385)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE102009015748B4 (de) * 2009-03-31 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verringern des Silizidwiderstands in SiGe-enthaltenden Drain/Source-Gebieten von Transistoren
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8878363B2 (en) 2009-06-26 2014-11-04 Intel Corporation Fermi-level unpinning structures for semiconductive devices, processes of forming same, and systems containing same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8120120B2 (en) * 2009-09-17 2012-02-21 Globalfoundries Inc. Embedded silicon germanium source drain structure with reduced silicide encroachment and contact resistance and enhanced channel mobility
US8035141B2 (en) * 2009-10-28 2011-10-11 International Business Machines Corporation Bi-layer nFET embedded stressor element and integration to enhance drive current
US8598003B2 (en) * 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
CN102623487B (zh) * 2011-01-26 2015-04-08 中国科学院微电子研究所 半导体器件及其制造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI549298B (zh) * 2011-07-22 2016-09-11 聯華電子股份有限公司 具有磊晶結構之半導體元件
US8716750B2 (en) * 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20130074353A (ko) * 2011-12-26 2013-07-04 삼성전자주식회사 트랜지스터를 포함하는 반도체 소자
US9343318B2 (en) * 2012-02-07 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Salicide formation using a cap layer
CN103367430B (zh) * 2012-03-29 2016-11-02 中芯国际集成电路制造(上海)有限公司 晶体管以及形成方法
US8735255B2 (en) 2012-05-01 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
CN103426907B (zh) * 2012-05-23 2016-09-21 中国科学院微电子研究所 半导体器件及其制造方法
US9324622B2 (en) 2012-08-15 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
CN103632975B (zh) * 2012-08-29 2017-03-29 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其制作方法
EP2704199B1 (en) * 2012-09-03 2020-01-01 IMEC vzw Method of manufacturing a semiconductor device
KR20140038826A (ko) 2012-09-21 2014-03-31 삼성전자주식회사 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103779278A (zh) * 2012-10-22 2014-05-07 中芯国际集成电路制造(上海)有限公司 Cmos管的形成方法
CN103794546A (zh) * 2012-10-29 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9171762B2 (en) 2012-11-01 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabrication method thereof
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8853060B1 (en) * 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9209175B2 (en) 2013-07-17 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices having epitaxy regions with reduced facets
US9012964B2 (en) 2013-08-09 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Modulating germanium percentage in MOS devices
US9337337B2 (en) * 2013-08-16 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. MOS device having source and drain regions with embedded germanium-containing diffusion barrier
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
CN104752216B (zh) * 2013-12-30 2017-11-03 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9349649B2 (en) 2014-06-26 2016-05-24 Globalfoundries Inc. Low resistance and defect free epitaxial semiconductor material for providing merged FinFETs
KR102155327B1 (ko) 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
KR102202754B1 (ko) 2014-08-14 2021-01-15 삼성전자주식회사 반도체 장치
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104201108B (zh) * 2014-08-27 2017-11-07 上海集成电路研发中心有限公司 SiGe源/漏区的制造方法
CN105448991B (zh) * 2014-09-01 2019-05-28 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
TWI575748B (zh) * 2014-09-01 2017-03-21 聯華電子股份有限公司 P型場效電晶體及包含該p型場效電晶體的互補式金屬氧化半導體電晶體
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105590840A (zh) * 2014-10-21 2016-05-18 上海华力微电子有限公司 嵌入式锗硅的制备方法
KR102152285B1 (ko) 2014-12-08 2020-09-04 삼성전자주식회사 스트레서를 갖는 반도체 소자 및 그 형성 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10164050B2 (en) 2014-12-24 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
US9991384B2 (en) * 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9515165B1 (en) * 2015-09-11 2016-12-06 International Business Machines Corporation III-V field effect transistor (FET) with reduced short channel leakage, integrated circuit (IC) chip and method of manufacture
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN105374665B (zh) * 2015-10-27 2019-01-22 上海华力微电子有限公司 嵌入式外延锗硅层的盖帽层的制作方法
CN105261567B (zh) * 2015-10-27 2018-11-09 上海华力微电子有限公司 嵌入式外延锗硅层的盖帽层的制作方法
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9466672B1 (en) 2015-11-25 2016-10-11 International Business Machines Corporation Reduced defect densities in graded buffer layers by tensile strained interlayers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9870926B1 (en) * 2016-07-28 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR102762543B1 (ko) 2016-12-14 2025-02-05 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) * 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102600229B1 (ko) 2018-04-09 2023-11-10 에이에스엠 아이피 홀딩 비.브이. 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US12272527B2 (en) 2018-05-09 2025-04-08 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI871083B (zh) 2018-06-27 2025-01-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11264237B2 (en) * 2018-09-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of epitaxy and semiconductor device
CN110970344B (zh) 2018-10-01 2024-10-25 Asmip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR102748291B1 (ko) 2018-11-02 2024-12-31 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11257928B2 (en) 2018-11-27 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial growth and device
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI866480B (zh) 2019-01-17 2024-12-11 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102727227B1 (ko) 2019-01-22 2024-11-07 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7603377B2 (ja) 2019-02-20 2024-12-20 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR102782593B1 (ko) 2019-03-08 2025-03-14 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR102762833B1 (ko) 2019-03-08 2025-02-04 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP7598201B2 (ja) 2019-05-16 2024-12-11 エーエスエム・アイピー・ホールディング・ベー・フェー ウェハボートハンドリング装置、縦型バッチ炉および方法
JP7612342B2 (ja) 2019-05-16 2025-01-14 エーエスエム・アイピー・ホールディング・ベー・フェー ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200141931A (ko) 2019-06-10 2020-12-21 에이에스엠 아이피 홀딩 비.브이. 석영 에피택셜 챔버를 세정하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TWI851767B (zh) 2019-07-29 2024-08-11 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
KR20210015655A (ko) 2019-07-30 2021-02-10 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 방법
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20210018761A (ko) 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 냉각 장치를 포함한 히터 어셈블리 및 이를 사용하는 방법
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR102733104B1 (ko) 2019-09-05 2024-11-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210093163A (ko) 2020-01-16 2021-07-27 에이에스엠 아이피 홀딩 비.브이. 고 종횡비 피처를 형성하는 방법
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR102667792B1 (ko) 2020-02-03 2024-05-20 에이에스엠 아이피 홀딩 비.브이. 바나듐 또는 인듐 층을 포함하는 구조체를 형성하는 방법
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
KR20210103956A (ko) 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법
TWI855223B (zh) 2020-02-17 2024-09-11 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR102775390B1 (ko) 2020-03-12 2025-02-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US12173404B2 (en) 2020-03-17 2024-12-24 Asm Ip Holding B.V. Method of depositing epitaxial material, structure formed using the method, and system for performing the method
KR102755229B1 (ko) 2020-04-02 2025-01-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210130646A (ko) 2020-04-21 2021-11-01 에이에스엠 아이피 홀딩 비.브이. 기판을 처리하기 위한 방법
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202208671A (zh) 2020-04-24 2022-03-01 荷蘭商Asm Ip私人控股有限公司 形成包括硼化釩及磷化釩層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132612A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 화합물들을 안정화하기 위한 방법들 및 장치
KR102783898B1 (ko) 2020-04-29 2025-03-18 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR102788543B1 (ko) 2020-05-13 2025-03-27 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
TWI862836B (zh) 2020-05-21 2024-11-21 荷蘭商Asm Ip私人控股有限公司 包括多個碳層的結構以及形成和使用其的方法
KR20210145079A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 기판을 처리하기 위한 플랜지 및 장치
KR102702526B1 (ko) 2020-05-22 2024-09-03 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202208659A (zh) 2020-06-16 2022-03-01 荷蘭商Asm Ip私人控股有限公司 沉積含硼之矽鍺層的方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
KR20220011093A (ko) 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. 몰리브덴층을 증착하기 위한 방법 및 시스템
KR20220011092A (ko) 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템
KR20220021863A (ko) 2020-08-14 2022-02-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202228863A (zh) 2020-08-25 2022-08-01 荷蘭商Asm Ip私人控股有限公司 清潔基板的方法、選擇性沉積的方法、及反應器系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
TW202217045A (zh) 2020-09-10 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積間隙填充流體之方法及相關系統和裝置
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR20220036866A (ko) 2020-09-16 2022-03-23 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물 증착 방법
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202218049A (zh) 2020-09-25 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220050048A (ko) 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202229620A (zh) 2020-11-12 2022-08-01 特文特大學 沉積系統、用於控制反應條件之方法、沉積方法
TW202229795A (zh) 2020-11-23 2022-08-01 荷蘭商Asm Ip私人控股有限公司 具注入器之基板處理設備
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US12255053B2 (en) 2020-12-10 2025-03-18 Asm Ip Holding B.V. Methods and systems for depositing a layer
TW202233884A (zh) 2020-12-14 2022-09-01 荷蘭商Asm Ip私人控股有限公司 形成臨限電壓控制用之結構的方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202226899A (zh) 2020-12-22 2022-07-01 荷蘭商Asm Ip私人控股有限公司 具匹配器的電漿處理裝置
TW202242184A (zh) 2020-12-22 2022-11-01 荷蘭商Asm Ip私人控股有限公司 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11990511B2 (en) 2021-08-27 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain device and method of forming thereof
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD1060598S1 (en) 2021-12-03 2025-02-04 Asm Ip Holding B.V. Split showerhead cover

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10270685A (ja) * 1997-03-27 1998-10-09 Sony Corp 電界効果トランジスタとその製造方法、半導体装置とその製造方法、その半導体装置を含む論理回路および半導体基板
US6319799B1 (en) * 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
AU2001263211A1 (en) * 2000-05-26 2001-12-11 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
US8017487B2 (en) 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
JP5181466B2 (ja) * 2006-11-16 2013-04-10 ソニー株式会社 半導体装置の製造方法および半導体装置
US7534689B2 (en) * 2006-11-21 2009-05-19 Advanced Micro Devices, Inc. Stress enhanced MOS transistor and methods for its fabrication
US7538387B2 (en) * 2006-12-29 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stack SiGe for short channel improvement
KR100844933B1 (ko) 2007-06-26 2008-07-09 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel

Also Published As

Publication number Publication date
KR101369907B1 (ko) 2014-03-04
US20090108308A1 (en) 2009-04-30
US7943969B2 (en) 2011-05-17
CN101425534B (zh) 2010-12-22
US20110212604A1 (en) 2011-09-01
CN101425534A (zh) 2009-05-06
KR20090044050A (ko) 2009-05-07
US8168505B2 (en) 2012-05-01

Similar Documents

Publication Publication Date Title
TW200929541A (en) Transistor and method of fabricating the same
US7750381B2 (en) Semiconductor device and method of manufacturing semiconductor device
JP5173582B2 (ja) 半導体装置
TWI230460B (en) Gate-induced strain for MOS performance improvement
JP5728444B2 (ja) 半導体装置およびその製造方法
US7910445B2 (en) Semiconductor device and method of fabricating the same
US9966452B2 (en) Semiconductor device having a field effect transistor formed on a silicon-on-insulator substrate and manufacturing method thereof
JP2009094369A (ja) 半導体装置およびその製造方法
JP2009032955A (ja) 半導体装置、およびその製造方法
CN102598229A (zh) 提高驱动电流的双层nFET埋设应激物元件和集成
WO2007034553A1 (ja) 半導体装置およびその製造方法
JP5181466B2 (ja) 半導体装置の製造方法および半導体装置
JP4967313B2 (ja) 半導体装置の製造方法
US8049280B2 (en) Semiconductor device and method of fabricating the same
JP2010080674A (ja) 半導体装置、およびその製造方法
US20070066023A1 (en) Method to form a device on a soi substrate
JP2008263114A (ja) 半導体装置の製造方法および半導体装置
WO2014012263A1 (zh) 半导体器件及其制造方法
JP4888385B2 (ja) 半導体装置及びその製造方法
TW200834747A (en) Method for forming a semiconductor structure
JP2009176876A (ja) 半導体装置
JP2010010382A (ja) 半導体装置およびその製造方法
JP2010123848A (ja) 半導体装置
JP2011114320A (ja) 半導体装置およびその製造方法