[go: up one dir, main page]

TW200849557A - Semiconductor device and method for manufacturing the same - Google Patents

Semiconductor device and method for manufacturing the same Download PDF

Info

Publication number
TW200849557A
TW200849557A TW097112894A TW97112894A TW200849557A TW 200849557 A TW200849557 A TW 200849557A TW 097112894 A TW097112894 A TW 097112894A TW 97112894 A TW97112894 A TW 97112894A TW 200849557 A TW200849557 A TW 200849557A
Authority
TW
Taiwan
Prior art keywords
insulating film
gate electrode
film
dummy
electrode
Prior art date
Application number
TW097112894A
Other languages
Chinese (zh)
Other versions
TWI446522B (en
Inventor
Yoshiaki Kikuchi
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Publication of TW200849557A publication Critical patent/TW200849557A/en
Application granted granted Critical
Publication of TWI446522B publication Critical patent/TWI446522B/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/01Manufacture or treatment
    • H10D64/021Manufacture or treatment using multiple gate spacer layers, e.g. bilayered sidewall spacers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/01Manufacture or treatment
    • H10D30/021Manufacture or treatment of FETs having insulated gates [IGFET]
    • H10D30/0223Manufacture or treatment of FETs having insulated gates [IGFET] having source and drain regions or source and drain extensions self-aligned to sides of the gate
    • H10D30/0227Manufacture or treatment of FETs having insulated gates [IGFET] having source and drain regions or source and drain extensions self-aligned to sides of the gate having both lightly-doped source and drain extensions and source and drain regions self-aligned to the sides of the gate, e.g. lightly-doped drain [LDD] MOSFET or double-diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/601Insulated-gate field-effect transistors [IGFET] having lightly-doped drain or source extensions, e.g. LDD IGFETs or DDD IGFETs 
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/01Manufacture or treatment
    • H10D64/017Manufacture or treatment using dummy gates in processes wherein at least parts of the final gates are self-aligned to the dummy gates, i.e. replacement gate processes

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A semiconductor device includes a field effect transistor including a semiconductor substrate having a channel-forming region, an insulating film formed on the semiconductor substrate, a gate electrode trench formed in the insulating film, a gate insulating film formed at the bottom of the gate electrode trench, a gate electrode formed by filling the gate electrode trench with a layer on the gate insulating film, offset spacers composed of silicon oxide or boron-containing silicon nitride and formed as a portion of the insulating film to constitute the sidewall of the gate electrode trench, sidewall spacers formed as a portion of the insulating film on both sides of the offset spacers on the side away from the gate electrode, and source-drain regions having an extension region and formed in the semiconductor substrate and below at least the offset spacers and the sidewall spacers.

Description

200849557 九、發明說明: 【發明所屬之技術領域】 本發明關於一種半導體裝置及其製造方法,且特別言之 關於一種包括一場效電晶體之半導體裝置及其製造方法。 本發明包括在2007年4月18曰向曰本專利局申請的曰本 專利申請案JP 2007-108953的相關標的,該案之全文以引 用的方式併入本文中。 【先前技術】 在半導體裝置之製造方法中,通常使用鑲嵌程序作為線 路之形成方法。 在鑲嵌程序中,例如,於基板上之絕緣膜中形成閘極電 極之溝渠,並沈積導電材料以填充閘極電極之溝渠,隨後 精由CMP(化學機械拋光)從外側移除溝渠,留下閘極電極 之溝渠中之導電材料以形成線路。 為半導體裝置之基本元件的MOSFET(金氧半導體場效電 晶體;以下稱為”M0S電晶體”)係因小型化之進展與半導 體I置之整合之提升而愈來愈小型化。因此,閘極長度與 閑極絕緣膜之厚度係與比例相關聯而減小。 用作閘極絕緣膜之Si0N絕緣膜在32-nm產生後導致大洩 漏,且因此難以使用Si0N絕緣膜作為閘極絕緣膜。 八因此,有研究一方法,其使用實體膜厚度能夠增加之高 介電常數膜(所謂的高k膜)作為閘極絕緣膜材料。 由於高k膜通常具有低耐熱性,因此期望在其中執行高 溫處理之源極-汲#區域之擴散熱處理後形細亟:: 128076.doc 200849557 膜。 、、吏用運用鑲肷程序形成M〇s電晶體之閘極電極的鑲 嵌閉極程序來作為允許此等步驟的方法。 日本未審查專利申書青公開案第2005-303256號揭示-種 具有-源極-汲極區域之M〇s電晶體的形成方法,該源極_ 沒極區域使用鑲嵌間極程序而具備延伸區域。 $此方法中,例如,於半導體基板之作用區域上形成一 虛没閘極絕緣膜與—虛設間極電極,於該基板上之虛設絕 緣膜之兩側上形成由氮化發組成的偏移間隔物,且該半導 體基板係使用該虛設閘極電極與該等偏移間隔物作為形成 延伸區域之遮罩來植入離子。 接著於4基板上之偏移間隔物之兩側上形成側壁間隔 物,且该半導體基板係使用該虛設閘極電極、該等偏移間 隔物與該等側壁間隔物作為形成源極-汲極區域之遮罩來 植入離子。 如上所述,形成各具備延伸區域之源極_汲極區域。 接著,在整個表面上形成_層間絕緣膜以覆蓋該虛設間 極電極,拋光頂部表面直到曝露該虛設閘極電極之表面為 止,隨後藉由蝕刻移除該虛設閘極電極與該虛設閘極絕緣 膜以形成閘極電極之溝渠。 接著,於閘極電極之溝渠之底部形成一閘極絕緣臈,隨 後於該閘極絕緣膜上形成一閘極電極以填充閘極電極之= 渠。 / 如上所述,MOS電晶體係使用鑲嵌程序來形成。 128076.doc 200849557 形成閘極電極之溝渠時,該虛設閘極絕緣臈較佳地係藉 由濕式餘刻來移除以便能避免對該基板造成損害。因此, 在曰本未審查專利申請公開案第2005_303256號中,該等 偏移間隔物係由氮化矽組成以便能避免該等偏移間隔物藉 由濕式餘刻而移除。 儘管可避免該等偏移間隔物藉由濕式蝕刻而移除,然而 閘極電極與源極_汲極區域間之寄生電容係增加,因為氮BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and a method of fabricating the same, and more particularly to a semiconductor device including a field effect transistor and a method of fabricating the same. The present invention includes the subject matter of the copending patent application JP 2007-108953, filed on Apr. 18, 2007, the disclosure of which is incorporated herein by reference. [Prior Art] In the method of manufacturing a semiconductor device, a damascene program is generally used as a method of forming a line. In the damascene process, for example, a trench of a gate electrode is formed in an insulating film on a substrate, and a conductive material is deposited to fill the trench of the gate electrode, and then the trench is removed from the outside by CMP (Chemical Mechanical Polishing), leaving A conductive material in the trench of the gate electrode to form a line. A MOSFET (a MOS field effect transistor; hereinafter referred to as a "M0S transistor") which is a basic element of a semiconductor device is increasingly miniaturized due to an increase in the miniaturization progress and the integration of the semiconductor I. Therefore, the gate length and the thickness of the idler insulating film are reduced in association with the ratio. The NMOS insulating film used as the gate insulating film causes a large leak after 32-nm generation, and thus it is difficult to use the SiO insulating film as the gate insulating film. 8. Therefore, there has been studied a method of using a high dielectric constant film (so-called high-k film) whose solid film thickness can be increased as a gate insulating film material. Since the high-k film generally has low heat resistance, it is desired to form a fine film after the diffusion heat treatment of the source-汲# region in which the high-temperature treatment is performed:: 128076.doc 200849557 Membrane. As a method of allowing such steps, the inlaid closed-pole program of the gate electrode of the M〇s transistor is formed by using an inlay process. Japanese Unexamined Patent Publication No. 2005-303256 discloses a method of forming a M〇s transistor having a source-drain region in which an extension region is provided using a damascene interpole procedure. In this method, for example, a dummy gate insulating film and a dummy interlayer electrode are formed on an active region of the semiconductor substrate, and an offset composed of nitrided rays is formed on both sides of the dummy insulating film on the substrate. a spacer, and the semiconductor substrate implants ions using the dummy gate electrode and the offset spacers as a mask forming an extended region. Forming sidewall spacers on both sides of the offset spacers on the substrate, and using the dummy gate electrodes, the offset spacers, and the sidewall spacers as source-drain electrodes A mask of the area to implant ions. As described above, the source-drain regions each having the extended region are formed. Next, an interlayer insulating film is formed on the entire surface to cover the dummy interlayer electrode, and the top surface is polished until the surface of the dummy gate electrode is exposed, and then the dummy gate electrode is insulated from the dummy gate by etching. The membrane forms a trench for the gate electrode. Next, a gate insulating germanium is formed at the bottom of the trench of the gate electrode, and then a gate electrode is formed on the gate insulating film to fill the gate electrode. / As mentioned above, the MOS electro-crystal system is formed using a damascene procedure. 128076.doc 200849557 When forming a trench for a gate electrode, the dummy gate insulating layer is preferably removed by a wet residual to avoid damage to the substrate. Therefore, in the unexamined patent application publication No. 2005-303256, the offset spacers are composed of tantalum nitride so as to prevent the offset spacers from being removed by wet etching. Although the offset spacers can be prevented from being removed by wet etching, the parasitic capacitance between the gate electrode and the source-drain region is increased because of nitrogen.

化矽之介電常數係比氧化矽之介電常數高。此導致M〇s電 晶體之特性劣化。 【發明内容】 欲解決之一問題係在使用鑲嵌程序形成MOS電晶體時, 難以形成具有高特性之電晶體。 根據本發明之一具體實施例之一半導體裝置包括一場效 電日曰體’其包括··一半導體基板,其具有一通道形成區 域,一絕緣膜,其於該半導體基板上形成;一閘極電極溝 糸,其於該絕緣膜中形成;一閘極絕緣膜,其於該閘極電 極溝渠之底部形成;一閘極電極,其於該閘極絕緣膜上形 成:填充該閘極電極溝渠;偏移間隔物,其由氧化矽或含 朋氮化石夕組成並形成為該絕緣膜之—部分以構成該閘極電 極溝渠之側壁;側壁間隔物,其在背離該閘極電極之側上 於該等偏移間隔物之兩側上形成為該絕緣膜之一部分,·以 及源極-汲極區域,其各具有一延伸區域並於該半導體基 板中且於至少1亥等偏移間隔物與該等側I間隔物之下形 成。 乂 128076.doc 200849557 該半導體裝置包括於具有該通 上形成之絕綾肢^ 成區或之+v體基板 該閉極電極^之广;膜中形成之間極電極溝渠、於 緣膜上形==之__以及於該閘極絕 ^ 真充6亥閘極電極溝渠之閘極電極。 為該絕緣::化含:鼠切組成之偏移間隔物係形成 的 臈之一部分以構成該閉極電極溝渠之側壁,而哕 ==隔物係在背離該閘極電極之側上於該等偏移間隔 μ側上形成為該絕緣膜之一部分。 此外’各具有—延伸區域之源極-汲極區域係於 體:板中且於至少該等偏移間隔物與該等側壁間隔物之下 形成。 该%效電晶體係如上所述地組態。 根據本务明之另一具體實施例之—半導體裝置包括 效電晶體,其包括:一半導# ^ 千等體基板’其具有一通道形成區 或,一絕緣臈,其於該半導體基板上形成;一閉極電極溝 ==於該絕緣膜中形成;一間極絕緣膜,其於該閑極電 極溝朱之底部形成;一閘極電極,其於該閘極絕緣膜上护 成以填充該間極電極溝渠;偏移間隔物,其各包括一氮化 石夕膜或,氮化石夕膜與-氧化石夕膜(其係層壓自該閑極 電極側)並形成為該絕緣膜之一部分以構成該閘極電極溝 渠之側壁;側壁間隔物,其在背離該閘極電極之側上於該 等偏移間隔物之兩側上形成為該絕緣膜之一部分;以及源 極-汲極區域’其各具有_延伸區域並於該半導體基板;、 且於至少該等偏移間隔物舆該等側壁間隔物之下形成。 128076.doc 200849557 :亥:導體裝置包括於具有該通道形成區域之半導體基板 上形成之絕緣膜、於該絕緣膜中形成之閘極電極溝竿 該問極電極溝渠之底部形成之間極絕緣膜以及於該閑極絕 緣膜上形成以填充該閘極電極溝渠之閘極電極。 同時,各包括層Μ自該閑極電極側之氮切臈或含㈣ 化石夕膜與氧化石夕膜之偏移間隔物係形成為該絕緣膜之1 分以構成該閘極電極溝渠之側壁,而該等側壁間隔物 背離該閑極電極之側上於該等偏移間隔物之兩側上形成為 該絕緣膜之一部分。 Χ馬 此外,各具有—延伸區域之源極1極區域係於該 體基板中且於至少該等偏移間隔物與該等側壁間隔物下形 成。 / 該場效電晶體係如上所述地組態。 根據本發明之一進一步且體眚 / 一體貫鈿例之一半導體裝置之穿 造方法包括下列步驟:於具有一通道形成區域之-半導體 基板上形成一虛設閘極絕緣膜與一虛設閘極電極,-設閑極電極之兩側上形成由氧切或含爛氮化石夕組成二 移間隔物’使㈣等偏移間隔物與該閘極電極作為 Γ亥半導體基板中形成延伸區域’於該等偏移間隔物:兩 側上形成側壁間隔物,使用該等側壁間隔物、該等偏 隔物與該閘極電極料—遮罩於該半導體基板中妒成: 極-沒極區域’形成一絕緣膜以覆蓋該虛設間極電極多、 除該絕緣膜直到從該絕緣臈之頂部曝㈣虛設閘極電 止,移除該虛設閘極電極與該虛設閘極絕緣膜以形成一閘 128076.doc 200849557 極電極溝渠,於該閑極電極溝渠之底部形成一閘極絕緣 =,於該閘極絕緣臈上形成一導電層以填充該閉極電極溝 f ’以及從該閘極電極溝渠之外側移除該導電層以形成— %效電晶體。至少移除該虛設閘極絕緣膜之步驟包括—钮 刻處理’其包括以含氨與敦化氫之韻刻氣體來處理該絕緣 層之曝露表面之表面的第一處理以及分解並蒸發於第—處 理t形成之產物的第二處理。 於=導體裝置之製造方法中,於具有一通道形成區域 之-半導體基板上形成一虛設閘極絕緣臈與_虛設閘極電 極,於該虛設閘極電極之兩側上形成由氧化石夕或含石朋氮化 石夕組成之偏移間隔物,以及使用該等偏移間隔物與該閉極 電極作為—料於該半導體基板巾形成延伸區域。 接著,側壁間隔物係於該等偏移間隔物之兩側上,且源 極-汲極區域係使用該等側壁間隔物、該等偏移間隔物與 該閘極電極作為-遮罩於該半導體基板中形成。 接著,形成一絕緣膜以覆蓋該虛設閉極電極,移除該絕 緣膜直到從該絕緣膜之頂部曝露該虛設閘極電極為止,並 移除該虛設閘極電極與該虛設閘極絕緣膜以形成一閘極電 極溝渠。 接著,m緣㈣於該閘㈣㈣渠之底部形成, -導電層係於該閘極絕緣膜上形成以填充該閘極電極溝 渠,並從該閘極電極溝渠之外側移除該導電層。 依此方式,形成一場效電晶體。 至少移除該虛設閘極絕緣膜之步驟包括一姓刻處理,其 128076.doc -10- 200849557 包括以含氨與氟化氫之蝕刻氣體來處理該絕緣層之曝露表 面的第一處理以及分解並蒸發於第一處理中形成之產物的 第二處理。 根據本發明之一又進一步具體實施例之一半導體裝置之 製造方法包括下列步驟:於具有一通道形成區域之一半導 體基板上形成一虛設閘極絕緣膜與一虛設閘極電極,依序 層壓-氮化石夕膜與一氧化石夕膜或一含删氮化石夕膜以於該虛 又閘極電極之兩側上开》成偏移間隔物,使用該等偏移間隔 物與該閘極電極作為一遮罩於該半導體基板中形成延伸區 域,於該等偏移間隔物《兩側上形成側μ間隔斗勿,使用該 等側壁間隔物、該等偏移間隔物與該閘極電極作為一遮罩 於該半導體基板中形成源極·沒極區域,形成一絕緣膜以 覆蓋該虛設閘極電極,移除該絕緣膜直到從該絕緣膜之頂 部曝露該虛設閘極電極為止,移除該虛設閑極電極與該虛 設閘極絕緣膜以形成一閘極電極溝渠並移除構成該等偏移 _物之氮切膜,於該閘極電極溝渠之底部形成一間極 絕緣膜,於該閘極絕緣膜上形成—導電層以填充㈣極電 極溝渠,以及從該閘極電極溝渠之外側移除該導電層以形 成一場效電晶體。 於一半導體裝置之製造方法中, ^ ^ ^ 々 *^ τ 於具有一通道形成區域 半導體基板上形成一虛設閘極 w位、浥緣膑與一虛設閘極電 ^ ,¾壓一氮化矽膜與一氧化一 能於該虛設間極電極之兩側上护^/心化石夕臈以便 一 m側上形成偏移間隔物,以及 該等偏移間隔物與該閘極電極 卞馬遮罩於該半導體基板 128076.doc 200849557 中形成延伸區域。 接著,於該等偏移間隔物之兩側上形成側壁間隔物,且 源極-汲極區域係使用該等側壁間隔物、該等偏移間隔物 與違間極電極作為一遮罩於該半導體基板中形成。 接著,形成一絕緣膜以覆蓋該虛設閘極電極,移除該絕 緣膜直到從該絕緣膜之頂部曝露該虛設閘極電極為止,移 除該虛設閘極電極與該虛設閘極絕緣膜以形成一閘極電極 溝渠’並移除構成該等偏移間隔物之氮化矽膜。 rThe dielectric constant of ruthenium is higher than that of ruthenium oxide. This causes the characteristics of the M〇s transistor to deteriorate. SUMMARY OF THE INVENTION One problem to be solved is that when a MOS transistor is formed using a damascene process, it is difficult to form a transistor having high characteristics. A semiconductor device according to an embodiment of the present invention includes a field-effect solar cell comprising: a semiconductor substrate having a channel formation region, an insulating film formed on the semiconductor substrate; and a gate An electrode trench formed in the insulating film; a gate insulating film formed at a bottom of the gate electrode trench; and a gate electrode formed on the gate insulating film: filling the gate electrode trench An offset spacer consisting of yttrium oxide or yttrium nitride and formed as part of the insulating film to form a sidewall of the gate electrode trench; a sidewall spacer on a side facing away from the gate electrode Forming a portion of the insulating film on both sides of the offset spacer, and a source-drain region each having an extended region and offset spacers in the semiconductor substrate and at least 1 hai Formed under the side I spacers.乂128076.doc 200849557 The semiconductor device is included in the v 电极 形成 或 或 或 或 或 或 或 或 或 或 ; ; ; ; ; ; ; ; ; ; ; 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体 半导体== __ and the gate electrode of the gate electrode electrode. For the insulation:: a portion of the crucible formed by the offset-cut spacer formed by the mouse to form the sidewall of the closed-electrode trench, and the 哕== spacer is on the side facing away from the gate electrode A portion of the insulating film is formed on the side of the offset interval μ. Further, the source-drain regions of each of the extension regions are formed in the body: and are formed under at least the offset spacers and the sidewall spacers. The % effect cell system was configured as described above. According to another embodiment of the present invention, a semiconductor device includes an effect transistor including: a semiconductor substrate having a channel formation region or an insulating barrier formed on the semiconductor substrate; a closed electrode trench == is formed in the insulating film; a pole insulating film is formed at the bottom of the idle electrode trench; a gate electrode is shielded on the gate insulating film to fill the gate An interpolar electrode trench; an offset spacer, each of which comprises a nitride film or a nitride nitride film and a oxidized oxide film laminated on the side of the idle electrode and formed as a part of the insulating film Forming a sidewall of the gate electrode trench; a sidewall spacer formed as a portion of the insulating film on both sides of the offset spacer on a side facing away from the gate electrode; and a source-drain region 'Eachly having an extension region and being on the semiconductor substrate; and forming at least the offset spacers below the sidewall spacers. 128076.doc 200849557 :Heil: a conductor device includes an insulating film formed on a semiconductor substrate having the channel forming region, a gate electrode trench formed in the insulating film, and a bottom insulating film formed between the bottom of the gate electrode trench And forming a gate electrode on the dummy insulating film to fill the gate electrode trench. At the same time, each of the insulating films including the nitrogen enthalpy or the (iv) fossil film and the oxidized stone film formed on the side of the idle electrode is formed as one side of the insulating film to constitute the sidewall of the gate electrode trench. And the side of the sidewall spacer facing away from the idler electrode is formed as a part of the insulating film on both sides of the offset spacer. Further, the source 1 pole regions each having an extension region are formed in the body substrate and formed under at least the offset spacers and the sidewall spacers. / The field effect crystal system is configured as described above. According to still another aspect of the present invention, a method of fabricating a semiconductor device of the present invention includes the steps of: forming a dummy gate insulating film and a dummy gate electrode on a semiconductor substrate having a channel formation region - forming a two-shift spacer formed by oxygen-cutting or nitriding-containing nitride on both sides of the idle electrode, such that (4) an offset spacer and the gate electrode form an extended region in the semiconductor substrate Equal offset spacers: sidewall spacers are formed on both sides, and the sidewall spacers, the spacers and the gate electrode material are masked in the semiconductor substrate to form: a pole-polar region An insulating film covers the dummy interpole electrode, and the insulating film is removed until the dummy gate is exposed from the top of the insulating pad, and the dummy gate electrode and the dummy gate insulating film are removed to form a gate 128076. .doc 200849557 A pole electrode trench, forming a gate insulation at the bottom of the idle electrode trench, forming a conductive layer on the gate insulating barrier to fill the closed electrode trench f' and from the gate electrode trench outer The conductive layer is removed sideways to form a % effect transistor. The step of removing at least the dummy gate insulating film includes a button process which includes a first process of treating the surface of the exposed surface of the insulating layer with a gas containing ammonia and Dunhua hydrogen, and decomposing and evaporating at the first A second treatment to treat the product formed by t. In the manufacturing method of the conductor device, a dummy gate insulating and a dummy gate electrode are formed on the semiconductor substrate having a channel forming region, and the both sides of the dummy gate electrode are formed by the oxide oxide or An offset spacer comprising a shiban nitride, and the use of the offset spacer and the closed electrode as an extension region of the semiconductor substrate. Then, the sidewall spacers are on both sides of the offset spacers, and the source-drain regions use the sidewall spacers, the offset spacers, and the gate electrodes as a mask Formed in a semiconductor substrate. Next, an insulating film is formed to cover the dummy closed electrode, the insulating film is removed until the dummy gate electrode is exposed from the top of the insulating film, and the dummy gate electrode and the dummy gate insulating film are removed. A gate electrode trench is formed. Next, a m-edge (four) is formed at the bottom of the gate (four) (four) channel, and a conductive layer is formed on the gate insulating film to fill the gate electrode trench, and the conductive layer is removed from the outer side of the gate electrode trench. In this way, a potent transistor is formed. The step of removing at least the dummy gate insulating film includes a first-order process, and the 128076.doc -10- 200849557 includes a first process of treating the exposed surface of the insulating layer with an etching gas containing ammonia and hydrogen fluoride, and decomposing and evaporating A second treatment of the product formed in the first treatment. According to still another embodiment of the present invention, a method of fabricating a semiconductor device includes the steps of: forming a dummy gate insulating film and a dummy gate electrode on a semiconductor substrate having a channel formation region, sequentially laminating a nitriding film and a cerium oxide film or a cerium-containing cerium film for opening the spacers on both sides of the dummy gate electrode, using the offset spacers and the gate The electrode is formed as a mask in the semiconductor substrate to form an extended region, and the side spacers are formed on the offset spacers, and the sidewall spacers, the offset spacers, and the gate electrode are used. Forming an insulating film to cover the dummy gate electrode as a mask in the semiconductor substrate, and removing the insulating film until the dummy gate electrode is exposed from the top of the insulating film And forming a gate insulating film between the dummy pad electrode and the dummy gate insulating film to form a gate electrode trench, and removing a nitrogen cut film constituting the offset electrode, forming a pole insulating film at a bottom of the gate electrode trench; A conductive layer is formed on the gate insulating film to fill the (four) pole electrode trench, and the conductive layer is removed from the outside of the gate electrode trench to form a field effect transistor. In a method of fabricating a semiconductor device, ^^^ 々*^ τ forms a dummy gate w-bit, a germanium edge and a dummy gate electrode, and a germanium gate on the semiconductor substrate having a channel formation region. The film and the oxidized one can be disposed on both sides of the dummy interpole electrode to form an offset spacer on one m side, and the offset spacer and the gate electrode humming mask An extended region is formed in the semiconductor substrate 128076.doc 200849557. Then, sidewall spacers are formed on both sides of the offset spacers, and the source-drain regions use the sidewall spacers, the offset spacers and the interpolar electrodes as a mask Formed in a semiconductor substrate. Next, an insulating film is formed to cover the dummy gate electrode, and the insulating film is removed until the dummy gate electrode is exposed from the top of the insulating film, and the dummy gate electrode and the dummy gate insulating film are removed to form A gate electrode trench' removes the tantalum nitride film that constitutes the offset spacers. r

f V 接著,一閘極絕緣膜係於該閘極電極溝渠之底部形成, -導電層係於該閘極絕緣膜上形成以填充該閘極電極溝 渠,並從該閘極電極溝渠之外側移除該導電層。 依此方式,形成一場效電晶體。 ,根據本發明之一又進一步具體實施例之一半導體裝置之 製造方法包括了列步冑:於具有—通道形成區_之一半導 體基板上形成-虛設閘極絕緣膜與—虛設閘極電極,依序 層凡壓一氮切膜或-含删氮切膜與一氧㈣膜以於該虛 认閘極電極之兩側上形成偏移間隔物,使用該等偏移間隔 物與該閘極電極作為—遮罩於該半導體基板中形成延伸區 :,於該等偏移間隔物之兩側上形成側壁間隔物,使用該 寻側壁間隔物、該等偏移間隔物與該閘極電極作為一遮罩 於,半導體基板中形成源極·汲極區_,形成—絕緣膜以 覆盍該虛設閘極電極,移除該絕緣膜直到從該絕緣膜 部曝露該虛㈣極電極為止,移除該虛設閘極電極騎虛 設間極絕緣膜以形成一問極電極溝渠同時留下構成該等: 128076.doc 200849557 移間隔物之氮化矽膜或含硼氮化矽膜之至少一部分,於該 閘極私極溝乐之底部形成一閘極絕緣膜,於該閘極絕緣膜 上形成導私層以填充該閘極電極溝渠,以及從該閘極電 極溝渠之外側移除該導電層以形成一場效電晶體。 於、,半‘體裝置之製造方法中,於具有—通道形成區域 之半導體基板上形成一虛設閘極絕緣膜與一虛設閘極電 極,層壓一氮化矽膜或一含硼氮化矽膜與一氧化矽膜以便 能於該虛㉟閘極電極之兩側上形成偏移間隔才勿,以及使用 口亥等偏移間隔物與該閘極電極作為—遮罩於該半導體基板 中形成延伸區域。 接著,於該等偏移間隔物之兩側上形成側壁間隔物,且 源極-汲極區域係使用該等側壁間隔物、該等偏移間隔物 與该閘極電極作為_遮罩於該半導體基板中形成。 接著,形成一絕緣膜以覆蓋該虛設閘極電極,移除該絕 緣膜直到從該絕緣膜之頂部曝露該虛設閉極電極為止,並 移除該虛設閘極電極與該虛設閘極絕緣膜以形成一閘極電 極溝渠料留下構成該等偏移間隔物 < 氮化石夕膜或含觸氮 化矽膜之至少一部分。 接著,一閘極絕緣膜係於該閘極電極溝渠之底部形成, 一導電層係於該閘極絕緣膜上形成以填充該閘極電極溝 木,並從该閘極電極溝渠之外側移除該導電層。 依此方式,形成一場效電晶體。 根據本發明之一具體實施例之一半導體裝置具有一結 構’其中使用具有比由氮化矽組成之偏移間隔物之介電常 128076.doc -13- 200849557 數低之介電常數的-氧切M並在製程㈣留該氧化石夕 膜。因此,可能保有高特性,因為MOS電晶體由镶嵌間極 程序形成。 根據本發明之—具體實施例之—半導體裝置之製造方法 包括在使用該鑲嵌閘極程序形成腦電晶體時,形成 間^勿,其各包括具有比由氮化石夕組成之偏移間隔物之介 電:數低之介電常數的一氧化石夕膜。由於在製程中並未移 除该礼化石夕臈,故而可能增強MOS電晶體之特性。 【實施方式】 以下將參考圖式描述根據本發明之具體實 裝置及其製造方法。 導體 第一具體實施例 广係顯示根據第一具體實施例之半導體裝置的示意性 fef面圖。 、 例如,藉由STI(淺溝渠隔離)方法形成元件隔離絕緣膜u 以用於-石夕半導體基板10(其具有一通道形成區域)上之 =用區域。此外’㈣半導體基㈣上形成包括偏^ 隔物…1切膜(側壁間隔物)17a與—層間絕緣膜 絕緣膜I。 例如,於該絕緣膜!中形成一間極電極溝渠A,並 極電極溝渠A之底部形成包括具有比氧切之介電常^ 之介電常數之氧化給膜或氧化銘膜(即, 2 的—間極絕緣助。此外,藉由以多晶: 充該閑極電極溝渠A於該問極絕緣助上形成一閉極電極 128076.doc 14 200849557 22。此外,如圖1中顯示,去 田该閘極電極22係由多晶矽組 成時’在該閘極電極2 2之τι都主r , <頂#表面上形成由NiSi組成之一 耐火金屬矽化物層23。當兮門把+』 Λ閘極電極22係由一金屬材料組 成時,使用例如選自由辑、认 bf V, a gate insulating film is formed at the bottom of the gate electrode trench, and a conductive layer is formed on the gate insulating film to fill the gate electrode trench and move laterally from the gate electrode trench In addition to the conductive layer. In this way, a potent transistor is formed. According to still another embodiment of the present invention, a method of fabricating a semiconductor device includes a step of forming a dummy gate insulating film and a dummy gate electrode on a semiconductor substrate having a channel forming region. Forming a nitride film or a nitrogen-containing slit film and an oxygen (tetra) film to form offset spacers on both sides of the dummy gate electrode, using the offset spacers and the gate Forming, by the electrode, an extension region in the semiconductor substrate: forming sidewall spacers on both sides of the offset spacers, using the sidewall spacers, the offset spacers, and the gate electrode Forming a source/drain region _ in the semiconductor substrate, forming an insulating film to cover the dummy gate electrode, and removing the insulating film until the dummy (tetra) electrode is exposed from the insulating film portion Except for the dummy gate electrode riding the dummy interlayer insulating film to form a gate electrode trench while leaving the composition: 128076.doc 200849557 At least a part of the tantalum nitride film or the boron-containing tantalum nitride film of the spacer spacer The gate is very private Portion forming a gate insulating film formed on the conductive layer private gate insulating film to fill the trench gate electrode, and removing the electrically conductive layer from the outside of the gate electrode trench to form a field effect transistor. In the manufacturing method of the semi-body device, a dummy gate insulating film and a dummy gate electrode are formed on the semiconductor substrate having the channel forming region, and a tantalum nitride film or a boron-containing tantalum nitride layer is laminated. a film and a hafnium oxide film so as to be able to form an offset interval on both sides of the dummy 35 gate electrode, and using an offset spacer such as a barrier or the gate electrode as a mask to form in the semiconductor substrate Extended area. Forming sidewall spacers on both sides of the offset spacers, and the source-drain regions use the sidewall spacers, the offset spacers, and the gate electrode as a mask Formed in a semiconductor substrate. Next, an insulating film is formed to cover the dummy gate electrode, the insulating film is removed until the dummy gate electrode is exposed from the top of the insulating film, and the dummy gate electrode and the dummy gate insulating film are removed. Forming a gate electrode trench material leaves at least a portion of the offset spacer < the nitride nitride film or the tantalum nitride containing film. Then, a gate insulating film is formed on the bottom of the gate electrode trench, and a conductive layer is formed on the gate insulating film to fill the gate electrode trench and is removed from the outside of the gate electrode trench The conductive layer. In this way, a potent transistor is formed. A semiconductor device according to an embodiment of the present invention has a structure in which an oxygen having a dielectric constant lower than a dielectric constant of 128,076.doc -13 - 200849557, which is an offset spacer composed of tantalum nitride, is used. Cut M and leave the oxidized stone film in the process (4). Therefore, high characteristics may be maintained because the MOS transistor is formed by a damascene interpole program. According to the present invention, a method of fabricating a semiconductor device includes forming a brain crystal using the damascene gate process, each of which includes an offset spacer composed of a nitride nitride Dielectric: a low-density dielectric constant of the oxidized stone. Since the ritual stone is not removed during the process, the characteristics of the MOS transistor may be enhanced. [Embodiment] Hereinafter, a specific embodiment and a method of manufacturing the same according to the present invention will be described with reference to the drawings. Conductor First Embodiment A schematic fef view of a semiconductor device according to a first embodiment is shown. For example, the element isolation insulating film u is formed by the STI (Shallow Trench Isolation) method for the area on the Shih-Semiconductor substrate 10 (which has a channel formation region). Further, on the semiconductor substrate (4), a film (side spacer) 17a and an interlayer insulating film insulating film I are formed. For example, a pole electrode trench A is formed in the insulating film!, and an oxidized film or an oxidized film having a dielectric constant which is more than a dielectric constant of oxygen is formed at the bottom of the electrode trench A (ie, 2 In addition, by using polycrystalline: charging the idle electrode trench A to form a closed electrode 128076.doc 14 200849557 22 in addition to the pole insulation. In addition, as shown in Figure 1, go When the gate electrode 22 is composed of polycrystalline germanium, a refractory metal telluride layer 23 composed of NiSi is formed on the surface of the gate electrode 2, which is composed of NiSi. When the gate electrode 22 is composed of a metal material, for example, it is selected from

、曰田螞銓、鈕、鈦、鉬、釕、鎳與鉑 組成之群組的一金屬、合士女八]^ >人A 蜀3 5亥金屬之合金或該金屬之化合 物。, a metal of the group consisting of Putian grasshopper, button, titanium, molybdenum, niobium, nickel and platinum, and a female alloy.] > Man A 蜀 3 5 metal alloy or a compound of the metal.

例如,該等偏移間隔物15係形成為該絕緣膜〗之一部分 以便能接觸該半導體基板1G並構成該閘極電極溝渠八之側 壁。該等偏移間隔物15係由氧化矽組成。 該等氮化矽膜(側壁間隔物)17a係形成為該絕緣膜z之一 部分以便此接觸该半導體基板i 〇。於背離該閘極電極22之 偏移間隔物1 5之兩側上形成該等氮化矽膜丨7a。 該層間絕緣膜20係由(例如)氧化矽組成。 此外,於至少该等偏移間隔物丨5與該等氮化矽膜(側壁 間隔物)17a之下且於該半導體基板1〇上形成各具有一延伸 區域16之源極-汲極區域18。於該等源極-汲極區域丨8中之 每一者之表面層上亦形成由NiSi組成之一耐火金屬矽化物 層19 〇 一場效電晶體係如上所述地組態。For example, the offset spacers 15 are formed as part of the insulating film so as to be in contact with the semiconductor substrate 1G and constitute the side walls of the gate electrode trenches. The offset spacers 15 are composed of yttrium oxide. The tantalum nitride film (side spacer) 17a is formed as a part of the insulating film z so as to contact the semiconductor substrate i. The tantalum nitride films 7a are formed on both sides of the offset spacers 15 facing away from the gate electrode 22. The interlayer insulating film 20 is composed of, for example, cerium oxide. In addition, source-drain regions 18 each having an extended region 16 are formed on at least the offset spacers 5 and the tantalum nitride films (side spacers) 17a and on the semiconductor substrate 1A. . A refractory metal telluride layer 19 composed of NiSi is also formed on the surface layer of each of the source-drain regions 丨8. A field effect crystal system is configured as described above.

此外,形成由氧化矽組成之一上絕緣膜24以覆蓋該絕緣 膜I與該閘極電極22(或該耐火金屬矽化物層23)。此外,開 口 CH係提供以通過該上絕緣膜24與該層間絕緣膜2〇並到 達該等源極-汲極區域1 8甲之每一者之耐火金屬石夕化物層 1 9及該閘極電極22之耐火金屬石夕化物層23。該等開口 CH 128076.doc 15 200849557 之母者係填充由導電材料組成之插塞2 5。此外,於該上 絕緣臈24上形成由一導電材料組成之一上線路26以便能連 接至該等插塞25之每一者。 該等偏移間隔物15係用作形成該等延伸區域之一遮罩 層。因此,取決於活化熱處理之條件,該等偏移間隔物15 背離該閘極電極22之端位置係用於實質上定位該等延伸區 域16之通道側端。因此,該等偏移間隔物15之每一者的寬 度係與該等延伸區域之輪廓有關,並可能由該輪廓決定氧 化石夕膜係用於該等偏移間隔物丨5。 同時,該等氮化矽膜(側壁間隔物)1 7a係用作形成該等源 極-汲極區域之一遮罩層。因此,取決於活化熱處理之條 件,該等氮化矽膜(側壁間隔物)17a背離該閘極電極22之端 位置係用於實質上定位該等延伸區域18之通道側端。 根據此具體實施例之半導體裝置具有一結構,其中使用 具有比由氮化石夕組成之偏移間隔物之介電常數低之介電常 數的一氧化矽膜並在製程後保留該氧化矽膜。因此,可能 保有高特性,因為MOS電晶體由鑲嵌閘極程序形成。 該等偏移間隔物1 5之材料並不限於氧化矽,而可使用含 硼氮化矽(SiBN)膜。SiBN臈具有比氮化矽膜之介電常數低 之介電常數,且在B/N比率為2之情況下介電常數係大約 5同日守’ SiBN膜具有比氧化石夕膜之耐酸性高之耐酸性, 因而钱刻量係較小。因此,即便是使用SiBN膜,仍可能如 上述具體實施例保有高電晶體特性。 接著’將參考圖式描述根據此具體實施例之半導體穿置 128076.doc -16- 200849557 之製造方法。 首先,如圖2A中顯示,藉由STI(淺溝渠隔離)方法形成 元件隔離絕緣膜11以在具有一通道形成區域之矽半導體基 板1 〇中隔離一作用區域。 接著,藉由(例如)熱氧化方法於整個表面上沈積氧化石夕 達約4 nm之厚度,藉由CVD(化學汽相沈積)方法沈積多晶 矽達150至200 nm之厚度,並進一步沈積氮化矽達咒至丨⑼ r nm之厚度。然後,除了閘極形成區域外,執行光微影蝕刻 卩於該半導體基板10之作用區域中之閘極電極形成區域上 形成氧化石夕之虛設絕緣膜12、多晶石夕之虛設間極電極_ 氮化矽之硬遮罩層14。 、 接著,如圖2Β中顯示,使用(例如)TE〇s(四乙基正矽酸 鹽)作為原料氣體藉由CVD方法於整個表面上沈積氧化矽 達8至14 nm之厚度,隨後並回蝕以在該虛設閘極電極u之 兩側上且接觸該半導體基板丨〇形成該等偏移間隔物U。 L 料,如圖3A中顯示,該作用區域係使用該等偏移間隔 物15與該硬遮罩層14(或該虛設閘極電極13)作為一遮罩來 植入雜質離子以在該半導體基板10中形成囊穴層(暈;未 顯示)與延伸區域16。 ’ 接著,如圖3B中顯示,藉由(例如)電漿CVD方法於整個 表面上沈積氮化石夕達2〇疆之厚度,並進一步沈積氧 達_之厚度。然後’於整個表面上執行則虫以於該等 :爲移間隔物15之兩側上且接觸該半導體基板10形成該等側 壁間隔物17 ’其各包括該氮切膜17a與該氧切膜⑺。 128076.doc 200849557 該等側壁間隔物17之每一者可為一三層層壓絕緣膜,如氧 化矽臈/氮化矽膜/氧化矽膜。 接著,如圖4A中顯示,該作用區域係使用(例如)該等側 壁間隔物17、該等偏移間隔物15與該硬遮罩層14(或該虛 ⑵閘極電極13)作為一遮罩來植入雜質離子以在該半導體 基板10中形成該源極_汲極區域丨8。 例如,硼係以2至4 keV之能量植入15至35xl〇15/cm2之 劑量。 如上所述,各具有該延伸區域16之源極-汲極區域Μ係 於該半導體基板10中且於至少該等偏移間隔物15與該等側 壁間隔物17下形成。 然後,執行RTA(快速熱退火,1〇5〇dC)熱處理以活化雜 質。 接著,如圖4B中顯示,在以稀釋氫氟酸(DHF)預處理 後,藉由濺鍍於整個表面上沈積如鎳、鈷或鉑之耐火金屬 達8 nm之厚度,隨後並於該等源極_汲極區域之每一者之 表面上(即,於耐火金屬與矽間之接觸)矽化以形成該等耐 火金屬碎化物層1 9。然後,移除未反應之耐火金屬。 在DHF處理中,移除構成該等側壁間隔物17之氧化矽膜 17b。以下,該等氮化矽膜na可稱為"側壁間隔物”。 接著如圖5 A中顯不,藉由CVD方法於整個表面上沈積 氧化石夕以覆蓋(例 該硬遮罩層14(或該虛設閘極電極Η) 來形成《層間絕緣膜2〇。然後,藉由CMp(化學機械拋光) 方法拋光頂部表面直到曝露該硬遮罩層14(或該虛設間極 128076.doc 200849557 電極13)之表面為止。 如上所述形成之包括該層間絕緣膜2〇之膜、偏移間隔物 1 5與氮化石夕膜(側壁間隔物)i7a係稱為”絕緣膜I,,。 然後,如圖5B中顯示,在預定條件下藉由(例如)蝕刻移 除該虛設電極13(與該硬遮罩層14)。 在此等條件下執行蝕刻使得氧化矽之虛設閘極絕緣膜展 現足夠的選擇比率。 接著,如圖6A中顯示,藉由(例如)以下將詳細加以描述 之Ί虫刻移除該虛設閘極絕緣膜12。 用於移除該虛設閘極絕緣膜12之蝕刻包括以氨與氟化氫 來處理該虛設閘極絕緣膜1 2之曝露表面的第一處理以及分 解並蒸發由該第一處理所形成之產物的第二處理。 描述該第一處理。 以含有NH3、HF與Ar之混合氣體化學蝕刻該虛設閘極絕 緣膜12之表面。 明確地說’將一晶圓(基板1 〇)傳送至餘刻設備之化學餘 刻室並置於晶圓平台上,隨後並形成下述之氣體環境以於 該虛設閘極絕緣膜12之表面上形成含以錯合物。 氣體環境係如下: NH3/HF/Ar—50/50/80 seem,壓力=6·7 Pa,平台溫度=3〇Further, an insulating film 24 composed of ruthenium oxide is formed to cover the insulating film I and the gate electrode 22 (or the refractory metal germanide layer 23). Further, an opening CH is provided to pass through the upper insulating film 24 and the interlayer insulating film 2 to reach the refractory metal lithium layer 19 of each of the source-drain regions 18 and the gate The refractory metal lithium layer 23 of the electrode 22. The mother of these openings CH 128076.doc 15 200849557 is filled with a plug 25 composed of a conductive material. Further, a line 26 composed of a conductive material is formed on the upper insulating spacer 24 so as to be connectable to each of the plugs 25. The offset spacers 15 are used to form a mask layer of the extended regions. Accordingly, depending on the conditions of the activation heat treatment, the positions of the offset spacers 15 facing away from the gate electrode 22 are used to substantially position the channel side ends of the extension regions 16. Accordingly, the width of each of the offset spacers 15 is related to the contour of the extended regions, and it is possible that the contour determines the oxide oxide film for the offset spacers 丨5. At the same time, the tantalum nitride films (side spacers) 17a are used as a mask layer for forming the source-drain regions. Therefore, depending on the conditions of the activation heat treatment, the end positions of the tantalum nitride film (side spacers) 17a facing away from the gate electrode 22 serve to substantially position the channel side ends of the extension regions 18. The semiconductor device according to this embodiment has a structure in which a hafnium oxide film having a dielectric constant lower than a dielectric constant of an offset spacer composed of a nitride nitride is used and the hafnium oxide film is retained after the process. Therefore, high characteristics may be maintained because the MOS transistor is formed by the damascene gate process. The material of the offset spacers 15 is not limited to hafnium oxide, but a hafnium boron nitride (SiBN) film may be used. The SiBN臈 has a dielectric constant lower than that of the tantalum nitride film, and the dielectric constant is about 5 at the same time when the B/N ratio is 2, and the SiBN film has higher acid resistance than the oxidized film. It is resistant to acid, so the amount of money is small. Therefore, even if a SiBN film is used, it is possible to maintain high crystal characteristics as in the above specific embodiment. Next, a manufacturing method of the semiconductor through-hole 128076.doc-16-200849557 according to this embodiment will be described with reference to the drawings. First, as shown in Fig. 2A, an element isolation insulating film 11 is formed by an STI (Shallow Trench Isolation) method to isolate an active region in a germanium semiconductor substrate 1 having a channel formation region. Next, a thickness of about 4 nm is deposited on the entire surface by, for example, thermal oxidation, and the polycrystalline germanium is deposited by a CVD (Chemical Vapor Deposition) method to a thickness of 150 to 200 nm, and further nitrided.矽达咒至丨(9) The thickness of r nm. Then, in addition to the gate formation region, photolithography is performed to form an oxide oxide dummy film 12 on the gate electrode formation region in the active region of the semiconductor substrate 10, and a polycrystalline stone dummy electrode _ Hardened layer 14 of tantalum nitride. Next, as shown in FIG. 2A, a thickness of 8 to 14 nm of yttrium oxide is deposited on the entire surface by a CVD method using, for example, TE〇s (tetraethyl orthosilicate) as a raw material gas, and then returned. The etching is performed on both sides of the dummy gate electrode u and contacting the semiconductor substrate to form the offset spacers U. L material, as shown in FIG. 3A, the active region uses the offset spacers 15 and the hard mask layer 14 (or the dummy gate electrode 13) as a mask to implant impurity ions in the semiconductor A pocket layer (halo; not shown) and an extended region 16 are formed in the substrate 10. Next, as shown in Fig. 3B, the thickness of the nitride is deposited on the entire surface by, for example, a plasma CVD method, and the thickness of the oxygen is further deposited. Then, the worm is performed on the entire surface to form the sidewall spacers 17' on both sides of the spacer spacer 15 and contacting the semiconductor substrate 10, each of which includes the nitrogen cut film 17a and the oxygen cut film. (7). 128076.doc 200849557 Each of the sidewall spacers 17 can be a three-layer laminated insulating film such as a hafnium oxide/tantalum nitride film/yttria film. Next, as shown in FIG. 4A, the active area uses, for example, the sidewall spacers 17, the offset spacers 15 and the hard mask layer 14 (or the dummy (2) gate electrode 13) as a mask. The cover is implanted with impurity ions to form the source-drain region 丨8 in the semiconductor substrate 10. For example, boron is implanted at a dose of 15 to 35 x 1 〇 15/cm 2 at an energy of 2 to 4 keV. As described above, the source-drain regions each having the extended region 16 are formed in the semiconductor substrate 10 and formed under at least the offset spacers 15 and the side wall spacers 17. Then, RTA (rapid thermal annealing, 1 〇 5 〇 dC) heat treatment was performed to activate the impurities. Next, as shown in FIG. 4B, after pretreatment with diluted hydrofluoric acid (DHF), a refractory metal such as nickel, cobalt or platinum is deposited by sputtering on the entire surface to a thickness of 8 nm, and then The surface of each of the source-drain regions (i.e., the contact between the refractory metal and the crucible) is deuterated to form the refractory metal fragment layer 19. Then, the unreacted refractory metal is removed. In the DHF process, the hafnium oxide film 17b constituting the sidewall spacers 17 is removed. Hereinafter, the tantalum nitride film na may be referred to as a "side spacer". Next, as shown in FIG. 5A, an oxidized stone is deposited on the entire surface by a CVD method to cover (for example, the hard mask layer 14). (or the dummy gate electrode Η) to form the interlayer insulating film 2〇. Then, the top surface is polished by the CMp (Chemical Mechanical Polishing) method until the hard mask layer 14 is exposed (or the dummy interlayer 128076.doc 200849557) The surface of the electrode 13) is formed as described above, and the film including the interlayer insulating film 2, the offset spacer 15 and the nitride film (side spacer) i7a are referred to as "insulating film I,". As shown in FIG. 5B, the dummy electrode 13 (and the hard mask layer 14) is removed by, for example, etching under predetermined conditions. The etching is performed under such conditions so that the dummy gate insulating film of the yttrium oxide is exhibited. Sufficient selection ratio. Next, as shown in Fig. 6A, the dummy gate insulating film 12 is removed by, for example, a moth as described in detail below. Etching for removing the dummy gate insulating film 12 Including the treatment of the dummy gate with ammonia and hydrogen fluoride a first treatment of the exposed surface of the membrane 12 and a second treatment of decomposing and vaporizing the product formed by the first treatment. The first treatment is described. The dummy gate is chemically etched with a mixed gas containing NH3, HF and Ar The surface of the insulating film 12. Specifically, a wafer (substrate 1 〇) is transferred to the chemical remnant chamber of the residual device and placed on the wafer platform, and then a gas environment is formed to form the dummy gate The surface of the insulating film 12 is formed with a complex. The gas environment is as follows: NH3/HF/Ar-50/50/80 seem, pressure=6·7 Pa, platform temperature=3〇

°C 於混合氣體環境中之化學反應係如下所述。 當將HF/NHVAr作為汽相供應至化學蝕刻室中,氣體係 於該虛設閘極絕緣膜12之曝露氧化矽表面上根據蘭繆爾 128076.doc -19- 200849557 (Langmuir)吸附來吸附。同時,進行下列化學反應。 Si02+4HF-^SiF4+2H20 ⑴The chemical reaction in °C in a mixed gas environment is as follows. When HF/NHVAr is supplied as a vapor phase to the chemical etching chamber, the gas system is adsorbed on the exposed ruthenium oxide surface of the dummy gate insulating film 12 according to Lancôme 128076.doc -19-200849557 (Langmuir) adsorption. At the same time, the following chemical reactions were carried out. Si02+4HF-^SiF4+2H20 (1)

SiF4+2NH3 + 2HF->(NH4)2SiF6 ⑺ 即’與HF之反應產生S1F4與HA,隨後並藉由nh3、HF 與SiF4之化學反應於氧化石夕絕緣層之表面上形成 (NH4)2SiF6錯合物之層。 該反應根據蘭繆爾吸附係由氣體吸附控制數個分子層之 位準並在所吸附之氣體分子之覆蓋區域係飽和時自行終 止。因此,(NHdjiF6錯合物之產生亦係飽和。 於接續的第二處理中,將覆蓋(NH4)2SiF6錯合物之晶圓 傳送至加熱室並置於加熱平台上,隨後並開始加熱器加熱 以將(NH^SiF6錯合物分解成SiF4等並使其蒸發。 加熱條件係如下: 平台溫度=200\:,壓力=26.7?& 反應係由以下反應來表示。於氧化矽之虛設閘極絕緣膜 12之表面上所沈積之(NH4)2SiF6錯合物係藉由將該基板加 熱至2〇〇它而分解成SiF4、NH3與HF並蒸發,隨後並在氣體 穿過乾式幫浦時耗盡。SiF4+2NH3 + 2HF->(NH4)2SiF6 (7) ie, the reaction with HF produces S1F4 and HA, and then (NH4)2SiF6 is formed on the surface of the oxidized oxide layer by the chemical reaction of nh3, HF and SiF4. The layer of the complex. The reaction is controlled by the gas adsorption control of several molecular layers according to the Lancome adsorption system and is self-contained when the coverage of the adsorbed gas molecules is saturated. Therefore, (the production of the NHdjiF6 complex is also saturated. In the subsequent second treatment, the wafer covering the (NH4)2SiF6 complex is transferred to the heating chamber and placed on the heating platform, and then the heater heating is started. The (NH^SiF6 complex is decomposed into SiF4 or the like and evaporated. The heating conditions are as follows: platform temperature = 200\:, pressure = 26.7? & The reaction system is represented by the following reaction. The dummy gate of yttrium oxide The (NH4)2SiF6 complex deposited on the surface of the insulating film 12 is decomposed into SiF4, NH3 and HF by heating the substrate to 2 Torr, and then evaporated, and then consumed as the gas passes through the dry pump. Do it.

(NH4)2SiF6~^SiF4+2NH3+HF 由於。亥化學I虫刻使用一表面反應,古欠而具有一圖案中出 現無任何密度差異的優點。 尸例如,虱化矽之虛設閘極絕緣膜12之蝕刻量係藉由決定 氣體供應時間而控制成一所需值。 在移除4虛设絕緣膜之處理中,曝露該半導體基板之表 128076.doc •20- 200849557 面’但不彳貝壞該基板。 如上所述,閘極電極溝渠A係於該絕緣膜1中形成。 在上述蝕刻中,如下所述,選擇蝕刻時間,使得由熱氧 化方法所形成之氧切膜之㈣量可受控制以大於藉由使 =TEOS作為原料之CVD方法所形成之氧化耗之餘刻 ΐ。因此,僅部分移除該等偏移間隔物15直到完全移除該 虛认閘極絕緣膜為止。儘管該等偏移間隔物丨5係稍微縮 回,然而可能避免使該閘極電極溝渠變寬。因&,於某種 私度保有電晶體之效能。 例如,當該等偏移間隔物15之厚度係8 nm,且該虛設閘 極絶緣膜12之厚度係4 nm,在上述蝕刻條件下蝕刻直到完 王移除w亥虛σ又閘極絕緣膜12為止需要45秒。在此時間期 間,移除該等偏移間隔物15之每一者3·9 nm,從而留下每 者之厚度為大約4.1 nm之偏移間隔物。 接著,如圖6B中顯示,例如,藉由熱氧化方法沈積氮氧 化矽以覆1該閘極電極溝渠A之底部#藉由ALD方法沈積 氧化铪或氧化鋁以覆蓋該閘極電極溝渠A之内部表面,形 成由一高k膜組成之閘極絕緣膜21。形成高]^膜後,於低於 5〇〇°C之處理溫度執行一步驟,因為高]^膜具有低耐熱性。 接著,例如,藉由濺鍍或CVD方法於該閘極絕緣膜21上 沈積如金屬材料(例如,釕、氮化鈦、矽化铪或鎢) 或多晶矽之導電材料以覆蓋該閘極電極溝渠A之内壁。然 後,藉由拋光移除沈積於該閘極電極溝渠A外側之導電材 料以形成該閘極電極22。 128076.doc 200849557 此外,當使用多晶石夕形成該閘極電極2 2,在該間極電極 22上可形成NiSi之耐火金屬矽化物層23。 在一接續步驟中,藉由(例如)CVD方法沈積氧化石夕以覆 蓋5亥絶緣膜1與该閘極電極2 2 (或該耐火金屬石夕化物声2 3) 形成該上絕緣膜24。 接著’形成该專開口 CH ’以通過該上絕緣膜μ與该展 間絕緣膜20並到達該等源極-汲極區域1 8之耐火金屬石夕化 物層1 9及該閘極電極22之耐火金屬石夕化物層23。 所得之開口 CH之每一者係填充有由如一金屬之導電材 料組成之插塞25,並在該上絕緣膜24上形成由一導電材料 組成之上線路26以便能連接至該等插塞25。 如上所述,製造與具有圖丨中顯示之結構之半導體裝置 類似的半導體裝置。 使用該等偏移間隔物15作為形成該等延伸區域之一遮 罩,因而取決於活化熱處理之條件,該等偏移間隔物Μ背 離該間極電極22之端位置係實質上用於定位該等延伸區域 16之通道側端。 同時,亦使用該等氮化矽膜(側壁間隔物)17a作為形成該 等源極1極區域之—料,因而取決於活化熱處理之條 件°亥等氮化矽膜(側壁間隔物)17a背離該閘極電極22之端 位置係實質上用於定位該f源極·汲極區域Μ之通 端。 根據本發明之此具體實施例之半導體裝置之製造方法包 括在使用該鑲嵌閘極程序形成则電晶體時,形成偏移間 128076.doc -22- 200849557 b物’其各包括具有比由氮化矽組成之偏移間隔物之介電 ¥數低之介電常數的一氧化矽膜。由於在製程中並未移除 A氧化矽膜,故而可能增加M〇s電晶體之特性。 第二具體實施例 根據本發明之第二具體實施例之半導體裝置實質上係與 3亥弟一具體實施例相同。 參考圖式描述根據此具體實施例之半導體裝置之製造方 法。 ★首先’如圖7A中顯示,藉由STI方法形成元件隔離絕緣 膜11以在具有一通道形成區域之石夕半導體基板10中隔離-作用區域。接著,藉由熱氧化方法於整個表面上沈積氧化 石夕達約4請之厚度。此外,藉由CVD方法沈積多晶石夕與氮 化石夕且除了用於沈積虛設問極絕緣膜i 2、由多晶石夕組成 之虛,又閘極電極13與由氮化石夕組成之硬遮罩層“的問極形 成區域外,執行光微影蝕刻。 接著,藉由電漿CVD方法或ALD(原子層沈積)方法於整 個表面上沈積氮化石夕達〇·28 nm之厚度,並進一步藉由 CVD方法沈積氧化料8至14⑽之厚度。然後,執行回餘 以於该虛設閘極雷搞】^^也 、▲ Μ Ϋ 3之兩側上且接觸該半導體基板10形 成該等偏移間隔物丨5,苴久句 * 奶 具各包括一虱化矽膜15a與一氧化 矽膜15b。 接續步驟直到如圖7B中顯千彬+ ^ T』不心成一層間絕緣膜20為止係 與該第一具體實施例相同。 接著,如圖8 Α中顯示,在預定條株 丁貝疋條件下错由(例如)蝕刻移 128076.doc -23- 200849557 除該虛設閘極電極1 3 (與該硬遮罩14)。 在此等條件下執行蝕刻使得氧化矽之虛設閘極絕緣膜展 現足夠的選擇比率。 接著,如圖8B中顯示,藉由(例如)與第一具體實施例中 用於移除該虛設閘極絕緣膜12之蝕刻相同之蝕刻來移除該 虛設閘極絕緣膜12。(NH4)2SiF6~^SiF4+2NH3+HF due to. Hai Chemical I used a surface reaction, which has the advantage of having no difference in density in a pattern. For example, the etching amount of the dummy gate insulating film 12 of the crucible is controlled to a desired value by determining the gas supply time. In the process of removing the dummy insulating film, the surface of the semiconductor substrate is exposed to the surface of the semiconductor substrate, but the substrate is not damaged. As described above, the gate electrode trench A is formed in the insulating film 1. In the above etching, as described below, the etching time is selected such that the amount of the oxygen cut film formed by the thermal oxidation method can be controlled to be greater than the oxidation loss formed by the CVD method using =TEOS as a raw material. Hey. Therefore, the offset spacers 15 are only partially removed until the dummy gate insulating film is completely removed. Although the offset spacers 系5 are slightly retracted, it may be avoided to widen the gate electrode trenches. Because of &, the effectiveness of the transistor is preserved in a certain degree of privacy. For example, when the thickness of the offset spacers 15 is 8 nm, and the thickness of the dummy gate insulating film 12 is 4 nm, etching is performed under the above etching conditions until the king removes the dummy σ and the gate insulating film. It takes about 45 seconds until 12. During this time, each of the offset spacers 15 is removed by 3·9 nm, leaving an offset spacer of approximately 4.1 nm in thickness. Next, as shown in FIG. 6B, for example, a ruthenium oxynitride is deposited by a thermal oxidation method to cover the bottom of the gate electrode trench A. The ruthenium oxide or aluminum oxide is deposited by an ALD method to cover the gate electrode trench A. The inner surface forms a gate insulating film 21 composed of a high-k film. After the formation of the film, a step is performed at a treatment temperature lower than 5 ° C because the film has low heat resistance. Then, for example, a conductive material such as a metal material (for example, tantalum, titanium nitride, tantalum or tungsten) or polysilicon is deposited on the gate insulating film 21 by sputtering or CVD to cover the gate electrode trench A. The inner wall. Then, the conductive material deposited on the outside of the gate electrode trench A is removed by polishing to form the gate electrode 22. Further, when the gate electrode 2 2 is formed using polycrystalline stone, a refractory metal telluride layer 23 of NiSi can be formed on the interpole electrode 22. In a subsequent step, the upper insulating film 24 is formed by depositing an oxide stone by, for example, a CVD method to cover the 5 Å insulating film 1 and the gate electrode 2 2 (or the refractory metal slick 2 2). Then, the special opening CH is formed to pass through the upper insulating film μ and the intervening insulating film 20 to reach the refractory metal lithium layer 19 of the source-drain region 18 and the gate electrode 22 Refractory metal lithium layer 23. Each of the resulting openings CH is filled with a plug 25 composed of a conductive material such as a metal, and a wiring 26 formed of a conductive material is formed on the upper insulating film 24 so as to be connectable to the plugs 25. . As described above, a semiconductor device similar to the semiconductor device having the structure shown in the drawing is manufactured. Using the offset spacers 15 as a mask to form one of the extended regions, and thus depending on the conditions of the activation heat treatment, the position of the offset spacers away from the end of the interpole electrode 22 is substantially used to position the spacer The channel side end of the extension region 16 is equal. At the same time, the tantalum nitride film (side spacer) 17a is also used as a material for forming the source region of the source, and thus the tantalum nitride film (side spacer) 17a is deviated depending on the conditions of the activation heat treatment. The end position of the gate electrode 22 is substantially used to locate the end of the f source/drain region. A method of fabricating a semiconductor device according to this embodiment of the present invention includes forming an offset between 128076.doc -22-200849557 b when the transistor is formed using the damascene gate program, each of which includes a ratio of nitridation一 The composition of the offset spacer is a dielectric constant of a low dielectric constant of the ruthenium oxide film. Since the A yttrium oxide film is not removed in the process, it is possible to increase the characteristics of the M 〇 s transistor. Second Embodiment A semiconductor device according to a second embodiment of the present invention is substantially the same as a specific embodiment. A method of fabricating a semiconductor device according to this embodiment will be described with reference to the drawings. ★ First, as shown in Fig. 7A, the element isolation insulating film 11 is formed by the STI method to isolate the active region in the stellite semiconductor substrate 10 having a channel formation region. Next, the thickness of the oxidized stone is deposited on the entire surface by a thermal oxidation method. In addition, the CVD method deposits polycrystalline stone with nitrite and except for the deposition of the dummy gate insulating film i 2, which is composed of polycrystalline stone eve, and the gate electrode 13 is hard composed of nitrite Photolithography is performed outside the region where the mask layer is formed. Next, a thickness of SiO 2 · 28 nm is deposited on the entire surface by a plasma CVD method or an ALD (Atomic Layer Deposition) method, and Further, the thickness of the oxidized materials 8 to 14 (10) is deposited by a CVD method. Then, a reciprocating portion is formed on both sides of the dummy gate, and the semiconductor substrate 10 is contacted to form the same. The spacers 5, the long sentences * The milk sets each include a tantalum film 15a and a tantalum oxide film 15b. The subsequent steps are continued until an interlayer insulating film 20 is formed as shown in Fig. 7B. It is the same as the first embodiment. Next, as shown in FIG. 8 , the dummy gate electrode 13 is removed by, for example, etching shift 128076.doc -23- 200849557 under the predetermined condition of Dingbey. (with the hard mask 14). Perform etching under these conditions to make the yttrium oxide The gate insulating film exhibits a sufficient selection ratio. Next, as shown in FIG. 8B, the etching is removed by, for example, the same etching as that used in the first embodiment for removing the dummy gate insulating film 12. The gate insulating film 12 is dummy.

如上所述,閘極電極溝渠A係於該絕緣膜〗中形成。 在上述蝕刻中,如下所述,氮化矽之蝕刻速率係充分低 於由熱氧化方法所形成之氧化矽膜的蝕刻速率。例如,當 該等偏移間隔物丨5之每一 |包括厚度為〇.28 之氣化: 膜與厚度為8 nm之氧化矽膜的積層,在直到完全蝕刻該虛 設電極絕緣膜12為止所需之45秒之時間期間,肖等偏移間 隔物15之每—者之氮切膜…係移除G.28 nm(即,完全移 除該氮化矽膜)。因,士,@ 。 ^ } U此厗度為8 nm之氧化矽膜15b係如原 =:全留T ’從而避免使該閘極電極溝渠變寬。此時,該 等氧化矽臈1 5構成個別偏移間隔物15。 如上所述,在此具體實施例中,先前沈積氮化碎以作為 該等偏移間隔物之溝渠側部分,其之厚度使得在移除該虛 1極絕緣之時間期間恰移除該氮化石夕膜。 矛、亥虛。又閉極、絕緣膜所需之處理時間泛文變,該氣 化矽膜15a之厚度可適當地改變。 、. 此可應用於移除該虛設間極絕緣臈之處理。在此情 IV Λ除藉±由熱氧化方法所形成之4麵之氧切臈需要 " 此日守間在DHF處理中氮化石夕係移除0.86 _。因 128076.doc -24- 200849557 此,例如,當該等偏移間隔物15之每一者包括厚度為〇 % nm之氮化矽膜與厚度為8 nm之氧化矽膜的積層,在直到完 全蝕刻該虛設電極絕緣膜12為止所需之1〇3秒之時間期 間,該等偏移間隔物15之每一者之氮化矽膜15a係移除 0.86 nm(即,完全移除該氮化矽膜)。因此,厚度為8 之 氧化矽膜1 5b係如原本完全留下。As described above, the gate electrode trench A is formed in the insulating film. In the above etching, as described below, the etching rate of tantalum nitride is sufficiently lower than the etching rate of the hafnium oxide film formed by the thermal oxidation method. For example, when each of the offset spacers |5 includes a vaporization having a thickness of 〇.28: a laminate of a film and a ruthenium oxide film having a thickness of 8 nm, until the dummy electrode insulating film 12 is completely etched During the 45 second period, the nitrogen cut film of each of the offset spacers 15 is removed by G. 28 nm (ie, the tantalum nitride film is completely removed). Because, Shi, @. ^ } U The yttrium oxide film 15b having a twist of 8 nm is as follows: the total remaining T ′ thus avoids widening the gate electrode trench. At this time, the iridium oxide 15 constitutes the individual offset spacer 15. As described above, in this embodiment, the nitriding is previously deposited as the trench side portion of the offset spacers, the thickness of which is such that the nitride is removed during the time of removing the dummy 1-pole insulation. Evening film. Spear, Hai Xu. Further, the processing time required for the closed electrode and the insulating film is changed in a wide range, and the thickness of the vaporized tantalum film 15a can be appropriately changed. This can be applied to the process of removing the dummy interlayer insulation. In this case, IV is required to remove the oxygen enthalpy of the four sides formed by the thermal oxidation method. " This day, the defensive division removes 0.86 _ in the DHF treatment. Thus, for example, when each of the offset spacers 15 comprises a layer of tantalum nitride film having a thickness of 〇% nm and a yttrium oxide film having a thickness of 8 nm, until completely During the period of 1 〇 3 seconds required to etch the dummy electrode insulating film 12, the tantalum nitride film 15a of each of the offset spacers 15 is removed by 0.86 nm (ie, the nitridation is completely removed). Diaphragm). Therefore, the yttrium oxide film 15b having a thickness of 8 is completely left as it is.

然後,如在第一具體實施例中,例如,於該閘極電極溝 渠A中形成該閘極絕緣膜21、該閘極電極22與該耐火金屬 矽化物層23,形成該上絕緣膜24,形成並以該等插塞乃填 充該等開口 CH,以及形成該上層線路%。 如上所述,製造與根據此具體實施例之半導體裝置類似 之半導體装置。 根據本發明之此具體實施例之半導體裝置之製造方法包 括在使用該鑲嵌閘極程序形成M〇s電晶體時,形成偏移間 隔物,其各包括具有比由氮化矽組成之偏移間隔物之介電 常數低之介電常數的一氧化矽膜。由於在製程中並未移除 4氧化石夕膜’故而可能增強電晶體之特性。 k &使用该氧化矽膜i5b作為構成該等偏移間隔物丨5之 膜,然而該等偏移間隔物1 5並不限於此,而在該氧化矽膜 外可使用一含硼氮化矽(SiBN)膜。SiBN膜具有比氮化矽膜 ,a電#數低之介電常數,且在B/N比率為2之情況下介電 常數係大約5。同時,SiBN膜具有比氧化矽膜之耐酸性高 之耐黾性,因而蝕刻量係較小。因此,即便是使用SiBN +、仍可咸如上述具體實施例保有高電晶體特性。 128076.doc -25- 200849557 第三具體實施例 圖9係根據第三1辦杂 ”體只加例之半導體裝置的斷面圖。 此具體實施例實質^孫 貝上係共弟一具體實施 下偏移間隔物15之每—者作主卜 除了邊 母者作為一氮化矽膜15a與一氧 膜15b之積層外。Α 虱化矽 “ ,、他、、且件係與弟一具體實施例相同。 :乂考圖式4田4根據此具體實施例之半導體裝置之 方法。 χ < 百先如圖10A中顯示,執行與第二具體實_相同之 步驟’直到移除該虛設閘極電極13(與該硬遮罩層⑷為 止。 …' 接著,如圖刚中顯^例如,控制構成該等偏移間隔 物15之每—者之氮切膜15a之厚度,此厚度使該等氮化 矽膜係藉由與第一具體實施例或卿處理中相同的移除該 虛設閘極絕緣膜12之㈣在移除該虛設閘極絕緣膜12所需 之日守間期間完全移除。 如上所述,閘極電極溝渠A係於該絕緣膜〗中形成。 在上耗射,如下所述,氮切之㈣料係低於由 熱氧化方法所形成之氧化矽膜的蝕刻速率。例如,當該等 偏移間隔物15之每一者包括厚度為〇·5〇 nm之氮化矽膜與 厚度為8 nm之氧化矽膜的積層,在直到完全蝕刻該虛設電 極絕緣膜丨2為止所需之45秒之時間期間,該等偏移間隔物 15之每一者之氮化矽膜15a係移除〇,28 nm。即,使該等氮 化矽膜15a變薄成0.22 nm之厚度,但並非完全移除。因 此’厚度為8 nm之氧化石夕膜係如原本完全留下,從而避免 128076.doc -26- 200849557 使該閘極電極溝渠變寬。 如上所述,在此具體實施例中,先前形成氮化石夕膜以作 為該“㈣隔物之溝渠側部分’其之厚度大於在移除該 虛設閘極絕緣膜所需之時間期間恰移除之厚度。即使留下 該等氣化韻,具有高介電常數之I切膜較佳地係儘可 能地薄並充分地薄於構成個別偏移間隔物之氧化石夕膜。 當移除該虛設閘極絕緣膜所需之處理時間改變,該等氮 化矽膜15a之厚度可適當地改變。 此處理可應用於移除該虛設閘極絕緣膜之dhf處理。在 此情況中,移除藉由熱氧化方法所形成之4 nm之氧化矽膜 需要103秒,且此時間在DHF處理中氮化矽係移除〇 86 nm。因此,例如,當該等偏移間隔物15之每一者包括厚度 為1·3 nm之氮化矽膜與厚度為8 nm之氧化矽膜的積層,在 直到完全餘刻該虛設電極絕緣膜1 2為止所需之1 〇 3秒之時 間期間’該等偏移間隔物1 5之每一者之氮化矽膜1 5 a係移 除0.86 nm(即,該氮化矽膜留下〇·44 nm之厚度)。因此, 厚度為8 nm之氧化矽膜15b係如原本完全留下。 然後,如在第一具體實施例中,例如,於該閘極電極溝 渠A中形成該閘極絕緣膜2 1、該閘極電極22與該耐火金屬 石夕化物層23,形成該上絕緣膜24,形成並以該等插塞25填 充該等開口 CH,以及形成該上層線路26。 如上所述,製造與根據此具體實施例之半導體裝置類似 之半導體裝置。 根據本發明之此具體實施例之半導體裝置之製造方法包 128076.doc -27- 200849557 括在運用該鎮嵌閘極程序形成刪電晶體時,形成偏移間 =物’其各包括具有比由氮化独成之偏移間隔物之介電 :數低之电常數的一氧化矽膜。由於在製程中並未移除 °亥氧化石夕膜,故而可能增加MOS電晶體之特性。 第四具體實施例 圖1 1係根據第四具體實施例之半導體裝置的斷面圖。 此具體實施例實質上係與第一具體實施例相同,除了使 匕括偏移間隔物! 5、氮化石夕膜(側壁間隔物川^與一層間絕 緣:20之'絕緣膜工進一步變薄(即,使一閘極電極π之高 度變低)外。其他組件係與第一具體實施例相同。 將/考圖式描述根據此具體實施例之半導體裝置之 方法。 百先,如圖12A中顯示,執行與 步驟,直到在源極-汲極區域之每一者之表面上形成該耐 火金屬矽化物層19為止。 接者如圖12B中顯示,例如,藉由CVD方法於整個表 面上沈積氧切以覆蓋該硬遮軍層14(或該虛設閘極電極 、)^成η亥層間絕緣膜2〇,並藉由cMp㈠匕學機械抛光)方 法拋光頂部表面直到曝露該硬遮罩㈣(或該虛設閘極電 極13)之表面為止。 151?:述形成之包括該層間絕緣膜20之膜、偏移間隔物 /、虱矽臈(側壁間隔物)1 7a係稱為,,絕緣膜Γ,。 “在此具體實施例中,進一步執行拋光以使該絕緣膜 溥0 、 128076.doc 28- 200849557 例如,當存在該硬遮罩14,可拋光該絕緣膜i直到完全 拋光該硬遮罩層14以曝露該虛設間極電㈣之表面為止或 可將其拋光至該虛設閘極電極13之中間高度。 田未出現4硬遮罩1 4,藉由拋光至該虛設間極電極1 3之 中間南度來移除該絕緣臈I。 ’、、、;後如在第-具體實施例中,移除該虛設間極電極 13(與該硬遮罩層14)與該虛設閘極絕緣膜12以在該絕緣膜I 中形成該閘極電極溝渠八,在該間極電極溝渠A中形成該 閘極絕緣臈21、該閘極電極22與該耐火金屬石夕化物層^, 形成該上絕緣膜24,形成並以該等插㈣填充該等開口 CH ’以及形成該上層線路26。 如上所述,製造與根據此具體實施例之半導體裝置類似 之半導體裝置。 、 根據本發明之此具體實施例之半導體裝置之製造方法包 括在使用σ亥鑲肷閘極程序形成M〇s電晶體時,形成偏移間 物#各包括具有比由氮化矽組成之偏移間隔物之介電 ¥數低之"電g數的一氧化石夕膜。由於在製程中並未移除 /氧化矽膜,故而可能增強M〇s電晶體之特性。 、,此具體實施例中,如在第二具體實施例中,可先前形 成氮化石夕膜以作為該等偏移間隔物之溝渠側部分,其之厚 度使侍在移除該虛設閘極絕緣膜所需之時間期間恰移 氮化矽。 ” 第五具體實施例 圖13係根據第五具體實施例之半導體裝置的斷面圖。 128076.doc -29- 200849557 此具體實施例實質上係盥 产结 /、弟一具體實施例相同,除了如 在弟四具體實施例令,使包 ,..B0 之匕括偏移間隔物1 5、氮化矽膜 (側土間隔物)17 a與一®門绍給 . 曰]、、、邑緣膜20之一絕緣膜I變薄(即, 使一閉極電極22之高度變低卜 又又低)外。其他組件係與第三具體 實施例相同。 根據此具體實施例之丰宴 巧 < 牛¥體裝置之製造方法係與第三具 體實施例相同,除了 4 结 示了如在弟四具體實施例中,進一步使該 絕緣膜I變薄外。 在根據本發明之此具體實施例之半導體裝置之製造方法 中在MOS电晶體係使用該鑲嵌間極程序來形成時,形成 偏移間物,其各包括具有比由氮化石夕組成之偏移間隔物 之介電常數低之介電常數的一氧化矽膜。由於在製程中並 未移除該氧化矽膜,故而可能增強MOS電晶體之特性。 弟六具體貫施例 圖14至17各係根據本發明之一具體實施例之半導體裝置 之斷面圖。 此等具體實施例實質上係與第一至第五具體實施例相 同’除了由如氧化铪或氧化鋁之所謂高料組成之一間 極絕緣膜30係藉由ALD方法來形成以覆蓋一閘極電極溝渠 A之内壁’且该閘極電極溝渠a係填充如釕或鎢之金屬材 料以於該閘極絕緣膜3〇上形成一閘極電極3丨外。 圖14、15、16與17分別對應於第一與第二具體實施例、 第三具體實施例、第四具體實施例與第五具體實施例。 在根據本發明之一具體實施例之半導體裝置之製造方法 128076.doc -30 - 200849557 中,在MOS電晶體係使用該鑲嵌閘極程序來形《時,形成 偏移間隔物,其各包括具有比由氮化矽組成之偏移間隔物 之介電常數低之介電常數的—氧化石夕膜。由於在製程中並 未移除該氧化矽膜,故而可能增強则電晶體之特性。 範例 關於第-具體實施財移除該虛設㈣絕緣膜之勒刻方 法,檢查⑷藉由熱氧化方法所形成之氧化石夕膜,㈨使用 TEOS作為原料藉由„CVD方法所形成之氧切膜,以 及⑷藉由電漿CVD方法所形成之氮切膜之㈣時間盘姑 刻量間之關係。 ” 圖1 8中顯示結果。 圖18顯示在超過40秒之敍刻時間時,⑷藉由熱氧化方法 =:氧化秒膜之敍刻量變成大於㈨使用_作為原 1精由電:CVD方法所形成之氧切膜之姓刻量。因此, 虽使用此專膜(a)與(b)分別作 作為虛故閘極絕緣膜與偏移間 P同物之材料,可移除該虛 物。 屣°又閘極電極,而留下偏移間隔 此外,(c)氮化矽膜之蝕刻量婷 方、法m ^卜 係持,小於(a)猎由熱氧化 方法料成之減㈣之⑽U此,冑 與⑷分別作為虛設閘極 ' 除該虛設閘極電極,而留下偏::移間隔物之材料’可移 肉召下偏移間隔物。 本發明不限於以上描述。 例如,該閘極絕緣臈與該閘 體實施例。 才之材抖不限於上述具 128076.doc 200849557 不必然形成該耐火金屬矽化物層。 例如’在第—具體實施财可使用含硼氮化邦刪)膜 而^氧化矽膜,在第二具體實施例令可使用氮化石夕臈與含 删乳化♦膜之積層而非氮切膜與氧切膜之積層,以及 在第三具財補巾可使料㈣切膜純切膜之積 層而非氮切膜與氧切臈之積層。含魏切膜具有比 虱化矽膜之介電常數低之介電常數,且在b/n比率為2之情 况下介電常數係大約5。同時,灿賴具有比氧化石夕膜之 而才酸性鬲之耐酸性,因而姓旦 ^ ^ ^彳里係杈小。因此,相較於該 等偏移間隔物使用氮化矽膜 一 /勝況可旎形成更薄的偏移間 隔物。 習知此項技術者應明白, 凡 』根據έ又计要求及其他因素進 行各種修改、組合、再組入 〇 ^ ^ ^ 丹、、且σ及變更,只要其係在隨附申請 專利範圍或其等效内容的範疇内即可。 【圖式簡單說明】 圖1係根據本發明之第_目Μ也 Μ 弟具體實施例之半導體裝置的示 意性斷面圖; 圖2 Α與2 Β係各顯示根攄太 , 骤本發明之第一具體實施例之半 導體裝置之製造方法之一牛 心步驟的斷面圖; 圖3A與3B係各顯示根攄太 據本發明之弟一具體實施例之半 導體裝置之製造方法之一牛_ 、 <步驟的斷面圖; 圖4 A與4B係各顯示根據太 像本發明之第一具體實施例之半 導體裝置之製造方法之一步嵊μ 步騍的斷面圖; 圖5Α與5Β係各顯示根攄 據本發明之第一具體實施例之半 128076.doc -32- 200849557 導體裝置之製造方法之-步驟的斷面圖; 圖6A與㈣各顯示根據本發明之第—具體實施例之半 導體裝置之製造方法之-步驟的斷面圖; 圖7A與7B係各顯示根據本發明之第二具體實施例之半 導體裝置之製造方法之-步驟的斷面圖; 圖8A與8B係各顯示根據本發明之第二具體實施例之半 導體裝置之製造方法之一步驟的斷面圖; 圖9係根據本發明之第三具體實施例之半導體裝置之示 意性斷面圖; 圖1 〇 A與係各顯示根據本發明之第三具體實施例之 半導體裝置之製造方法之一步驟的斷面圖; 圖1 1係根據本發明之箆1 _威 弟四具體實施例之半導體裝置的示 意性斷面圖; 圖12 A契12B係各顯不根據本發明之第四具體實施例之 半導體裝置之製造方法之-步驟的斷面圖; 圖1 3係根據本發明夕筮 + 乃之弟五具體貫施例之半導體裝置之示 意性斷面圖; 圖14係根據本發明之筮^ ^ 月之弟,、具體貫施例之半導體裝置之示 意性斷面圖; 圖1 5係根據本發明夕势 ^ 知月之第七具體貫施例之半導體裝置之示 意性斷面圖;Then, in the first embodiment, for example, the gate insulating film 21, the gate electrode 22 and the refractory metal germanide layer 23 are formed in the gate electrode trench A, and the upper insulating film 24 is formed. The openings CH are formed and filled with the plugs, and the upper layer % is formed. As described above, a semiconductor device similar to the semiconductor device according to this embodiment is fabricated. A method of fabricating a semiconductor device according to this embodiment of the present invention includes forming offset spacers each including an offset interval composed of tantalum nitride when forming a M?s transistor using the damascene gate process A niobium monoxide film having a dielectric constant with a low dielectric constant. The characteristics of the transistor may be enhanced because the 4 oxidized film is not removed during the process. k & using the yttrium oxide film i5b as a film constituting the offset spacers ,5, however, the offset spacers 15 are not limited thereto, and a boron-containing nitridation may be used outside the yttrium oxide film. Silicon (SiBN) film. The SiBN film has a dielectric constant lower than that of the tantalum nitride film, and has a dielectric constant of about 5 in the case where the B/N ratio is 2. At the same time, the SiBN film has higher resistance to acid than the ruthenium oxide film, and thus the etching amount is small. Therefore, even if SiBN + is used, it is still salty as the above specific embodiment retains high crystal characteristics. 128076.doc -25- 200849557 THIRD EMBODIMENT FIG. 9 is a cross-sectional view of a semiconductor device according to a third embodiment of the present invention. Each of the offset spacers 15 is used as the main layer except for the layered layer of the tantalum nitride film 15a and the oxygen film 15b. Α 虱 矽 , , 、 , , , , , , , , 具体 具体 具体 具体 具体 具体 具体 具体 具体 具体 具体 具体 具体 具体The example is the same. The method of the semiconductor device according to this embodiment is shown in FIG. χ < Hundreds first as shown in Fig. 10A, performing the same steps as the second concrete embodiment until the dummy gate electrode 13 is removed (with the hard mask layer (4). ...' For example, controlling the thickness of the nitrogen cut film 15a constituting each of the offset spacers 15 such that the tantalum nitride film is removed by the same process as in the first embodiment or the processing of the first embodiment (4) of the dummy gate insulating film 12 is completely removed during the day when the dummy gate insulating film 12 is removed. As described above, the gate electrode trench A is formed in the insulating film. Expenditure, as described below, the nitrogen cut (four) is lower than the etch rate of the hafnium oxide film formed by the thermal oxidation process. For example, when each of the offset spacers 15 includes a thickness of 〇·5〇 Each of the offset spacers 15 is laminated for a period of 45 seconds required until the dummy electrode insulating film 丨2 is completely etched by the tantalum nitride film of nm and the yttrium oxide film having a thickness of 8 nm. The tantalum nitride film 15a removes germanium, 28 nm, that is, the tantalum nitride film 15a is thinned to a thickness of 0.22 nm. However, it is not completely removed. Therefore, the 'Oxide film with a thickness of 8 nm is completely left as it is, so as to avoid widening the gate electrode trench by 128076.doc -26- 200849557. As mentioned above, In the embodiment, the nitride film is previously formed as the "ditch side portion of the "fourth spacer" whose thickness is greater than the thickness which is removed during the time required to remove the dummy gate insulating film. The isoelectric film having a high dielectric constant is preferably as thin as possible and sufficiently thinner than the oxidized oxide film constituting the individual offset spacer. When the dummy gate insulating film is removed, The processing time is changed, and the thickness of the tantalum nitride film 15a can be appropriately changed. This treatment can be applied to the dhf process of removing the dummy gate insulating film. In this case, the removal is formed by a thermal oxidation method. The 4 nm yttrium oxide film takes 103 seconds, and this time the tantalum nitride system removes 〇86 nm in the DHF process. Thus, for example, when each of the offset spacers 15 includes a thickness of 1.3 Lamination of a tantalum nitride film of nm and a yttrium oxide film having a thickness of 8 nm During the time period of 1 〇 3 seconds required until the dummy electrode insulating film 1 2 is completely left, the tantalum nitride film 1 5 a of each of the offset spacers 1 is removed by 0.86 nm. (ie, the tantalum nitride film leaves a thickness of 〇·44 nm.) Therefore, the yttrium oxide film 15b having a thickness of 8 nm is completely left as it is. Then, as in the first embodiment, for example, The gate insulating film 21, the gate electrode 22 and the refractory metal lithium layer 23 are formed in the gate electrode trench A, and the upper insulating film 24 is formed, and the openings are filled with the plugs 25. CH, and forming the upper layer wiring 26. As described above, a semiconductor device similar to the semiconductor device according to this embodiment is fabricated. A method of fabricating a semiconductor device according to this embodiment of the present invention is disclosed in a package of 128076.doc -27-200849557, which is formed by using the gate-embedded gate program to form an eraser crystal. Dielectric of the nitrided offset spacer: a low number of electrical constants of the hafnium oxide film. Since the oxime film is not removed in the process, the characteristics of the MOS transistor may be increased. Fourth Embodiment Fig. 11 is a cross-sectional view showing a semiconductor device according to a fourth embodiment. This embodiment is essentially the same as the first embodiment except that the offset spacers are included! 5, the nitride film (the sidewall spacers and the insulation between the layers: 20 'insulation film work further thinning (that is, the height of a gate electrode π becomes lower). Other components and the first implementation The same is true. The method of the semiconductor device according to this embodiment will be described. The first step, as shown in FIG. 12A, is performed and steps until the surface is formed on the surface of each of the source-drain regions. The refractory metal telluride layer 19 is connected. As shown in FIG. 12B, for example, an oxygen cut is deposited on the entire surface by a CVD method to cover the hard mask layer 14 (or the dummy gate electrode). The interlayer insulating film 2 is polished and the top surface is polished by a cMp (a) mechanical polishing method until the surface of the hard mask (4) (or the dummy gate electrode 13) is exposed. 151?: The film including the interlayer insulating film 20, the offset spacer /, the ruthenium (side spacer) 17a is referred to as an insulating film Γ. "In this embodiment, polishing is further performed to make the insulating film 溥0, 128076.doc 28-200849557. For example, when the hard mask 14 is present, the insulating film i can be polished until the hard mask layer 14 is completely polished. To expose the surface of the dummy electrode (4) or to polish it to the middle height of the dummy gate electrode 13. No hard mask 14 appears in the field, by polishing to the middle of the dummy electrode 13 The insulating layer I is removed from the south. ',, and; as in the first embodiment, the dummy interpole electrode 13 (and the hard mask layer 14) and the dummy gate insulating film 12 are removed. Forming the gate electrode trench 8 in the insulating film I, forming the gate insulating germanium 21, the gate electrode 22 and the refractory metal lithiation layer in the inter-electrode trench A, forming the upper insulating layer The film 24 is formed and filled with the openings CH' and formed with the upper layer line 26. As described above, a semiconductor device similar to the semiconductor device according to this embodiment is fabricated. According to this embodiment of the present invention An example of a method of manufacturing a semiconductor device includes When the M〇s transistor is formed by the σ 肷 肷 gate process, the offset spacers each include one having a lower electric dielectric number than the offset spacer composed of tantalum nitride. Oxidized oxide film. Since the ruthenium film is not removed/oxidized in the process, it is possible to enhance the characteristics of the M〇s transistor. In this embodiment, as in the second embodiment, nitrogen may be formed previously. The fossil film serves as a trench side portion of the offset spacers, the thickness of which causes the tantalum nitride to be moved during the time required to remove the dummy gate insulating film. "Fifth Embodiment FIG. 13 A cross-sectional view of a semiconductor device according to a fifth embodiment. 128076.doc -29- 200849557 This embodiment is essentially the same as the embodiment of the production, except for the specific embodiment of the fourth embodiment, except that the package, .. B0 includes an offset spacer 1 5. The tantalum nitride film (side spacer) 17 a and a gate are provided. The insulating film I of one of the 曰], 邑, 邑 膜 20 is thinned (ie, the height of a closed electrode 22 is lowered) Bu is low again. The other components are the same as the third embodiment. The manufacturing method of the feasting device according to this embodiment is the same as that of the third embodiment, except that 4 is shown to further thin the insulating film I as in the fourth embodiment. . In the method of fabricating a semiconductor device according to this embodiment of the present invention, when the MOS electro-crystal system is formed using the damascene interpole program, offset spacers are formed, each of which includes an offset from the composition of the nitride A niobium monoxide film having a dielectric constant with a low dielectric constant of the spacer. Since the yttrium oxide film is not removed in the process, the characteristics of the MOS transistor may be enhanced. DETAILED DESCRIPTION OF THE INVENTION Figures 14 through 17 are cross-sectional views of a semiconductor device in accordance with an embodiment of the present invention. These specific embodiments are substantially the same as the first to fifth embodiments except that an interlayer insulating film 30 composed of a so-called high material such as cerium oxide or aluminum oxide is formed by an ALD method to cover a gate. The gate electrode a of the electrode electrode trench A is filled with a metal material such as tantalum or tungsten to form a gate electrode 3 on the gate insulating film 3 . 14, 15, 16 and 17 correspond to the first and second specific embodiments, the third embodiment, the fourth embodiment and the fifth embodiment, respectively. In the method of fabricating a semiconductor device according to an embodiment of the present invention, 128076.doc -30 - 200849557, when the MOS electro-crystal system is formed using the damascene gate program, offset spacers are formed, each of which includes Oxidized oxide film having a lower dielectric constant than the dielectric constant of the offset spacer composed of tantalum nitride. Since the yttrium oxide film is not removed during the process, the characteristics of the transistor may be enhanced. Examples of the method for removing the dummy (four) insulating film from the first embodiment, inspecting (4) the oxidized stone film formed by the thermal oxidation method, and (9) using the TEOS as the raw material by the CVD method to form the oxygen cutting film And (4) the relationship between the (four) time discs of the nitrogen cut film formed by the plasma CVD method. The results are shown in Fig. 18. Figure 18 shows that at a quotation time of more than 40 seconds, (4) by thermal oxidation method =: oxidized seconds film sizing amount becomes greater than (n) use _ as the original 1 fine by electricity: CVD method formed by the oxygen cut film surname Engraving. Therefore, although the films (a) and (b) are respectively used as the material of the dummy gate insulating film and the offset P, the dummy can be removed.屣° and the gate electrode, leaving the offset interval. In addition, (c) the etching amount of the tantalum nitride film is less than (a) the reduction by the thermal oxidation method (4) (10) U, 胄 and (4) respectively act as dummy gates' except for the dummy gate electrode, leaving a bias: the material of the spacer spacer' can move the offset spacer. The invention is not limited to the above description. For example, the gate is insulated from the gate embodiment. The material is not limited to the above. 128076.doc 200849557 does not necessarily form the refractory metal telluride layer. For example, 'in the first embodiment, a boron-containing nitridation film can be used to oxidize the ruthenium film, and in the second embodiment, a nitride layer and a delamination-free film can be used instead of the nitrogen film. The laminate with the oxygen cut film, and the laminate of the pure cut film of the third filler film (4), not the nitride film and the oxygen cut. The Wei-containing film has a dielectric constant lower than that of the bismuth telluride film, and the dielectric constant is about 5 in the case where the b/n ratio is 2. At the same time, Can Lai has an acidity that is more acidic than that of the oxidized stone, so the surname is ^^^彳. Therefore, a thinner offset spacer can be formed using a tantalum nitride film as compared to the offset spacers. Those skilled in the art should understand that all modifications, combinations, and reorganizations are subject to the requirements of the stipulations and other factors, as long as they are attached to the scope of the patent application or Within the scope of its equivalent content. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a schematic cross-sectional view of a semiconductor device according to a specific embodiment of the present invention; FIG. 2 shows a schematic diagram of a semiconductor device according to a specific embodiment of the present invention; A cross-sectional view of a method of manufacturing a semiconductor device according to a first embodiment of the present invention; and FIGS. 3A and 3B are diagrams showing a method of manufacturing a semiconductor device according to a specific embodiment of the present invention. FIG. 4 is a cross-sectional view showing a step of manufacturing a semiconductor device according to the first embodiment of the present invention; FIG. 5 and FIG. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 6A and (4) each show a first embodiment of the present invention in accordance with a first embodiment of the present invention. FIG. 7A and FIG. 7B are cross-sectional views showing steps of a method of manufacturing a semiconductor device according to a second embodiment of the present invention; FIGS. 8A and 8B are each a cross-sectional view; Showing the second item according to the present invention BRIEF DESCRIPTION OF THE DRAWINGS FIG. 9 is a schematic cross-sectional view showing a semiconductor device according to a third embodiment of the present invention; FIG. 1 is a view showing a 根据A and a system according to the present invention. BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a schematic cross-sectional view of a semiconductor device according to a specific embodiment of the present invention; FIG. 12B is a cross-sectional view showing a step of a method of manufacturing a semiconductor device according to a fourth embodiment of the present invention; and FIG. 1 is a semiconductor device according to the present invention. Figure 14 is a schematic cross-sectional view of a semiconductor device according to the present invention, and a semiconductor device according to the specific embodiment; Figure 15 is a seventh embodiment of the present invention according to the present invention A schematic cross-sectional view of a semiconductor device according to a specific embodiment;

圖1 6係根據本發明 I 月之弟八具體實施例之半導體裝置之示 意性斷面圖; 圖17係根據本發明之第九具體實施例之半導體裝置之示 128076.doc -33- 200849557 意性斷面圖;以及 圖1 8係顯示於本發明之一範例中所測量之蝕刻速率的曲 線圖。 【主要元件符號說明】 / 10 矽半導體基板 11 元件隔離絕緣膜 12 虛設閘極絕緣膜/虛設電極絕緣膜 13 虛設閘極電極 14 硬遮罩層 15 偏移間隔物 15a 氮化矽膜 15b 氧化矽膜 16 延伸區域 17 側壁間隔物 17a 氮化矽膜(側壁間隔物) 17b 氧化S夕膜 18 源極- >及極區域 19 耐火金屬矽化物層 20 層間絕緣膜 21 閘極絕緣膜 22 閘極電極 23 耐火金屬矽化物層 24 上絕緣膜 25 插塞 128076.doc -34· 200849557Figure 16 is a schematic cross-sectional view of a semiconductor device according to a specific embodiment of the first embodiment of the present invention; Figure 17 is a diagram showing a semiconductor device according to a ninth embodiment of the present invention. 128076.doc -33 - 200849557 A cross-sectional view; and Figure 18 shows a graph of the etch rate measured in an example of the present invention. [Main component symbol description] / 10 矽 Semiconductor substrate 11 Component isolation insulating film 12 dummy gate insulating film / dummy electrode insulating film 13 dummy gate electrode 14 hard mask layer 15 offset spacer 15a tantalum nitride film 15b yttrium oxide Film 16 extension region 17 sidewall spacer 17a tantalum nitride film (side spacer) 17b oxide S film 18 source - > and pole region 19 refractory metal telluride layer 20 interlayer insulating film 21 gate insulating film 22 gate Electrode 23 refractory metal telluride layer 24 upper insulating film 25 plug 128076.doc -34· 200849557

26 30 31 A CH 上線路 閘極絕緣膜 閘極電極 閘極電極溝渠 開口 絕緣膜 / 128076.doc -35-26 30 31 A CH Upper line Gate insulating film Gate electrode Gate electrode trench Opening Insulation film / 128076.doc -35-

Claims (1)

200849557 十、申請專利範圍: 1. 一種包含一場效電晶體之半導體裝置,其包括: 一半導體基板,其具有一通道形成區域; 一絕緣膜,其於該半導體基板上形成; 一閘極電極溝渠,其於該絕緣膜中形成; 一閘極絕緣膜,其於該閘極電極溝渠之底部形成; -閘極電極,其於該閘極絕緣膜上形成以填充該閘極 電極溝渠; 偏移間隔物,其由氧化石夕或含石朋氮化石夕組成並形成為 泫絶緣膜之一部分以構成該閘極電極溝渠之側壁; 側i間^物,其在背離該閘極電極之側上於該等偏移 間隔物之兩側上形成為該絕緣膜之一部分;以及 源極-汲極區域,其各具有一延伸區域並於該半導體基 板中且於至少該等偏移間隔物與該等側壁間隔物之下形 成。 2·如請求項1之半導體裝置,其中該等偏移間隔物之閘極 電極側端實質上係用於定位該等延伸區域之通道側端。 3·如請求項1之半導體裝置,其中該閑極電極係由選自由 鎢、铪、鈕、鈦、鉑、釕、鎳與翻組成之群組的—金 屬“亥金屬之一合金或該金屬之一化合物組成。 4·種包含一場效電晶體之半導體裝置,其包括: -半導體基板,其具有一通道形成區域; 、、'巴緣膜,其於該半導體基板上形成; 閘極電極溝渠,其於該絕緣膜中形成; 128076.doc 200849557 一閘極絕緣膜 一閘極電極, 電極溝渠; ,其於該閘極電極溝渠之該底部形成; 其於該閘極絕緣臈上形成以填充該閘極 偏移間隔物,其各包括層遂自該閘極電極側之一氮化 :膜:-含魏切膜與—氧化石夕膜,並形成為該絕緣 、之°卩为以構成該閘極電極溝渠之該側壁; 側壁間隔物,其在背離該閘極電極之該側上於該等偏 移間隔物之兩側上形成該絕緣膜之一部分;以及 源極及極區域,其各具有—延伸區域並於該半導體基 中且於至少該等偏移間隔物與該等側壁間隔物之下形 成。 ^ 5. 之該閘 通道側 如請求項4之半導體裝置,#中該等偏移間隔物 極電極側端實質上係用於定位該等延伸區域之該 端。 ^ 6.如明求項4之半導體裝置,其中於該等偏移間隔物之每 一者中,該氮化矽膜或該含硼氮化矽膜係薄於該氧化矽 膜。 7·如μ求項4之半導體裝置,其中該閘極電極係由選自由 鎢給、!巨、鈦、鉬、釕、鎳與鉑組成之群組的—金 屬、合該金屬之一合金或該金屬之一化合物組成。 8·種半導體裝置之製造方法,其包含以下步驟: 於具有一通道形成區域之一半導體基板上形成一虛設 閑極絕緣膜與一虛設閘極電極; 於該虛設閘極電極之兩側上形成由氧化矽或含硼氮化 128076.doc 200849557 石夕組成之偏移間隔物; 使用該等偏移間隔物與該閘極電極作為一遮罩於該半 導體基板中形成延伸區域; 於该等偏移間隔物之兩側上形成側壁間隔物; 使用該等側壁間隔物、該等偏移間隔物與該間極電極 作為遮罩於该半導體基板中形成源極_汲極區域; 形成一絕緣膜以覆蓋該虛設閘極電極; 移除該絕緣膜直到從該絕緣膜之頂部曝露該虛設閘極 電極為止; 示該虛設閘極電極與該虛設閘極絕緣膜以形成一閘 極電極溝渠; 於"亥閘極電極溝渠之該底部形成一閘極絕緣膜; 於该閘極絕緣膜上形成一導電層以填充該閉極電極 渠;以及 從該開極電極溝渠之外側移除該導電層以 電晶體; 其中至少移除該虛設閘極絕緣膜之該步驟包括一餘刻 :纟包括以含氨與氟化氫之-蝕刻氣體來處理該絕 兮笛占 《處理以及分解並蒸發於 9. 10. 该第一處理令形成之產物的一第二處理。 如請求項8之方法,苴中於兮為ww 亥钱刻處理之該第一處理中 形成並於該第二處理中 &里?刀解與療發之該產物係- 錯合物。 -種半導體裝置之製造方法,其包含以下步驟: 128076.doc 200849557 於具有一通道形成區域之一半導 干¥體基板上形成一虛設 閘極絕緣膜與一虛設間極電極; 依序層麼-氮化石夕臈與一氧化石夕膜或一含石朋氛化石夕膜 以於該虛設閘極電極之兩側上形成偏移間隔物; 使用該等偏移間隔物與該閘極電極作為 導體基板中形成延伸區域; …牛 於該等偏移間隔物之兩側上形成側壁間隔物· 使用該等側壁間隔物、該等偏移間隔物與該閑極電極 作為-遮罩於該半導體基板中形成源極_沒極區域; 形成一絕緣膜以覆蓋該虛設閘極電極; 移除該絕緣膜直到從該絕緣膜之該頂部曝露該虛設問 極電極為止; 移除該虛設閘極電極與該虛設閑極絕緣膜以形成一間 極電極溝渠並移除構成該等偏移間隔物之氮化矽膜; 於該鬧極電極溝渠之該底部形成-閘極絕緣臈;、, 於該閉極絕緣臈上形成一導電層以填充該閑極 渠;以及 從該閉極電極溝渠之該外側移除該導電層 效電晶體。 氐苟 n.=求項10之方法,其中至少移除該虛設閘極絕緣膜之 1驟包括一1虫刻處理,其包括以含氨與氟化氫之一蝕 d氣體來處理該絕緣層之該曝露表面之該表面的一第一 ,理Μ及分解並蒸發於該第—處理中形成之該產物的一 弟一處理。 128076.doc 200849557 (NH4)2SiF6錯合物 12. 士 μ求項11之方法,其中於該蝕刻處理之該第一處理中 形成並於該第二處理中分解與蒸發之該產物係一 13·種半導體裝置之製造方法,其包含以下步驟: 於具有一通暹形成區域之一半導體基板上形成一虛設 閘極絕緣膜與一虛設閘極電極; 依序層壓一氮化矽膜或一含硼氮化矽膜與一氧化矽膜 以於4虛设閘極電極之兩側上形成偏移間隔物; 使用該等偏移間隔物與該閘極電極作 導體基板切歧㈣域; 罩於δ亥丰 於忒等偏移間隔物之兩側上形成側壁間隔物; 使用該等側壁間隔物、該等偏移間隔物與該閘極電極 乍為遮罩於该半導體基板中形成源極_汲極區域; 形成一絕緣膜以覆蓋該虛設閘極電極; 移除H緣膜直到從該絕緣膜之該頂部曝露該虛設 極電極為止; ^ 虛"又閘極電極與該虛設閘極絕緣膜以形成一閘 極:極溝渠同時留下構成該等偏移間隔物之該氮化矽膜 或該含硼氮化矽膜之至少一部分; 、 於4閘極電極溝渠之該底部形成一閘極絕緣膜; 於Μ極絕緣膜上形成—導電層以填充制極 渠;以及 巧丨/丹 :°亥閘極電極溝渠之該外側移除該導電層以形成一場 效電晶體。 劳 128076.doc 200849557 14. 如#求項13之方法,其中至少移除該虛設閘極絕緣膜之 名y驟包括一蝕刻處理,其包括以含氨與氟化氫之一蝕 刻氣體來處理该絕緣層之該曝露表面之該表面的一第一 $理以及分解並蒸發於該第一處理中形《之該產物的一 弟--ίψ 〇 15. 如請求項14之方法,其中於該姓刻處理之該第一處理中 形成並於該第二處理中分解與蒸發之該產物係一 (NH4)2SiF6錯合物。 ’、 16. :請求項13之方法’其中形成該等偏移間隔物,使料 氮化矽膜或該含硼氮化矽膜係薄於該氧化矽膜 人 128076.doc200849557 X. Patent Application Range: 1. A semiconductor device comprising a field effect transistor, comprising: a semiconductor substrate having a channel formation region; an insulating film formed on the semiconductor substrate; and a gate electrode trench Forming in the insulating film; a gate insulating film formed at the bottom of the gate electrode trench; - a gate electrode formed on the gate insulating film to fill the gate electrode trench; a spacer consisting of oxidized stone or shi shi shi shi shi and formed as part of a ruthenium insulating film to form a sidewall of the gate electrode trench; a side surface on the side facing away from the gate electrode Forming a portion of the insulating film on both sides of the offset spacers; and source-drain regions each having an extension region and in the semiconductor substrate and at least the offset spacers Formed under the sidewall spacers. 2. The semiconductor device of claim 1, wherein the gate electrode side ends of the offset spacers are substantially used to locate the channel side ends of the extension regions. 3. The semiconductor device of claim 1, wherein the idle electrode is a metal selected from the group consisting of tungsten, tantalum, niobium, titanium, platinum, rhodium, nickel, and iridium. One compound composition. 4. A semiconductor device comprising a field effect transistor, comprising: - a semiconductor substrate having a channel formation region; and a 'bar film formed on the semiconductor substrate; a gate electrode trench Formed in the insulating film; 128076.doc 200849557 a gate insulating film-gate electrode, an electrode trench; formed at the bottom of the gate electrode trench; formed on the gate insulating pad to fill The gate offset spacers each comprise a layer of germanium nitrided from the gate electrode side: a film: a germane film and a oxidized stone film, and formed into the insulating layer a sidewall of the gate electrode trench; a sidewall spacer forming a portion of the insulating film on both sides of the offset spacer on a side facing away from the gate electrode; and a source and a pole region Each with an extension And in the semiconductor base and formed under at least the offset spacers and the sidewall spacers. ^ 5. The gate channel side is the semiconductor device of claim 4, the offset spacers in # The electrode side end is substantially for locating the end of the extended region. The semiconductor device of claim 4, wherein the tantalum nitride film or the each of the offset spacers The bismuth-containing lanthanum nitride film is thinner than the yttrium oxide film. The semiconductor device of claim 4, wherein the gate electrode is composed of a material selected from the group consisting of tungsten, tungsten, molybdenum, niobium, nickel, and platinum. a group consisting of a metal, an alloy of the metal, or a compound of the metal. 8. A method of fabricating a semiconductor device, comprising the steps of: forming a dummy on a semiconductor substrate having a channel formation region a pole insulating film and a dummy gate electrode; forming offset spacers composed of yttrium oxide or boron-containing nitridation 128076.doc 200849557 on both sides of the dummy gate electrode; using the offset spacers The gate electrode serves as a mask Forming an extension region in the semiconductor substrate; forming sidewall spacers on both sides of the offset spacers; using the sidewall spacers, the offset spacers, and the interpole electrodes as masks in the semiconductor substrate Forming a source-drain region; forming an insulating film to cover the dummy gate electrode; removing the insulating film until the dummy gate electrode is exposed from the top of the insulating film; the dummy gate electrode and the dummy gate are shown a gate insulating film to form a gate electrode trench; a gate insulating film is formed on the bottom of the gate electrode trench; a conductive layer is formed on the gate insulating film to fill the closed electrode channel; The conductive layer is removed from the outer side of the open electrode trench to form a transistor; wherein the step of removing at least the dummy gate insulating film includes a moment: the germanium includes an etching gas containing ammonia and hydrogen fluoride to treat the anode The second process of processing and decomposing and evaporating at 9. 10. The product formed by the first treatment. The method of claim 8 is formed in the first process of the ww haiqin processing and is in the second process & The product of knife dissection and healing is a complex. A method for fabricating a semiconductor device, comprising the steps of: 128076.doc 200849557 forming a dummy gate insulating film and a dummy interlayer electrode on a semiconductor substrate having a channel formation region; a nitride spacer and a nichrome oxide film or a stone-containing stone fossil film to form offset spacers on both sides of the dummy gate electrode; using the offset spacer and the gate electrode as a conductor Forming an extension region in the substrate; forming a sidewall spacer on both sides of the offset spacers, using the sidewall spacers, the offset spacers, and the dummy electrode as a mask on the semiconductor substrate Forming a source _ 没 region; forming an insulating film to cover the dummy gate electrode; removing the insulating film until the dummy electrode is exposed from the top of the insulating film; removing the dummy gate electrode The dummy pad insulating film forms a pole electrode trench and removes a tantalum nitride film constituting the offset spacers; and a gate insulating barrier is formed at the bottom of the drain electrode trench; Forming a conductive layer on the closed-electrode insulating layer to fill the dummy trench; and removing the conductive layer-effect transistor from the outside of the closed-electrode trench.氐苟n.= The method of claim 10, wherein the removing at least the dummy gate insulating film comprises a 1st etching process, comprising: treating the insulating layer with a gas containing ammonia and hydrogen fluoride A first surface of the surface of the exposed surface is treated and decomposed and evaporated to a treatment of the product formed in the first treatment. 128076.doc 200849557 (NH4)2SiF6 complex. The method of claim 11, wherein the product formed in the first treatment of the etching treatment and decomposed and evaporated in the second treatment is 13· A manufacturing method of a semiconductor device, comprising the steps of: forming a dummy gate insulating film and a dummy gate electrode on a semiconductor substrate having a Si-Si region; sequentially laminating a tantalum nitride film or a boron-containing layer a tantalum nitride film and a hafnium oxide film form offset spacers on both sides of the dummy gate electrode; using the offset spacers and the gate electrode as a conductor substrate (4) domain; Forming a sidewall spacer on both sides of the offset spacer such as 亥; using the sidewall spacers, the offset spacers, and the gate electrode 遮 to form a source in the semiconductor substrate a drain region is formed to cover the dummy gate electrode; the H edge film is removed until the dummy electrode is exposed from the top of the insulating film; ^ virtual " gate electrode and the dummy gate insulating film To form a gate The pole trench simultaneously leaves at least a portion of the tantalum nitride film or the boron-containing tantalum nitride film constituting the offset spacers; and a gate insulating film is formed at the bottom of the 4 gate electrode trench; A conductive layer is formed on the insulating film to fill the electrode channel; and the outer side of the gate electrode is removed to form a field effect transistor. 14. The method of claim 13, wherein the method of removing at least the dummy gate insulating film comprises an etching process comprising treating the insulating layer with an etching gas containing ammonia and hydrogen fluoride. a first physics of the surface of the exposed surface and a decomposition and evaporation of the first process of the first process - a method of the product - ψ 〇 15. The method of claim 14, wherein the last name is processed The product formed in the first treatment and decomposed and evaporated in the second treatment is a (NH4)2SiF6 complex. ', 16. The method of claim 13 wherein the offset spacers are formed such that the tantalum nitride film or the boron-containing tantalum nitride film is thinner than the hafnium oxide film.
TW097112894A 2007-04-18 2008-04-09 Semiconductor device and method of manufacturing same TWI446522B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007108953 2007-04-18
JP2008060164A JP5282419B2 (en) 2007-04-18 2008-03-10 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW200849557A true TW200849557A (en) 2008-12-16
TWI446522B TWI446522B (en) 2014-07-21

Family

ID=40147954

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097112894A TWI446522B (en) 2007-04-18 2008-04-09 Semiconductor device and method of manufacturing same

Country Status (4)

Country Link
JP (1) JP5282419B2 (en)
KR (1) KR101457006B1 (en)
CN (1) CN101335300B (en)
TW (1) TWI446522B (en)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048733B2 (en) * 2009-10-09 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a gate structure
KR102433801B1 (en) * 2009-11-13 2022-08-18 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Display device and electronic device including the same
CN102479694B (en) * 2010-11-30 2013-09-04 中芯国际集成电路制造(北京)有限公司 Formation method of metal gate and MOS transistor
CN102479722B (en) * 2010-11-30 2014-03-12 中芯国际集成电路制造(北京)有限公司 Method for manufacturing transistor
CN102487085B (en) * 2010-12-01 2014-04-23 中国科学院微电子研究所 Semiconductor device and method for manufacturing the same
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102738225A (en) * 2011-04-06 2012-10-17 联华电子股份有限公司 Semiconductor element and manufacturing method thereof
US8334198B2 (en) * 2011-04-12 2012-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a plurality of gate structures
CN102779751B (en) * 2011-05-11 2015-09-09 中国科学院微电子研究所 Method for manufacturing semiconductor device
CN102956544B (en) * 2011-08-25 2015-06-17 中芯国际集成电路制造(上海)有限公司 Metal interconnection line manufacturing method
US20130260564A1 (en) * 2011-09-26 2013-10-03 Applied Materials, Inc. Insensitive dry removal process for semiconductor integration
US9059263B2 (en) 2011-11-09 2015-06-16 QUALCOMM Incorpated Low-K dielectric protection spacer for patterning through substrate vias through a low-K wiring layer
CN103545209A (en) * 2012-07-13 2014-01-29 中芯国际集成电路制造(上海)有限公司 Method of forming high-k metal gate device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
KR102106885B1 (en) * 2013-03-15 2020-05-06 삼성전자 주식회사 Precursors for deposition of silicon dioxide film, and method for fabricating semiconductor device using the same
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9461144B2 (en) * 2014-06-13 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6594261B2 (en) * 2016-05-24 2019-10-23 ルネサスエレクトロニクス株式会社 Semiconductor device
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110491876B (en) * 2019-08-23 2024-04-05 福建省晋华集成电路有限公司 Method for manufacturing semiconductor memory element and semiconductor memory element
CN114093766B (en) * 2020-08-24 2024-09-13 联华电子股份有限公司 Semiconductor device and method for manufacturing the same
KR20220151819A (en) * 2021-05-07 2022-11-15 에스케이하이닉스 주식회사 Semiconductor device and method for fabricating the same
CN116779611B (en) * 2023-08-17 2023-11-28 合肥晶合集成电路股份有限公司 Semiconductor structure and preparation method thereof

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3530026B2 (en) * 1997-06-30 2004-05-24 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2000091562A (en) * 1998-09-16 2000-03-31 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
TW569319B (en) * 2002-06-06 2004-01-01 Winbond Electronics Corp Gate structure and method of manufacture
JP2004014875A (en) * 2002-06-07 2004-01-15 Fujitsu Ltd Semiconductor device and manufacturing method thereof
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7176041B2 (en) * 2003-07-01 2007-02-13 Samsung Electronics Co., Ltd. PAA-based etchant, methods of using same, and resultant structures
JP4546201B2 (en) * 2004-03-17 2010-09-15 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP4118255B2 (en) * 2004-06-18 2008-07-16 株式会社ルネサステクノロジ Manufacturing method of MOS transistor
JP2006295071A (en) * 2005-04-14 2006-10-26 Toshiba Corp Semiconductor device manufacturing method

Also Published As

Publication number Publication date
CN101335300A (en) 2008-12-31
KR20080093911A (en) 2008-10-22
CN101335300B (en) 2010-07-21
JP2008288560A (en) 2008-11-27
TWI446522B (en) 2014-07-21
KR101457006B1 (en) 2014-10-31
JP5282419B2 (en) 2013-09-04

Similar Documents

Publication Publication Date Title
TW200849557A (en) Semiconductor device and method for manufacturing the same
US8350335B2 (en) Semiconductor device including off-set spacers formed as a portion of the sidewall
JP3851752B2 (en) Manufacturing method of semiconductor device
TWI497647B (en) CMOS integration scheme using a telluride electrode and a telluride-telluride alloy electrode
TWI298202B (en) Silicide gate transistors and method of manufacture
US8853788B2 (en) Replacement gate electrode with planar work function material layers
JP4770885B2 (en) Semiconductor device
US8889505B2 (en) Method for manufacturing semiconductor device
KR101521948B1 (en) Semiconductor device and manufacturing method thereof
TW200842988A (en) Semiconductor device and method for manufacturing semiconductor device
WO2009113241A1 (en) Semiconductor device and method for manufacturing the same
US9397175B2 (en) Multi-composition gate dielectric field effect transistors
TW201001626A (en) Semiconductor device and manufacturing method of the same
TW201208001A (en) Manufacturing method of semiconductor device and semiconductor device
TW200805500A (en) Method for forming silicon oxide film and for manufacturing capacitor and semiconductor device
JP2011166163A (en) Method of manufacturing semiconductor device
TW200945583A (en) Semiconductor device and method of manufacturing the same
TW201208041A (en) Semiconductor device and manufacturing method thereof
JP5090173B2 (en) Method of manufacturing a semiconductor device having a high dielectric constant gate dielectric layer and a silicide gate electrode
JP5275056B2 (en) Semiconductor device manufacturing method and semiconductor device
TW200924076A (en) Method of manufacturing semiconductor device
TW200949938A (en) Method of manufacturing semiconductor device
TW201036071A (en) Metal gate transistor with barrier layer
TW200908156A (en) Method of manufacturing semiconductor device
JP2012186349A (en) Semiconductor device and method of manufacturing the same