KR102757160B1 - 전면 개구 링 포드 - Google Patents
전면 개구 링 포드 Download PDFInfo
- Publication number
- KR102757160B1 KR102757160B1 KR1020230110091A KR20230110091A KR102757160B1 KR 102757160 B1 KR102757160 B1 KR 102757160B1 KR 1020230110091 A KR1020230110091 A KR 1020230110091A KR 20230110091 A KR20230110091 A KR 20230110091A KR 102757160 B1 KR102757160 B1 KR 102757160B1
- Authority
- KR
- South Korea
- Prior art keywords
- support
- consumable
- container
- forp
- columns
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 claims abstract description 366
- 230000008569 process Effects 0.000 claims abstract description 366
- 230000007246 mechanism Effects 0.000 claims description 165
- 238000012546 transfer Methods 0.000 claims description 73
- 238000012545 processing Methods 0.000 claims description 41
- 239000000758 substrate Substances 0.000 claims description 39
- 239000007789 gas Substances 0.000 claims description 11
- 238000004519 manufacturing process Methods 0.000 claims description 7
- 238000013022 venting Methods 0.000 claims description 2
- 230000003028 elevating effect Effects 0.000 claims 2
- 230000013011 mating Effects 0.000 claims 1
- 230000014759 maintenance of location Effects 0.000 abstract description 3
- 235000012431 wafers Nutrition 0.000 description 264
- 239000012636 effector Substances 0.000 description 132
- 239000004065 semiconductor Substances 0.000 description 90
- 238000002955 isolation Methods 0.000 description 54
- 230000032258 transport Effects 0.000 description 50
- 239000000463 material Substances 0.000 description 42
- 239000000872 buffer Substances 0.000 description 35
- 238000000429 assembly Methods 0.000 description 30
- 230000000712 assembly Effects 0.000 description 30
- 238000013461 design Methods 0.000 description 28
- 229910052751 metal Inorganic materials 0.000 description 16
- 239000002184 metal Substances 0.000 description 16
- 125000006850 spacer group Chemical group 0.000 description 16
- 229910052782 aluminium Inorganic materials 0.000 description 15
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 15
- 238000011109 contamination Methods 0.000 description 11
- 238000005530 etching Methods 0.000 description 11
- 230000001276 controlling effect Effects 0.000 description 10
- 238000005260 corrosion Methods 0.000 description 10
- 230000007797 corrosion Effects 0.000 description 10
- 238000010168 coupling process Methods 0.000 description 10
- 238000000151 deposition Methods 0.000 description 10
- 230000008021 deposition Effects 0.000 description 10
- 239000004696 Poly ether ether ketone Substances 0.000 description 9
- 229910052799 carbon Inorganic materials 0.000 description 9
- 230000008859 change Effects 0.000 description 9
- 230000003750 conditioning effect Effects 0.000 description 9
- 230000008878 coupling Effects 0.000 description 9
- 238000005859 coupling reaction Methods 0.000 description 9
- 238000010586 diagram Methods 0.000 description 9
- 229920002530 polyetherether ketone Polymers 0.000 description 9
- 239000000126 substance Substances 0.000 description 8
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 7
- 150000002500 ions Chemical class 0.000 description 7
- 230000002829 reductive effect Effects 0.000 description 7
- 239000000969 carrier Substances 0.000 description 6
- 238000013507 mapping Methods 0.000 description 6
- 238000000926 separation method Methods 0.000 description 6
- 229910010293 ceramic material Inorganic materials 0.000 description 5
- 230000000694 effects Effects 0.000 description 5
- 238000009434 installation Methods 0.000 description 5
- 239000002243 precursor Substances 0.000 description 5
- 238000011112 process operation Methods 0.000 description 5
- 229920000049 Carbon (fiber) Polymers 0.000 description 4
- 239000004593 Epoxy Substances 0.000 description 4
- 239000004809 Teflon Substances 0.000 description 4
- 229920006362 Teflon® Polymers 0.000 description 4
- 239000004917 carbon fiber Substances 0.000 description 4
- 239000000919 ceramic Substances 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 239000000356 contaminant Substances 0.000 description 4
- 230000007717 exclusion Effects 0.000 description 4
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 4
- 238000010926 purge Methods 0.000 description 4
- 230000004044 response Effects 0.000 description 4
- 210000000707 wrist Anatomy 0.000 description 4
- 230000002411 adverse Effects 0.000 description 3
- 238000004590 computer program Methods 0.000 description 3
- 230000001143 conditioned effect Effects 0.000 description 3
- 238000012864 cross contamination Methods 0.000 description 3
- 239000013536 elastomeric material Substances 0.000 description 3
- 238000010849 ion bombardment Methods 0.000 description 3
- 239000003562 lightweight material Substances 0.000 description 3
- 238000011068 loading method Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical group C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 3
- 229910001220 stainless steel Inorganic materials 0.000 description 3
- 239000010935 stainless steel Substances 0.000 description 3
- 238000012360 testing method Methods 0.000 description 3
- 230000000007 visual effect Effects 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 230000001934 delay Effects 0.000 description 2
- 238000001514 detection method Methods 0.000 description 2
- 238000010981 drying operation Methods 0.000 description 2
- 230000003116 impacting effect Effects 0.000 description 2
- 230000002401 inhibitory effect Effects 0.000 description 2
- 230000002452 interceptive effect Effects 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- 208000029278 non-syndromic brachydactyly of fingers Diseases 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 238000011084 recovery Methods 0.000 description 2
- 230000002441 reversible effect Effects 0.000 description 2
- 229910000831 Steel Inorganic materials 0.000 description 1
- 230000004308 accommodation Effects 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 239000003570 air Substances 0.000 description 1
- 239000012080 ambient air Substances 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000004422 calculation algorithm Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 238000005336 cracking Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 230000005484 gravity Effects 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000005007 materials handling Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000003032 molecular docking Methods 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 239000004417 polycarbonate Substances 0.000 description 1
- 229920000515 polycarbonate Polymers 0.000 description 1
- 238000012797 qualification Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- 239000010959 steel Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 231100000331 toxic Toxicity 0.000 description 1
- 230000002588 toxic effect Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67703—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
- H01L21/6773—Conveying cassettes, containers or carriers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/67303—Vertical boat type carrier whereby the substrates are horizontally supported, e.g. comprising rod-shaped elements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/6732—Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/6735—Closed carriers
- H01L21/67369—Closed carriers characterised by shock absorbing elements, e.g. retainers or cushions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/6735—Closed carriers
- H01L21/67379—Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/6735—Closed carriers
- H01L21/67383—Closed carriers characterised by substrate supports
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/6735—Closed carriers
- H01L21/67386—Closed carriers characterised by the construction of the closed carrier
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/6735—Closed carriers
- H01L21/67389—Closed carriers characterised by atmosphere control
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67742—Mechanical parts of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67763—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67763—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
- H01L21/67778—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
- H01L21/67781—Batch transfer of wafers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68707—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68721—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Robotics (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Drying Of Semiconductors (AREA)
- Packaging Frangible Articles (AREA)
Abstract
Description
도 1은 본 발명의 일 실시예에서, 반도체 웨이퍼의 프로세싱에 사용된 프로세스 모듈에 장착된 교체 스테이션을 포함한 클러스터 툴 어셈블리의 간략화된 블록도를 예시한다.
도 2는 본 발명의 대안적인 실시예에서, 클러스터 툴의 진공 이송 모듈에 장착된 교체 스테이션을 포함한 클러스터 툴 어셈블리의 간략화된 블록도를 예시한다.
도 3은 본 발명의 대안적인 실시예에서, 클러스터 툴의 대기 이송 모듈에 장착된 교체 스테이션을 포함한 클러스터 툴 어셈블리의 간략화된 블록도를 예시한다.
도 3a는 본 발명의 일 실시예에서, 클러스터 툴 내에서 소모성 부품을 이동시키도록 사용된 엔드 이펙터들을 갖는 로봇들을 포함하는 클러스터 툴 어셈블리의 간략화된 블록도를 예시한다.
도 4는 본 발명의 일 실시예에서, 소모성 부품으로의 액세스를 제공하도록 사용된 예시적인 리프트 메커니즘을 포함한 클러스터 툴 어셈블리의 프로세스 모듈의 일부분의 간략화된 블록도를 예시한다.
도 5a는 본 발명의 일 실시예에서, 소모성 부품을 이동시키기 위해 장착된 교체 스테이션을 갖는 프로세스 모듈의 간략화된 블록도를 예시하고, 여기서 리프트 메커니즘은 디스인게이지된 (disengaged) 모드에 있다.
도 5b는 본 발명의 일 실시예에서, 장착된 교체 스테이션을 갖는 프로세스 모듈의 간략화된 블록도를 예시하고, 여기서 리프트 메커니즘은 인게이지된 모드에 있다.
도 5c는 본 발명의 일 실시예에서, 프로세스 모듈 내에 소모성 부품을 교체하는데 사용된 프로세스 모듈 내의 리프트 메커니즘 및 진공 이송 모듈에 장착된 교체 스테이션을 갖는 프로세스 모듈의 간략화된 블록도를 예시한다.
도 6a는 본 발명의 일부 실시예들에서, 기판을 수용하도록 구성되는 ATM 내에서 사용된 엔드 이펙터 메커니즘을 예시하고, 그리고 도 6b, 도 6ba 및 도 6c는 대기 이송 모듈 및/또는 진공 이송 모듈 내에 배치된 엔드 이펙터 메커니즘의 부감도 및 측면도를 예시한다.
도 7a 내지 도 7d는 본 발명의 일부 실시예들에 따른, 엔드 이펙터 메커니즘을 사용하여 수용되는 소모성 부품의 가변하는 하단 표면 프로파일을 예시한다.
도 7e는 본 발명들의 일부 실시예들에 따른, VTM의 로봇 상의 예시적인 엔드 이펙터 메커니즘을 예시한다.
도 7fa, 도 7fb는 본 발명의 일부 실시예들에 따른, 상이한 하단 프로파일들을 가진 소모성 부품들을 지지하기 위한 VTM 및/또는 ATM의 로봇 상의 엔드 이펙터 메커니즘에서 사용되는 대안적인 콘택트 패드 개념을 예시한다.
도 8a 및 도 8b는 본 발명의 일부 실시예들에 따른, 기판 및 소모성 부품을 로드록 챔버 내로 전달하도록 사용된 예시적인 엔드 이펙터 메커니즘들을 예시한다.
도 9a 및 도 9b는 본 발명의 일부 실시예들에 따른, 소모성 부품을 로드록 챔버로 전달하도록 사용되는 링 캐리어를 가진 엔드 이펙터 메커니즘의 예시적인 측면도 및 평면도를 예시한다.
도 10a 내지 도 10d는 본 발명의 일부 실시예들에 따른, 소모성 부품을 수용하도록 구성되는 로드록 챔버 내에서 사용된 예시적인 핑거 어셈블리를 예시한다.
도 10e 및 도 10f는 본 발명의 일부 실시예들에 따른, 소모성 부품을 수용하도록 핑거 어셈블리들을 포함하는 재설계된 에어록 챔버 및 기존의 에어록 챔버의 도면을 예시한다.
도 11은 본 발명의 일 실시예에 따른, 소모성 부품 및 링 캐리어를 저장하기 위해 사용된 예시적인 교체 스테이션을 예시한다.
도 12는 본 발명의 일 실시예에서, 소모성 부품 또는 웨이퍼가 프로세스 모듈 내외로 이동되는 프로세스 모듈 내의 슬롯의 예시적인 도면을 예시한다.
도 13은 일 실시예에 따른, 클러스터 툴의 다양한 양태들을 제어하기 위한 제어 모듈 (즉, 제어기) 을 예시한다.
도 14는 일 실시예에 따른, 로드 포트에 수용된 소모성 부품들을 이송하기 위한 포드를 갖는 로드 포트 모듈의 도면을 예시한다.
도 15a 및 도 15b는 본 발명의 상이한 실시예들에 따른, 예시적인 교체 스테이션의 상이한 도면들을 예시한다.
도 16은 본 발명의 실시예에 따른, 소모성 부품들 및 링 캐리어(들)을 저장하기 위해 사용된 예시적인 교체 스테이션의 상이한 부품들의 도면을 예시한다.
도 17a는 본 발명의 일 실시예에서, 예시적인 교체 스테이션의 지지부 컬럼들 및 강제 정지 컬럼들의 도면을 예시한다.
도 17b는 본 발명의 일부 실시예에서, 지지 핑거들의 상이한 부품들을 식별하는, 도 17a에 예시된 지지부 컬럼의 일부의 확대도를 예시한다.
도 17c는 본 발명의 대안적인 실시예에서, 교체 스테이션 내에 제공된 지지부 컬럼의 대안적인 설계를 예시한다.
도 17d는 본 발명의 일 실시예에서, 도 17c에 예시된 지지부 컬럼의 일부의 확대도를 예시한다.
도 17e, 도 17f, 및 도 17g는 본 발명의 일부 실시예들에서, 교체 스테이션의 도어 상에 제공된 파지 어셈블리의 상세한 도면을 예시한다.
도 17h, 도 17i, 및 도 17j는 본 발명의 일부 실시예들에서, 상부에 지지 패드가 형성된 지지 핑거의 상이한 단면도를 제공한다.
도 18은 본 발명의 일부 실시예들에서, 소모성 부품을 수용하기 위해 사용된 교체 스테이션의 조감도 (overhead view) 를 예시한다.
도 19a 및 도 19b는 본 발명의 일부 실시예들에 따른, 교체 스테이션 내에 링 캐리어를 수용하고 저장하기 위한 예시적인 캐리어 지지부의 상세들을 예시한다.
도 20은 본 발명의 실시예에 따른, 로드 포트 모듈의 로드 포트를 갖는 인터페이스에 사용된 다양한 부품드을 식별하는 교체 스테이션의 하면도를 예시한다.
도 21a 및 도 21b는 본 발명의 일부 실시예들에 따른, 교체 스테이션 식별자를 제공하기 위한 장착 플레이트를 식별하는 교체 스테이션의 후면 측 도면들을 예시한다.
Claims (32)
- 제 1 측방향 측면, 제 2 측방향 측면, 전면 측 및 후면 측을 갖는 베이스 플레이트로서, 상기 제 1 측방향 측면, 상기 제 2 측방향 측면은 서로 평행하고 상기 전면 측과 상기 후면 측 사이에서 연장하는, 상기 베이스 플레이트;
상기 베이스 플레이트 위에 배치된 지지 구조체로서, 상기 지지 구조체는 상기 베이스 플레이트의 상기 제 1 측방향 측면 및 상기 제 2 측방향 측면 위에 배치된 복수의 지지 컬럼들을 포함하고, 상기 복수의 지지 컬럼들 각각은 복수의 지지 핑거들이 FORP (front opening ring pod) 의 내측 영역을 향해 연장하도록 길이 방향으로 분포된 상기 복수의 지지 핑거들을 포함하는, 상기 지지 구조체;
상기 베이스 플레이트에 반대로 배향되는 상단 플레이트로서, 상기 복수의 지지 컬럼들 각각이 상기 상단 플레이트에 부착되는, 상기 상단 플레이트;
측벽들 및 상단 표면을 갖는 쉘 인클로저로서, 상기 쉘 인클로저는, 상기 쉘 인클로저의 상기 상단 표면이 상기 상단 플레이트 위에 배치되고 상기 측벽들이 상기 지지 구조체를 둘러싸도록 상기 베이스 플레이트 위에 배치되고, 상기 쉘 인클로저의 전면 및 상기 베이스 플레이트의 상기 전면 측은 도어와 매이팅하도록 (mate) 도어 프레임의 일부를 규정하는, 상기 쉘 인클로저; 및
복수의 슬롯들 각각이 상기 지지 구조체의 상기 복수의 지지 핑거들 중 대응하는 지지 핑거들과 실질적으로 정렬되도록, 상기 도어의 내부 측벽의 길이를 따라 분포된 상기 복수의 슬롯들을 갖는 상기 도어를 포함하고,
상기 지지 구조체의 상기 복수의 지지 핑거들은 상기 FORP 내부에 존재할 때 하나 이상의 소모성 부품들을 지지하도록 구성되고 상기 도어의 내부 측벽 상의 상기 복수의 슬롯들은 상기 도어가 인게이지될 (engage) 때 존재하는 소모성 부품들을 제자리에 고정하도록 구성되고, 그리고 상기 지지 구조체는 상기 베이스 플레이트의 상기 후면 측 상에 위치되고 상기 쉘 인클로저의 후면 측벽을 따라 배향된 한 쌍의 정지 컬럼들을 더 포함하고, 상기 한 쌍의 정지 컬럼들 각각은 상기 복수의 지지 컬럼들에 평행하게 배향되는, FORP. - 제 1 항에 있어서,
상기 베이스 플레이트는 외측 주변부를 따라 규정된 립을 포함하고, 상기 쉘 인클로저는 상기 베이스 플레이트의 상기 립에 커플링되고, 상기 쉘 인클로저는 하단을 갖지 않는, FORP. - 제 1 항에 있어서,
상기 지지 구조체의 상기 복수의 지지 컬럼들은,
상기 쉘 인클로저의 상기 제 1 측방향 측벽을 따라 배향되고 상기 베이스 플레이트의 상기 전면 측에 근접하게 배치된 제 1 지지 컬럼;
상기 쉘 인클로저의 상기 제 1 측방향 측벽을 따라 배향되고 상기 베이스 플레이트의 상기 후면 측에 근접하게 배치된 제 2 지지 컬럼;
상기 쉘 인클로저의 상기 제 2 측방향 측벽을 따라 배향되고 상기 베이스 플레이트의 상기 전면에 근접하게 배치된 제 3 지지 컬럼; 및
상기 쉘 인클로저의 상기 제 2 측방향 측벽을 따라 배향되고 상기 베이스 플레이트의 상기 후면 측에 근접하게 배치된 제 4 지지 컬럼을 포함하는, FORP. - 제 3 항에 있어서,
상기 정지 컬럼들의 쌍은,
상기 제 1 측방향 측벽에 근접하게 배치되고 상기 쉘 인클로저의 상기 내측 영역을 향해 배향된 제 1 정지 컬럼; 및
상기 제 2 측방향 측벽에 근접하게 배치되고 상기 쉘 인클로저의 상기 내측 영역을 향해 배향된 제 2 정지 컬럼을 포함하고,
상기 제 1 정지 컬럼 및 상기 제 2 정지 컬럼은 상기 지지 구조체에 평행하게 규정되고, 그리고 상기 한 쌍의 정지 컬럼들 각각은 상기 상단 플레이트에 커플링되고, 상기 상단 플레이트는 상기 쉘 인클로저의 상기 상단 표면의 하측에 부착되는, FORP. - 제 4 항에 있어서,
상기 제 1 정지 컬럼 및 상기 제 2 정지 컬럼 각각은 상기 복수의 지지 컬럼들로부터 이격되는, FORP. - 제 4 항에 있어서,
상기 제 1 정지 컬럼은 상기 지지 구조체의 상기 제 2 지지 컬럼에 부착되고 그리고 상기 제 2 정지 컬럼은 상기 지지 구조체의 상기 제 4 지지 컬럼에 부착되는, FORP. - 제 1 항에 있어서,
상기 복수의 지지 핑거들 각각은 상단 표면 상에 규정된 지지 패드를 포함하고, 상기 지지 패드는 상기 복수의 지지 핑거들 중 각각의 지지 핑거 상에 수용될 때, 소모성 부품을 위한 별개의 콘택트 표면을 제공하는, FORP. - 제 1 항에 있어서,
상기 복수의 지지 핑거들 각각은 상단 표면 상에 규정된 홈을 포함하고, 지지 패드는 상기 홈 내에 형성되고 상기 상단 표면 위로 연장하는, FORP. - 제 1 항에 있어서,
상기 베이스 플레이트는 상기 FORP로부터 가스들을 위한 경로를 제공하기 위한 벤팅 어셈블리를 포함하는, FORP. - 전면 측, 후면 측 및 상기 전면 측과 상기 후면 측 사이에서 연장하는 한 쌍의 측방향 측면들, 및 베이스 플레이트의 외측 주변부를 따라 규정된 립을 갖는 상기 베이스 플레이트;
상기 베이스 플레이트 위에 수용된 지지 구조체로서, 상기 지지 구조체는 상기 베이스 플레이트의 상기 한 쌍의 측방향 측면들 위에 배치된 복수의 지지 컬럼들을 포함하고, 상기 복수의 지지 컬럼들 각각은 복수의 지지 핑거들이 FORP (front opening ring pod) 의 내측 영역을 향해 연장하도록 길이 방향으로 분포된 상기 복수의 지지 핑거들을 포함하는, 상기 지지 구조체;
상기 베이스 플레이트에 반대로 배향되는 상단 플레이트로서, 상기 지지 구조체의 상기 복수의 지지 컬럼들 각각이 상기 상단 플레이트에 부착되는, 상기 상단 플레이트;
측벽들 및 상단 표면을 갖는 쉘 인클로저로서, 상기 쉘 인클로저의 상기 상단 표면은 상기 상단 플레이트를 커버하도록 배치되고 상기 쉘 인클로저의 상기 측벽들이 상기 지지 구조체를 둘러싸고, 상기 쉘 인클로저의 전면 및 상기 베이스 플레이트의 상기 전면 측은 도어와 매이팅하도록 (mate) 도어 프레임의 일부를 규정하고, 상기 쉘 인클로저는 상기 베이스 플레이트의 상기 립에 커플링되는, 상기 쉘 인클로저;
상기 복수의 슬롯들 각각이 상기 지지 구조체의 상기 복수의 지지 핑거들 중 대응하는 지지 핑거들과 실질적으로 정렬되도록, 상기 도어의 내부 측벽을 따라 수직 배향으로 분포된 복수의 슬롯들을 갖는, 상기 도어; 및
상기 베이스 플레이트의 상기 후면 측 상에 위치되고 상기 쉘 인클로저의 후면 측벽을 따라 배향되는 한 쌍의 정지 컬럼들을 포함하고,
상기 지지 구조체의 상기 복수의 지지 핑거들은 상기 FORP 내부에 존재할 때 하나 이상의 소모성 부품들을 지지하도록 구성되고 상기 도어의 상기 내부 측벽 상의 상기 복수의 슬롯들은 상기 도어가 인게이지될 때 상기 FORP 내부에 존재하는 상기 하나 이상의 소모성 부품들을 제자리에 고정하도록 구성되는, FORP. - 제 10 항에 있어서,
상기 한 쌍의 정지 컬럼들 각각은 상기 상단 플레이트에 커플링되고, 상기 상단 플레이트는 상기 쉘 인클로저의 상기 상단 표면의 하측에 부착되고, 상기 쉘 인클로저는 베이스를 갖지 않는, FORP. - 제 10 항에 있어서,
상기 한 쌍의 정지 컬럼들 각각은 상기 복수의 지지 컬럼들로부터 분리되고 이격되는, FORP. - 제 10 항에 있어서,
상기 한 쌍의 정지 컬럼들은 상기 쉘 인클로저의 상기 후면 측벽에 근접하게 상기 한 쌍의 측방향 측면들을 따라 배치되는 상기 복수의 지지 컬럼들 중 대응하는 지지 컬럼들에 부착되는, FORP. - 프로세스 모듈과 교환될 소모성 부품들을 홀딩하기 위한 컨테이너에 있어서,
컨테이너가 베이스 및 쉘을 갖고, 상기 쉘의 내부 측방향 측벽들을 따라 상기 베이스 위에 배치된 복수의 지지 컬럼들로서, 상기 복수의 지지 컬럼들 각각은 소모성 부품들을 지지하기 위한 선반들을 규정하도록 수직으로 배치되고 (arrange) 그리고 상기 컨테이너의 중심을 향해 내측으로 연장하는 지지 핑거들을 갖는, 상기 복수의 지지 컬럼들; 및
상기 베이스의 상단 표면 상에 규정된 캐리어 지지 구조체로서, 상기 캐리어 지지 구조체는 상기 베이스의 상기 상단 표면 상에 분포된 복수의 지지 부재들을 포함하고, 상기 복수의 지지 부재들 각각은 하단 부분 및 상단 부분을 포함하고, 상기 하단 부분의 상단 표면은 캐리어 플레이트가 상기 컨테이너 내에 수용될 때, 상기 캐리어 플레이트를 지지하기 위한 지지 표면을 제공하고, 그리고 상기 상단 부분은 상기 하단 부분의 상기 상단 표면 위에 수용될 때, 상기 캐리어 플레이트를 제자리에 홀딩하도록 구성된 오버행을 포함하는, 상기 캐리어 지지 구조체를 포함하고,
상기 복수의 지지 부재들은 상기 복수의 지지 컬럼들 상의 상기 지지 핑거들 중 하부 지지 핑거 아래에 배치되는, 컨테이너. - 제 14 항에 있어서,
상기 복수의 지지 부재들은 제 1 쌍의 지지 부재들이 상기 베이스의 후면 측에 평행하게 선형으로 배치되고 제 1 거리만큼 이격되도록, 상기 후면 측에 근접하게 배치된 상기 제 1 쌍의 지지 부재들, 및
제 2 쌍의 지지 부재들이 상기 베이스의 전면 측에 평행하게 선형으로 배치되고 제 2 거리만큼 이격되도록, 상기 전면 측에 근접하게 배치된 상기 제 2 쌍의 지지 부재들을 포함하는, 컨테이너. - 제 15 항에 있어서,
상기 제 1 거리는 삼각형 형상의 캐리어 플레이트를 지지하도록, 상기 제 2 거리보다 더 짧은, 컨테이너. - 제 14 항에 있어서,
상기 컨테이너의 전면 측은 도어와 매이팅하기 위한 도어 프레임을 포함하고, 상기 도어는 상기 도어의 내측 벽을 따라 수직으로 배향된 복수의 슬롯들을 갖는 유지 어셈블리를 포함하고,
상기 복수의 슬롯들의 하단 슬롯은 상기 캐리어 플레이트를 지지하기 위해 사용된 상기 복수의 지지 부재들과 정렬되는 한편, 상기 복수의 슬롯들 중 나머지 슬롯들은 상기 소모성 부품들을 지지하기 위해 사용된 상기 지지 핑거들 중 대응하는 지지 핑거들과 정렬되고, 상기 유지 어셈블리의 상기 하단 슬롯은 상기 도어가 인게이지될 때, 상기 캐리어 플레이트를 제자리에 홀딩하도록 사용되는, 컨테이너. - 제 14 항에 있어서,
상기 복수의 지지 부재들 각각의 상기 하단 부분은 균일한 폭을 갖고, 그리고 상기 상단 부분은 좁은 바디 및 상기 좁은 바디 위에 배치된 (dispose) 연장된 상단 표면을 포함하고, 상기 연장된 상단 표면은 상기 오버행을 규정하는, 컨테이너. - 제 14 항에 있어서,
상기 지지 핑거들 각각은 상단 표면 상에 규정된 홈을 포함하고, 지지 패드는 상기 홈 내에 형성되고 상기 상단 표면 위로 연장하고 그리고 상기 상단 부분은 워셔 유닛 (washer unit) 인, 컨테이너. - 프로세스 모듈과 교환될 소모성 부품들을 홀딩하기 위한 컨테이너에 있어서,
컨테이너가 베이스 및 상기 베이스 위에 배치된 쉘을 갖고, 소모성 부품들을 저장하기 위해 사용되는 상기 컨테이너의 측방향 측벽들을 따라 수직으로 규정된 복수의 선반들; 및
상기 베이스 상에 규정된 캐리어 지지 구조체로서, 상기 캐리어 지지 구조체는 상기 베이스 상에 분포된 복수의 지지 부재들을 포함하고, 상기 복수의 지지 부재들 각각은 하단 부분 및 상단 부분을 포함하고, 상기 하단 부분의 상단 표면은 상기 컨테이너 내부에 수용될 때, 캐리어 플레이트를 지지하기 위한 지지 표면을 제공하고, 그리고 상기 상단 부분은 상기 캐리어 플레이트가 상기 하단 부분의 상기 상단 표면 위에 수용될 때, 상기 캐리어 플레이트를 제자리에 홀딩하도록 구성된 오버행을 포함하는, 상기 캐리어 지지 구조체를 포함하고,
상기 복수의 지지 부재들은 상기 복수의 선반들 중 하부 선반 아래에 배치되는, 컨테이너. - 제 20 항에 있어서,
상기 복수의 선반들은 상기 쉘의 내부 측방향 측벽들을 따라 규정된 지지 컬럼들 상에 배치되는, 컨테이너. - 제 20 항에 있어서,
제 1 쌍의 지지 컬럼들이 상기 쉘의 제 1 측방향 측벽 상에 배치되고 그리고 제 2 쌍의 지지 컬럼들이 상기 쉘의 제 2 측방향 측벽 상에 배치되는, 컨테이너. - 제 20 항에 있어서,
상기 복수의 지지 부재들은 제 1 쌍의 지지 부재들이 상기 베이스의 후면 측에 평행하게 선형으로 배치되고 제 1 거리만큼 이격되도록, 상기 쉘의 제 1 측방향 측벽 및 제 2 측방향 측벽 상에 그리고 상기 후면 측에 근접하게 배치된 상기 제 1 쌍의 지지 부재들, 및
제 2 쌍의 지지 부재들이 상기 베이스의 전면 측에 평행하게 선형으로 배치되고 제 2 거리만큼 이격되도록, 상기 쉘의 상기 제 1 측방향 측벽 및 상기 제 2 측방향 측벽 상에 그리고 상기 전면 측에 근접하게 배치된 상기 제 2 쌍의 지지 부재들을 포함하고,
상기 제 1 거리는 삼각형 형상의 캐리어 플레이트를 지지하도록, 상기 제 2 거리보다 더 짧은, 컨테이너. - 제 20 항에 있어서,
상기 컨테이너는 대기 이송 모듈 (atmospheric transfer module; ATM) 에 커플링되고, 상기 ATM 내에 배치된 ATM 로봇은 상기 컨테이너로부터 상기 캐리어 플레이트를 회수하고 상기 캐리어 플레이트를 상기 ATM에 커플링된 진공 이송 모듈 (vacuum transfer module; VTM) 의 VTM 로봇으로 넘겨주도록 (hand over) 구성되는, 컨테이너. - 제 20 항에 있어서,
상기 컨테이너의 전면 측은 도어를 수용하기 위한 도어 프레임을 포함하고, 상기 도어는 상기 도어의 내측 벽을 따라 수직으로 배향된 복수의 슬롯들을 갖는 유지 어셈블리를 포함하고,
상기 복수의 슬롯들의 하단 슬롯은 상기 베이스 위에 배치된 상기 복수의 지지 부재들과 수직으로 정렬되는 한편, 상기 복수의 슬롯들 중 나머지 슬롯들은 상기 소모성 부품들을 지지하기 위해 사용된 상기 복수의 선반들 중 대응하는 선반들과 정렬되고, 상기 유지 어셈블리의 상기 하단 슬롯은 상기 도어가 인게이지될 때, 상기 캐리어 플레이트를 제자리에 홀딩하도록 사용되는, 컨테이너. - 제 20 항에 있어서,
상기 컨테이너는,
상기 컨테이너 내에 배치된 분리기 플레이트로서, 상기 분리기 플레이트는 상부 영역 및 하부 영역 각각이 상기소모성 부품들을 저장하기 위한 상기 선반들의 그룹을 포함하도록 상기 컨테이너의 내측 영역을 상기 상부 영역 및 상기 하부 영역으로 분할하는 수평 평면을 규정하는, 상기 분리기 플레이트를 더 포함하고,
상기 컨테이너의 상기 상부 영역은 상기 프로세스 모듈로 전달될 상기 소모성 부품들을 저장하도록 구성되고 그리고 상기 컨테이너의 상기 하부 영역은 상기 프로세스 모듈로부터 회수되는 상기 소모성 부품들을 저장하도록 구성되는, 컨테이너. - 제조 설비에서 기판들을 프로세싱하는데 사용하기 위한 시스템에 있어서,
기판들을 프로세싱하기 위한 하나 이상의 프로세스 모듈들 및 VTM (vacuum transfer module) 을 갖는 클러스터 툴로서, 상기 VTM은 VTM 로봇을 갖는, 상기 클러스터 툴;
상기 클러스터 툴에 커플링된 ATM (atmospheric transfer module) 으로서, 복수의 로드 포트들 및 ATM 로봇을 갖는, 상기 ATM; 및
상기 하나 이상의 프로세스 모듈들에 사용된 하나 이상의 소모성 부품들을 홀딩하기 위한 컨테이너인 FORP (front opening ring pod) 로서, 상기 FORP의 상기 컨테이너는 상기 복수의 로드 포트들 중 적어도 하나에 커플링되는 베이스, 상기 베이스에 반대로 배향되는 상단 플레이트, 및 상기 복수의 로드 포트들 중 상기 적어도 하나의 도어에 커플링되는 상기 컨테이너의 도어를 갖고, 상기 베이스는 전면 측, 후면 측 및 상기 전면 측과 상기 후면 측 사이에서 연장하는 한 쌍의 측방향 측면들을 포함하고, 상기 컨테이너는 상기 베이스 위에 배치된 지지 구조체를 갖고, 상기 지지 구조체는,
복수의 지지 컬럼들로서, 상기 복수의 지지 컬럼들의 각 지지 컬럼은 상기 컨테이너 내에 수직으로 연장하고 그리고 상기 하나 이상의 소모성 부품들을 지지하기 위해 상기 컨테이너 내에 수직으로 배치된 복수의 지지부들을 포함하고, 상기 복수의 지지 컬럼들은 상기 베이스의 상기 한 쌍의 측방향 측면들 위에 배치되고 그리고 상기 상단 플레이트에 커플링되고, 상기 복수의 지지부들은 상기 FORP 내에 존재할 때, 상기 하나 이상의 소모성 부품들을 홀딩하도록 사용되는 복수의 선반들을 규정하는, 상기 복수의 지지 컬럼들; 및
상단 표면 위에 그리고 상기 베이스의 후면 측을 따라 배치된 한 쌍의 정지 컬럼들로서, 상기 한 쌍의 정지 컬럼들 각각은 상기 베이스의 상기 측방향 측면들 위에 수용된 상기 복수의 지지 컬럼들 중 대응하는 지지 컬럼들에 평행하게 배향되는, 상기 한 쌍의 정지 컬럼들을 갖는, 상기 FORP를 포함하고,
상기 프로세스 모듈들 중 적어도 하나는 상기 VTM 로봇에 의한 제거를 위해 소모성 부품을 상승시키고 상기 프로세스 모듈들 중 상기 적어도 하나 내에서 제자리에 하강될 교체 소모성 부품을 수용하기 위한 리프트 메커니즘을 포함하고;
상기 ATM 로봇은 상기 FORP로부터 소모성 부품들에 액세스하고 상기 VTM 로봇으로 교체 소모성 부품들을 도입하고 그리고 상기 VTM 로봇으로부터 사용된 소모성 부품들을 수용하고 상기 사용된 소모성 부품들을 상기 FORP에 배치하도록 (place) 구성되는, 기판들을 프로세싱하는데 사용하기 위한 시스템. - 제 27 항에 있어서,
상기 ATM은 로드록 챔버를 통해 상기 클러스터 툴의 상기 VTM에 커플링되고, 상기 ATM 로봇은 상기 FORP로부터 상기 로드록 챔버로 상기 소모성 부품을 전달하도록 구성되고, 그리고 상기 VTM 로봇은 상기 로드록 챔버로부터 상기 소모성 부품들을 회수하고 상기 클러스터 툴의 상기 하나 이상의 프로세스 모듈들로 전달하도록 구성되는, 기판들을 프로세싱하는데 사용하기 위한 시스템. - 제 27 항에 있어서,
상기 FORP은,
측벽들 및 상단 표면을 갖고, 상기 베이스 위에 배치되는 쉘 인클로저로서, 상기 쉘 인클로저의 상기 상단 표면이 상기 FORP의 상기 상단 플레이트 위에 배치되고 상기 쉘 인클로저의 상기 측벽들이 상기 지지 구조체를 감싸고 (encase), 상기 쉘 인클로저의 전면 및 상기 베이스의 상기 전면 측은 상기 도어를 수용하도록 도어 프레임의 일부를 규정하는, 상기 쉘 인클로저; 및
복수의 슬롯들이 상기 지지 구조체의 상기 복수의 지지부들 중 대응하는 지지부들과 정렬되도록, 상기 도어의 내부 측벽을 따라 길이 방향으로 분포된 상기 복수의 슬롯들을 갖는 상기 도어를 포함하고,
상기 FORP의 상기 베이스는 상기 FORP로부터의 가스들을 위한 경로를 제공하기 위한 벤트를 갖는, 기판들을 프로세싱하는데 사용하기 위한 시스템. - 제 29 항에 있어서,
상기 FORP는,
상기 베이스의 상기 후면 측 위에 배치되고 상기 쉘 인클로저의 후면 측벽을 따라 배향된 한 쌍의 정지 컬럼들로서, 상기 한 쌍의 정지 컬럼들 각각은 상기 베이스의 상기 측방향 측면들 위에 수용된 상기 복수의 지지 컬럼들 중 대응하는 지지 컬럼들에 평행하게 배향되는, 상기 한 쌍의 정지 컬럼들을 더 포함하는, 기판들을 프로세싱하는데 사용하기 위한 시스템. - 제조 설비에서 기판들을 프로세싱하는데 사용하기 위한 시스템에 있어서,
기판들을 프로세싱하기 위한 하나 이상의 프로세스 모듈들 및 VTM (vacuum transfer module) 을 갖는 클러스터 툴로서, 상기 VTM은 VTM 로봇을 갖는, 상기 클러스터 툴;
상기 클러스터 툴에 커플링된 ATM (atmospheric transfer module) 으로서, 복수의 로드 포트들 및 ATM 로봇을 갖는, 상기 ATM; 및
상기 하나 이상의 프로세스 모듈들에 사용된 하나 이상의 소모성 부품들을 홀딩하기 위한 컨테이너인 FORP (front opening ring pod) 로서, 상기 FORP의 상기 컨테이너는 상기 복수의 로드 포트들 중 적어도 하나에 커플링되는 베이스 및 상기 복수의 로드 포트들 중 상기 적어도 하나의 도어에 커플링되는 상기 컨테이너의 도어를 갖고, 상기 컨테이너는 상기 하나 이상의 소모성 부품들을 지지하기 위해 상기 컨테이너 내에 수직으로 배치된 복수의 지지부들을 갖는, 상기 FORP를 포함하고,
상기 프로세스 모듈들 중 적어도 하나는 상기 VTM 로봇에 의한 제거를 위해 소모성 부품을 상승시키고 상기 프로세스 모듈들 중 상기 적어도 하나 내에서 제자리에 하강될 교체 소모성 부품을 수용하기 위한 리프트 메커니즘을 포함하고;
상기 ATM 로봇은 상기 FORP로부터 소모성 부품들에 액세스하고 상기 VTM 로봇으로 교체 소모성 부품들을 도입하고 그리고 상기 VTM 로봇으로부터 사용된 소모성 부품들을 수용하고 상기 사용된 소모성 부품들을 상기 FORP에 배치하도록 (place) 구성되고,
상기 FORP는,
상기 FORP 내에 배치된 분리기 플레이트로서, 상기 분리기 플레이트는 상부 영역 및 하부 영역 각각이 상기 소모성 부품들을 홀딩하기 위한 선반들의 그룹을 갖도록, 상기 FORP의 내부 영역을 상기 상부 영역 및 상기 하부 영역으로 분할하는 수평면을 규정하는, 상기 분리기 플레이트를 더 포함하고,
상기 FORP의 상기 상부 영역은 상기 하나 이상의 프로세스 모듈들로 전달될 소모성 부품들을 저장하도록 구성되고 그리고 상기 FORP의 상기 하부 영역은 상기 하나 이상의 프로세스 모듈들로부터 회수되는 소모성 부품들을 저장하도록 구성되는, 기판들을 프로세싱하는데 사용하기 위한 시스템. - 제 27 항에 있어서,
상기 소모성 부품들은 에지 링들 또는 포커스 링들 또는 교체될 상기 하나 이상의 프로세스 모듈들 내의 프로세싱 조건들에 노출된 다른 하드웨어 컴포넌트들인, 기판들을 프로세싱하는데 사용하기 위한 시스템.
Applications Claiming Priority (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/920,090 US20170115657A1 (en) | 2015-10-22 | 2015-10-22 | Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ |
US14/920,090 | 2015-10-22 | ||
US15/048,960 US10062599B2 (en) | 2015-10-22 | 2016-02-19 | Automated replacement of consumable parts using interfacing chambers |
US15/048,960 | 2016-02-19 | ||
US15/138,097 | 2016-04-25 | ||
US15/138,097 US9881820B2 (en) | 2015-10-22 | 2016-04-25 | Front opening ring pod |
KR1020160137496A KR102571229B1 (ko) | 2015-10-22 | 2016-10-21 | 전면 개구 링 포드 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020160137496A Division KR102571229B1 (ko) | 2015-10-22 | 2016-10-21 | 전면 개구 링 포드 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20230128248A KR20230128248A (ko) | 2023-09-04 |
KR102757160B1 true KR102757160B1 (ko) | 2025-01-21 |
Family
ID=58558903
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020160137496A Active KR102571229B1 (ko) | 2015-10-22 | 2016-10-21 | 전면 개구 링 포드 |
KR1020230110091A Active KR102757160B1 (ko) | 2015-10-22 | 2023-08-22 | 전면 개구 링 포드 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020160137496A Active KR102571229B1 (ko) | 2015-10-22 | 2016-10-21 | 전면 개구 링 포드 |
Country Status (5)
Country | Link |
---|---|
US (4) | US9881820B2 (ko) |
JP (2) | JP6912179B2 (ko) |
KR (2) | KR102571229B1 (ko) |
CN (2) | CN111489984B (ko) |
TW (2) | TWI698381B (ko) |
Families Citing this family (118)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9570331B2 (en) * | 2014-07-30 | 2017-02-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wafer cassette with electrostatic carrier charging scheme |
US9873180B2 (en) | 2014-10-17 | 2018-01-23 | Applied Materials, Inc. | CMP pad construction with composite material properties using additive manufacturing processes |
US10875153B2 (en) | 2014-10-17 | 2020-12-29 | Applied Materials, Inc. | Advanced polishing pad materials and formulations |
CN107078048B (zh) | 2014-10-17 | 2021-08-13 | 应用材料公司 | 使用加成制造工艺的具复合材料特性的cmp衬垫建构 |
US9776361B2 (en) | 2014-10-17 | 2017-10-03 | Applied Materials, Inc. | Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles |
US11745302B2 (en) | 2014-10-17 | 2023-09-05 | Applied Materials, Inc. | Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process |
KR102587203B1 (ko) | 2015-07-13 | 2023-10-10 | 브룩스 오토메이션 인코퍼레이티드 | 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치 |
US10062599B2 (en) * | 2015-10-22 | 2018-08-28 | Lam Research Corporation | Automated replacement of consumable parts using interfacing chambers |
US20170115657A1 (en) | 2015-10-22 | 2017-04-27 | Lam Research Corporation | Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ |
US10593574B2 (en) | 2015-11-06 | 2020-03-17 | Applied Materials, Inc. | Techniques for combining CMP process tracking data with 3D printed CMP consumables |
US10391605B2 (en) | 2016-01-19 | 2019-08-27 | Applied Materials, Inc. | Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process |
US10685862B2 (en) | 2016-01-22 | 2020-06-16 | Applied Materials, Inc. | Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device |
CN116110846A (zh) | 2016-01-26 | 2023-05-12 | 应用材料公司 | 晶片边缘环升降解决方案 |
CN108369922B (zh) | 2016-01-26 | 2023-03-21 | 应用材料公司 | 晶片边缘环升降解决方案 |
US10204795B2 (en) | 2016-02-04 | 2019-02-12 | Applied Materials, Inc. | Flow distribution plate for surface fluorine reduction |
JP6635888B2 (ja) | 2016-07-14 | 2020-01-29 | 東京エレクトロン株式会社 | プラズマ処理システム |
TWI579215B (zh) * | 2016-10-07 | 2017-04-21 | 家登精密工業股份有限公司 | 垂直固定機構傳送盒及使用其之傳送方法 |
US9947517B1 (en) | 2016-12-16 | 2018-04-17 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10553404B2 (en) | 2017-02-01 | 2020-02-04 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10763081B2 (en) | 2017-07-10 | 2020-09-01 | Applied Materials, Inc. | Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device |
US11471999B2 (en) | 2017-07-26 | 2022-10-18 | Applied Materials, Inc. | Integrated abrasive polishing pads and manufacturing methods |
US10763150B2 (en) | 2017-09-20 | 2020-09-01 | Applied Materials, Inc. | System for coupling a voltage to spatially segmented portions of the wafer with variable voltage |
US10714372B2 (en) | 2017-09-20 | 2020-07-14 | Applied Materials, Inc. | System for coupling a voltage to portions of a substrate |
US10811296B2 (en) | 2017-09-20 | 2020-10-20 | Applied Materials, Inc. | Substrate support with dual embedded electrodes |
US10510575B2 (en) | 2017-09-20 | 2019-12-17 | Applied Materials, Inc. | Substrate support with multiple embedded electrodes |
US10904996B2 (en) | 2017-09-20 | 2021-01-26 | Applied Materials, Inc. | Substrate support with electrically floating power supply |
US11075105B2 (en) * | 2017-09-21 | 2021-07-27 | Applied Materials, Inc. | In-situ apparatus for semiconductor process module |
US10861692B2 (en) * | 2017-10-26 | 2020-12-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Substrate carrier deterioration detection and repair |
US11043400B2 (en) | 2017-12-21 | 2021-06-22 | Applied Materials, Inc. | Movable and removable process kit |
US10727075B2 (en) | 2017-12-22 | 2020-07-28 | Applied Materials, Inc. | Uniform EUV photoresist patterning utilizing pulsed plasma process |
US10504762B2 (en) * | 2018-02-06 | 2019-12-10 | Applied Materials, Inc. | Bridging front opening unified pod (FOUP) |
US10555412B2 (en) | 2018-05-10 | 2020-02-04 | Applied Materials, Inc. | Method of controlling ion energy distribution using a pulse generator with a current-return output stage |
JP7165510B2 (ja) * | 2018-05-25 | 2022-11-04 | 株式会社ディスコ | 搬送用治具及び交換方法 |
US11201037B2 (en) | 2018-05-28 | 2021-12-14 | Applied Materials, Inc. | Process kit with adjustable tuning ring for edge uniformity control |
US10347500B1 (en) | 2018-06-04 | 2019-07-09 | Applied Materials, Inc. | Device fabrication via pulsed plasma |
DE102018113786A1 (de) * | 2018-06-08 | 2019-12-12 | Vat Holding Ag | Waferübergabeeinheit und Waferübergabesystem |
US11935773B2 (en) | 2018-06-14 | 2024-03-19 | Applied Materials, Inc. | Calibration jig and calibration method |
KR102433436B1 (ko) * | 2018-07-04 | 2022-08-17 | 삼성전자주식회사 | 기판 처리 시스템, 기판 처리 시스템에서의 에지 링 정렬 검사 방법 및 이를 수행하기 위한 원반형 비젼 센서 |
US10651097B2 (en) * | 2018-08-30 | 2020-05-12 | Lam Research Corporation | Using identifiers to map edge ring part numbers onto slot numbers |
CN112654655A (zh) | 2018-09-04 | 2021-04-13 | 应用材料公司 | 先进抛光垫配方 |
TWI675429B (zh) * | 2018-09-14 | 2019-10-21 | 樂華科技股份有限公司 | 晶圓載具輸送裝置 |
US10978326B2 (en) * | 2018-10-29 | 2021-04-13 | Taiwan Semiconductor Manufacturing Co, , Ltd. | Semiconductor wafer storage device |
US11476145B2 (en) | 2018-11-20 | 2022-10-18 | Applied Materials, Inc. | Automatic ESC bias compensation when using pulsed DC bias |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
JP7131334B2 (ja) * | 2018-11-29 | 2022-09-06 | 株式会社安川電機 | 基板支持装置、基板搬送ロボットおよびアライナ装置 |
JP7126466B2 (ja) | 2018-12-12 | 2022-08-26 | 東京エレクトロン株式会社 | 基板処理システム、搬送方法、および搬送プログラム |
JP7003905B2 (ja) * | 2018-12-27 | 2022-01-21 | 株式会社Sumco | 気相成長装置 |
WO2020154310A1 (en) | 2019-01-22 | 2020-07-30 | Applied Materials, Inc. | Feedback loop for controlling a pulsed voltage waveform |
US11508554B2 (en) | 2019-01-24 | 2022-11-22 | Applied Materials, Inc. | High voltage filter assembly |
US10784089B2 (en) | 2019-02-01 | 2020-09-22 | Applied Materials, Inc. | Temperature and bias control of edge ring |
JP2020126949A (ja) * | 2019-02-06 | 2020-08-20 | 東京エレクトロン株式会社 | 輸送器具及び治具 |
KR20200102612A (ko) | 2019-02-21 | 2020-09-01 | 세메스 주식회사 | 기판 처리 장치 및 기판 처리 방법 |
JP7357453B2 (ja) * | 2019-03-07 | 2023-10-06 | 東京エレクトロン株式会社 | 基板処理システムおよび基板の搬送方法 |
US11279032B2 (en) | 2019-04-11 | 2022-03-22 | Applied Materials, Inc. | Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots |
DE102019125819A1 (de) * | 2019-04-17 | 2020-10-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Halbleiterverarbeitungsvorrichtung und verfahren unter einsatz einer elektrostatischen entladungs-(esd)- verhinderungsschicht |
US10950485B2 (en) * | 2019-04-17 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor processing apparatus and method utilizing electrostatic discharge (ESD) prevention layer |
US11101115B2 (en) | 2019-04-19 | 2021-08-24 | Applied Materials, Inc. | Ring removal from processing chamber |
US12009236B2 (en) | 2019-04-22 | 2024-06-11 | Applied Materials, Inc. | Sensors and system for in-situ edge ring erosion monitor |
US11515127B2 (en) | 2019-05-14 | 2022-11-29 | Beijing E-Town Semiconductor Technology Co., Ltd | End effectors for moving workpieces and replaceable parts within a system for processing workpieces under vacuum |
US12165905B2 (en) | 2019-05-20 | 2024-12-10 | Applied Materials, Inc. | Process kit enclosure system |
US10964584B2 (en) * | 2019-05-20 | 2021-03-30 | Applied Materials, Inc. | Process kit ring adaptor |
CN114051652B (zh) * | 2019-06-06 | 2025-03-18 | 朗姆研究公司 | 需要转动对准的边缘环的自动化转移 |
CN112071799B (zh) * | 2019-06-10 | 2024-09-06 | 中微半导体设备(上海)股份有限公司 | 一种托爪、气锁室及等离子体处理装置主机平台 |
US11913777B2 (en) * | 2019-06-11 | 2024-02-27 | Applied Materials, Inc. | Detector for process kit ring wear |
US11626305B2 (en) | 2019-06-25 | 2023-04-11 | Applied Materials, Inc. | Sensor-based correction of robot-held object |
KR102090278B1 (ko) * | 2019-06-27 | 2020-03-17 | 에이피티씨 주식회사 | 반도체용 부품의 교환을 위한 부품 교환 장치 및 이에 의한 부품의 교환 방법 |
US11211269B2 (en) | 2019-07-19 | 2021-12-28 | Applied Materials, Inc. | Multi-object capable loadlock system |
US11756816B2 (en) * | 2019-07-26 | 2023-09-12 | Applied Materials, Inc. | Carrier FOUP and a method of placing a carrier |
KR20220041890A (ko) * | 2019-07-29 | 2022-04-01 | 램 리써치 코포레이션 | 기판 프로세싱 시스템의 자율 (autonomous) 제어 및 검사를 위한 통합된 하드웨어-소프트웨어 컴퓨터 비전 시스템 |
US11469123B2 (en) | 2019-08-19 | 2022-10-11 | Applied Materials, Inc. | Mapping of a replacement parts storage container |
JP7372825B2 (ja) * | 2019-11-28 | 2023-11-01 | 株式会社ディスコ | 運搬システム、及び消耗品ボックス |
US11370114B2 (en) * | 2019-12-09 | 2022-06-28 | Applied Materials, Inc. | Autoteach enclosure system |
CN114902392A (zh) * | 2020-01-06 | 2022-08-12 | 朗姆研究公司 | 衬底处理工具的多种模块的硬件部件的自动配置 |
JP2023514065A (ja) * | 2020-01-23 | 2023-04-05 | ラム リサーチ コーポレーション | 自動回転プリアライメントを用いたエッジリング搬送 |
JP7471106B2 (ja) | 2020-02-28 | 2024-04-19 | 東京エレクトロン株式会社 | 部品運搬装置 |
JP7378318B2 (ja) | 2020-02-28 | 2023-11-13 | 東京エレクトロン株式会社 | 部品交換方法 |
CN111341719B (zh) * | 2020-03-18 | 2023-04-14 | 北京北方华创微电子装备有限公司 | 承载装置、半导体设备及残余电荷的检测方法 |
US12027397B2 (en) | 2020-03-23 | 2024-07-02 | Applied Materials, Inc | Enclosure system shelf including alignment features |
US20210335651A1 (en) * | 2020-04-23 | 2021-10-28 | Adaptive Plasma Technology Corp. | Apparatus for exchanging an article of a semi-conductor process and a method for exchanging the article using the same |
US20230182253A1 (en) * | 2020-05-01 | 2023-06-15 | Tokyo Electron Limited | Processing apparatus, and installing method of processing tool of processing apparatus |
JP7419154B2 (ja) * | 2020-05-01 | 2024-01-22 | 東京エレクトロン株式会社 | 部品交換システムおよび部品交換装置 |
USD954769S1 (en) | 2020-06-02 | 2022-06-14 | Applied Materials, Inc. | Enclosure system shelf |
USD980176S1 (en) | 2020-06-02 | 2023-03-07 | Applied Materials, Inc. | Substrate processing system carrier |
US11848176B2 (en) | 2020-07-31 | 2023-12-19 | Applied Materials, Inc. | Plasma processing using pulsed-voltage and radio-frequency power |
KR102652834B1 (ko) * | 2020-08-07 | 2024-04-02 | 세메스 주식회사 | 용기 및 기판 처리 장치 |
KR102523365B1 (ko) * | 2020-09-23 | 2023-04-21 | 세메스 주식회사 | 기판 처리 장치 |
TW202232624A (zh) * | 2020-10-26 | 2022-08-16 | 日商東京威力科創股份有限公司 | 處理系統及搬運方法 |
TW202218027A (zh) * | 2020-10-27 | 2022-05-01 | 瑞士商伊斯美加半導體控股公司 | 處理晶圓之總成及方法 |
US11901157B2 (en) | 2020-11-16 | 2024-02-13 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
US11798790B2 (en) | 2020-11-16 | 2023-10-24 | Applied Materials, Inc. | Apparatus and methods for controlling ion energy distribution |
JP7525394B2 (ja) | 2020-12-28 | 2024-07-30 | 東京エレクトロン株式会社 | 搬送装置 |
JP7526664B2 (ja) * | 2020-12-28 | 2024-08-01 | 東京エレクトロン株式会社 | 基板処理装置 |
WO2022172827A1 (ja) * | 2021-02-09 | 2022-08-18 | 東京エレクトロン株式会社 | 基板処理システム及び搬送方法 |
JP7624844B2 (ja) * | 2021-02-26 | 2025-01-31 | 東京エレクトロン株式会社 | 基板収容装置および処理システム |
US12159795B2 (en) | 2021-03-08 | 2024-12-03 | Applied Materials, Inc. | Enclosure system having walls comprising sidewalls and radio-frequency identifier holder coupled to rear wall |
US11495470B1 (en) | 2021-04-16 | 2022-11-08 | Applied Materials, Inc. | Method of enhancing etching selectivity using a pulsed plasma |
US12138772B2 (en) | 2021-04-30 | 2024-11-12 | Divergent Technologies, Inc. | Mobile parts table |
US11948780B2 (en) | 2021-05-12 | 2024-04-02 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
US11791138B2 (en) | 2021-05-12 | 2023-10-17 | Applied Materials, Inc. | Automatic electrostatic chuck bias compensation during plasma processing |
KR20220156138A (ko) * | 2021-05-17 | 2022-11-25 | 세메스 주식회사 | 링 캐리어 및 기판 처리 시스템 |
US11967483B2 (en) | 2021-06-02 | 2024-04-23 | Applied Materials, Inc. | Plasma excitation with ion energy control |
US20220399185A1 (en) | 2021-06-09 | 2022-12-15 | Applied Materials, Inc. | Plasma chamber and chamber component cleaning methods |
US20220399193A1 (en) | 2021-06-09 | 2022-12-15 | Applied Materials, Inc. | Plasma uniformity control in pulsed dc plasma chamber |
US11810760B2 (en) | 2021-06-16 | 2023-11-07 | Applied Materials, Inc. | Apparatus and method of ion current compensation |
US11901207B2 (en) * | 2021-06-18 | 2024-02-13 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor wafer processing system and method |
US11569066B2 (en) | 2021-06-23 | 2023-01-31 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
KR102491002B1 (ko) * | 2021-06-28 | 2023-01-27 | 세메스 주식회사 | 링 부재 및 이를 가지는 기판 처리 장치 |
KR102614918B1 (ko) * | 2021-06-28 | 2023-12-20 | 세메스 주식회사 | 반송 어셈블리 및 이를 가지는 기판 처리 장치 |
US11476090B1 (en) | 2021-08-24 | 2022-10-18 | Applied Materials, Inc. | Voltage pulse time-domain multiplexing |
US12106938B2 (en) | 2021-09-14 | 2024-10-01 | Applied Materials, Inc. | Distortion current mitigation in a radio frequency plasma processing chamber |
US11694876B2 (en) | 2021-12-08 | 2023-07-04 | Applied Materials, Inc. | Apparatus and method for delivering a plurality of waveform signals during plasma processing |
US11817724B2 (en) | 2022-03-02 | 2023-11-14 | Applied Materials, Inc. | Enclosure system with charging assembly |
US11972924B2 (en) | 2022-06-08 | 2024-04-30 | Applied Materials, Inc. | Pulsed voltage source for plasma processing applications |
CN114823441B (zh) * | 2022-06-28 | 2022-09-02 | 深圳市星国华先进装备科技有限公司 | 一种针测机传输机构晶圆防滑出保护装置 |
CN115188698B (zh) * | 2022-09-06 | 2022-12-09 | 上海果纳半导体技术有限公司武汉分公司 | 晶圆缓存机构及晶圆传输装置 |
US12111341B2 (en) | 2022-10-05 | 2024-10-08 | Applied Materials, Inc. | In-situ electric field detection method and apparatus |
WO2024203507A1 (ja) * | 2023-03-29 | 2024-10-03 | 東京エレクトロン株式会社 | クラスタツール |
CN117096071B (zh) * | 2023-10-20 | 2024-01-23 | 上海谙邦半导体设备有限公司 | 一种晶圆真空锁系统 |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100287024B1 (ko) | 1996-07-12 | 2001-04-16 | 플루오로웨어, 아이엔씨. | 웨이퍼 캐리어 |
JP2011054933A (ja) * | 2009-08-07 | 2011-03-17 | Tokyo Electron Ltd | 基板処理装置及び位置決め方法並びにフォーカスリング配置方法 |
JP2011510491A (ja) * | 2008-01-13 | 2011-03-31 | インテグリス・インコーポレーテッド | 大口径のウエハ容器とウエハ取扱方法 |
Family Cites Families (35)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4930634A (en) * | 1987-09-29 | 1990-06-05 | Fluoroware, Inc. | Carrier for flat panel displays |
US6776289B1 (en) * | 1996-07-12 | 2004-08-17 | Entegris, Inc. | Wafer container with minimal contact |
US6010008A (en) | 1997-07-11 | 2000-01-04 | Fluoroware, Inc. | Transport module |
NL1010321C2 (nl) * | 1997-10-20 | 1999-09-08 | Fluoroware Inc | Wafeldrager. |
US6517303B1 (en) * | 1998-05-20 | 2003-02-11 | Applied Komatsu Technology, Inc. | Substrate transfer shuttle |
US6267245B1 (en) * | 1998-07-10 | 2001-07-31 | Fluoroware, Inc. | Cushioned wafer container |
KR20000030951A (ko) * | 1998-10-20 | 2000-06-05 | 윤종용 | 반도체 제조 장치 |
US6092981A (en) * | 1999-03-11 | 2000-07-25 | Applied Materials, Inc. | Modular substrate cassette |
US7121414B2 (en) * | 2001-12-28 | 2006-10-17 | Brooks Automation, Inc. | Semiconductor cassette reducer |
US6916374B2 (en) * | 2002-10-08 | 2005-07-12 | Micron Technology, Inc. | Atomic layer deposition methods and atomic layer deposition tools |
JP2004288727A (ja) | 2003-03-19 | 2004-10-14 | Seiko Epson Corp | Cmp装置、cmp研磨方法、半導体装置及びその製造方法 |
JP4751827B2 (ja) | 2003-07-11 | 2011-08-17 | テック・セム アーゲー | サブストレートを貯蔵又は輸送するための機器及びそれを用いた方法 |
US20050205209A1 (en) | 2004-03-18 | 2005-09-22 | Aelan Mosden | Replacing chamber components in a vacuum environment |
US20090194456A1 (en) * | 2006-07-07 | 2009-08-06 | Entegris, Inc. | Wafer cassette |
WO2008144664A1 (en) | 2007-05-18 | 2008-11-27 | Brooks Automation, Inc. | Compact substrate transport system with fast swap robot |
JP4857239B2 (ja) * | 2007-10-25 | 2012-01-18 | 株式会社トプコン | ウェハ保持装置 |
US9002514B2 (en) | 2007-11-30 | 2015-04-07 | Novellus Systems, Inc. | Wafer position correction with a dual, side-by-side wafer transfer robot |
US8185242B2 (en) * | 2008-05-07 | 2012-05-22 | Lam Research Corporation | Dynamic alignment of wafers using compensation values obtained through a series of wafer movements |
JP4921429B2 (ja) * | 2008-07-03 | 2012-04-25 | 信越ポリマー株式会社 | 基板収納容器 |
US8652260B2 (en) | 2008-08-08 | 2014-02-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus for holding semiconductor wafers |
US20100099342A1 (en) * | 2008-10-21 | 2010-04-22 | Applied Materials, Inc. | Pad conditioner auto disk change |
JP2010153585A (ja) | 2008-12-25 | 2010-07-08 | Ebara Corp | 基板保持具および基板保持方法 |
TWI346638B (en) * | 2008-12-26 | 2011-08-11 | Gudeng Prec Industral Co Ltd | A purging valve and a wafer container having the purging valve |
JP5363277B2 (ja) * | 2009-11-11 | 2013-12-11 | 信越ポリマー株式会社 | 基板収納容器、及び支持部材 |
US8840754B2 (en) | 2010-09-17 | 2014-09-23 | Lam Research Corporation | Polar regions for electrostatic de-chucking with lift pins |
US20170236737A1 (en) * | 2010-10-20 | 2017-08-17 | Entegris, Inc. | Wafer container with door guide and seal |
JP6003011B2 (ja) * | 2011-03-31 | 2016-10-05 | 東京エレクトロン株式会社 | 基板処理装置 |
CN103828033B (zh) * | 2011-08-12 | 2016-11-16 | 恩特格里公司 | 晶片载具 |
TWI494174B (zh) | 2012-05-16 | 2015-08-01 | Kern Energy Entpr Co Ltd | 基板表面處理設備 |
JP6041699B2 (ja) * | 2013-02-20 | 2016-12-14 | 信越ポリマー株式会社 | 基板収納容器 |
US10804081B2 (en) * | 2013-12-20 | 2020-10-13 | Lam Research Corporation | Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber |
US9698035B2 (en) * | 2013-12-23 | 2017-07-04 | Lam Research Corporation | Microstructures for improved wafer handling |
TWI674168B (zh) * | 2015-07-27 | 2019-10-11 | 美商應用材料股份有限公司 | 升降杆致動器、基板材支撐組件、及利用基板材支撐組件的方法 |
US10124492B2 (en) | 2015-10-22 | 2018-11-13 | Lam Research Corporation | Automated replacement of consumable parts using end effectors interfacing with plasma processing system |
US10062599B2 (en) | 2015-10-22 | 2018-08-28 | Lam Research Corporation | Automated replacement of consumable parts using interfacing chambers |
-
2016
- 2016-04-25 US US15/138,097 patent/US9881820B2/en active Active
- 2016-10-21 JP JP2016206850A patent/JP6912179B2/ja active Active
- 2016-10-21 TW TW105133988A patent/TWI698381B/zh active
- 2016-10-21 TW TW109119410A patent/TWI739470B/zh active
- 2016-10-21 KR KR1020160137496A patent/KR102571229B1/ko active Active
- 2016-10-24 CN CN201911281036.0A patent/CN111489984B/zh active Active
- 2016-10-24 CN CN201610944877.5A patent/CN107039308B/zh active Active
-
2017
- 2017-08-09 US US15/673,030 patent/US10062589B2/en active Active
- 2017-08-14 US US15/676,687 patent/US10062590B2/en active Active
- 2017-08-14 US US15/676,613 patent/US20180068879A1/en not_active Abandoned
-
2021
- 2021-07-08 JP JP2021113257A patent/JP7383665B2/ja active Active
-
2023
- 2023-08-22 KR KR1020230110091A patent/KR102757160B1/ko active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100287024B1 (ko) | 1996-07-12 | 2001-04-16 | 플루오로웨어, 아이엔씨. | 웨이퍼 캐리어 |
JP2011510491A (ja) * | 2008-01-13 | 2011-03-31 | インテグリス・インコーポレーテッド | 大口径のウエハ容器とウエハ取扱方法 |
JP2011054933A (ja) * | 2009-08-07 | 2011-03-17 | Tokyo Electron Ltd | 基板処理装置及び位置決め方法並びにフォーカスリング配置方法 |
Also Published As
Publication number | Publication date |
---|---|
JP6912179B2 (ja) | 2021-08-04 |
KR102571229B1 (ko) | 2023-08-24 |
JP2021168409A (ja) | 2021-10-21 |
US20170117170A1 (en) | 2017-04-27 |
KR20230128248A (ko) | 2023-09-04 |
TWI698381B (zh) | 2020-07-11 |
JP2017098540A (ja) | 2017-06-01 |
CN111489984B (zh) | 2024-04-26 |
US20180068879A1 (en) | 2018-03-08 |
KR20170054253A (ko) | 2017-05-17 |
TWI739470B (zh) | 2021-09-11 |
CN107039308A (zh) | 2017-08-11 |
US20180019142A1 (en) | 2018-01-18 |
CN107039308B (zh) | 2020-01-03 |
TW201726509A (zh) | 2017-08-01 |
US10062590B2 (en) | 2018-08-28 |
TW202035244A (zh) | 2020-10-01 |
CN111489984A (zh) | 2020-08-04 |
US9881820B2 (en) | 2018-01-30 |
US10062589B2 (en) | 2018-08-28 |
JP7383665B2 (ja) | 2023-11-20 |
US20180040492A1 (en) | 2018-02-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102757160B1 (ko) | 전면 개구 링 포드 | |
US10770339B2 (en) | Automated replacement of consumable parts using interfacing chambers | |
KR102664316B1 (ko) | 플라즈마 프로세싱 시스템과 인터페이싱하는 엔드 이펙터들을 사용한 소모성 부품들의 자동화된 교체 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A107 | Divisional application of patent | ||
PA0107 | Divisional application |
Comment text: Divisional Application of Patent Patent event date: 20230822 Patent event code: PA01071R01D Filing date: 20161021 Application number text: 1020160137496 |
|
PA0201 | Request for examination | ||
PG1501 | Laying open of application | ||
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20240418 Patent event code: PE09021S01D |
|
E601 | Decision to refuse application | ||
PE0601 | Decision on rejection of patent |
Patent event date: 20240708 Comment text: Decision to Refuse Application Patent event code: PE06012S01D |
|
PX0701 | Decision of registration after re-examination |
Patent event date: 20241028 Comment text: Decision to Grant Registration Patent event code: PX07013S01D |
|
X701 | Decision to grant (after re-examination) | ||
GRNT | Written decision to grant | ||
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20250115 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20250115 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration |