KR102372891B1 - Integrated circuit including standard cells overlapping each other and method for generating layout thereof - Google Patents
Integrated circuit including standard cells overlapping each other and method for generating layout thereof Download PDFInfo
- Publication number
- KR102372891B1 KR102372891B1 KR1020170121869A KR20170121869A KR102372891B1 KR 102372891 B1 KR102372891 B1 KR 102372891B1 KR 1020170121869 A KR1020170121869 A KR 1020170121869A KR 20170121869 A KR20170121869 A KR 20170121869A KR 102372891 B1 KR102372891 B1 KR 102372891B1
- Authority
- KR
- South Korea
- Prior art keywords
- standard cell
- standard
- region
- horizontal direction
- feol
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Images
Classifications
-
- H01L27/0203—
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D89/00—Aspects of integrated devices not covered by groups H10D84/00 - H10D88/00
-
- H01L27/0611—
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
Landscapes
- Design And Manufacture Of Integrated Circuits (AREA)
- Semiconductor Integrated Circuits (AREA)
Abstract
본 개시의 예시적 실시예에 따라 복수의 표준 셀들을 포함하는 집적 회로로서, 복수의 표준 셀들 각각은, 제1 수평방향으로 연장되는 적어도 하나의 게이트 라인을 포함하는 FEOL(front end of line) 영역 및 FEOL 영역 상의 BEOL(back end of line) 영역을 포함할 수 있고, 복수의 표준 셀들 중 하나로서 제1 표준 셀의 BEOL 영역은, 제1 표준 셀의 FEOL 영역과 수직방향으로 중첩되지 아니하고 제1 수평방향과 수직인 제2 수평방향으로 돌출된, 처마(eaves) 부분을 포함할 수 있다.According to an exemplary embodiment of the present disclosure, an integrated circuit including a plurality of standard cells, each of the plurality of standard cells, includes a front end of line (FEOL) region including at least one gate line extending in a first horizontal direction. and a back end of line (BEOL) region on the FEOL region, wherein the BEOL region of the first standard cell as one of the plurality of standard cells does not vertically overlap with the FEOL region of the first standard cell and does not overlap the first It may include an eaves (eaves) portion protruding in a second horizontal direction perpendicular to the horizontal direction.
Description
본 개시의 기술적 사상은 집적 회로에 관한 것으로서, 자세하게는 표준 셀을 포함하는 집적 회로 및 그것의 레이아웃을 생성하는 방법에 관한 것이다.The technical idea of the present disclosure relates to an integrated circuit, and more particularly, to an integrated circuit including a standard cell and a method of generating a layout thereof.
반도체 공정이 미세화됨에 따라, 집적 회로에 포함되는 표준 셀의 크기도 감소할 수 있다. 표준 셀의 감소된 크기에 기인하여 인접한 표준 셀들 상호간 발생하는 영향이 증대될 수 있고, 이를 방지하기 위하여 표준 셀들을 분리하기 위한 구조, 예컨대 디퓨전 브레이크(diffusion break)가 표준 셀들 사이에 삽입될 수 있다. 또한, 표준 셀은 구조에 따라 특정 층들에서 불필요한 공간을 포함할 수도 있다. 이와 같이 낭비되는 공간은 표준 셀의 크기 감소를 상쇄시킴으로써 집적 회로의 집적도의 상승을 제한할 수 있다.As semiconductor processes are miniaturized, the size of a standard cell included in an integrated circuit may also decrease. Due to the reduced size of the standard cell, the influence between adjacent standard cells may be increased, and in order to prevent this, a structure for separating the standard cells, for example, a diffusion break, may be inserted between the standard cells. . Also, a standard cell may contain unnecessary space in certain layers depending on its structure. This wasted space may limit the increase in the degree of integration of the integrated circuit by offsetting the reduction in the size of the standard cell.
본 개시의 기술적 사상은 표준 셀을 포함하는 집적 회로에 관한 것으로서, 상호 중첩되는 표준 셀들을 포함하는 집적 회로 및 그것의 레이아웃을 생성하는 방법을 제공한다.The technical idea of the present disclosure relates to an integrated circuit including standard cells, and provides an integrated circuit including overlapping standard cells and a method of generating a layout thereof.
상기와 같은 목적을 달성하기 위하여, 본 개시의 기술적 사상의 일측면에 따라 복수의 표준 셀들을 포함하는 집적 회로로서, 복수의 표준 셀들 각각은, 제1 수평방향으로 연장되는 적어도 하나의 게이트 라인을 포함하는 FEOL(front end of line) 영역 및 FEOL 영역 상의 BEOL(back end of line) 영역을 포함할 수 있고, 복수의 표준 셀들 중 하나로서 제1 표준 셀의 BEOL 영역은, 제1 표준 셀의 FEOL 영역과 수직방향으로 중첩되지 아니하고 제1 수평방향과 수직인 제2 수평방향으로 돌출된, 처마(eaves) 부분을 포함할 수 있다.In order to achieve the above object, according to an aspect of the technical concept of the present disclosure, an integrated circuit including a plurality of standard cells, each of the plurality of standard cells, includes at least one gate line extending in a first horizontal direction. It may include a front end of line (FEOL) region including the FEOL region and a back end of line (BEOL) region on the FEOL region, wherein the BEOL region of the first standard cell as one of the plurality of standard cells includes: It may include an eaves portion, which does not overlap the area in a vertical direction and protrudes in a second horizontal direction perpendicular to the first horizontal direction.
본 개시의 기술적 사상의 일측면에 따라 복수의 제1 표준 셀들을 포함하는 집적 회로로서, 복수의 제1 표준 셀들은, 제1 수평방향으로 연장되는 적어도 하나의 게이트 라인을 포함하는 제1 FEOL(front end of line) 영역 및 제1 FEOL 영역 상의 제1 BEOL 영역을 각각 포함하고, 제1 수평방향과 수직인 제2 수평방향으로 연속적으로 배치될 수 있고, 제1 BEOL 영역은, 제2 수평방향으로 인접한 다른 제1 표준 셀의 제1 FEOL 영역의 적어도 일부와 수직방향으로 중첩될 수 있다.According to an aspect of the present disclosure, an integrated circuit including a plurality of first standard cells, the plurality of first standard cells, includes a first FEOL including at least one gate line extending in a first horizontal direction ( front end of line) region and a first BEOL region on the first FEOL region, and may be continuously disposed in a second horizontal direction perpendicular to the first horizontal direction, wherein the first BEOL region includes a second horizontal direction It may be vertically overlapped with at least a portion of the first FEOL region of another first standard cell adjacent to .
본 개시의 기술적 사상의 일측면에 따른 집적 회로의 레이아웃을 생성하는 컴퓨터 구현 방법은, 제1 수평방향으로 연장되는 적어도 하나의 게이트 라인을 포함하는 FEOL(front end of line) 영역 및 FEOL 영역 상의 BEOL(back end of line) 영역을 각각 포함하는 복수의 표준 셀들을 정의하는 표준 셀 라이브러리에 엑세스하는 단계, 및 표준 셀 라이브러리에 기초하여 표준 셀을 배치하는 단계를 포함할 수 있고, 표준 셀을 배치하는 단계는, 제1 표준 셀에서 제1 수평방향과 수직인 제2 수평방향으로 돌출된 BEOL 영역의 처마 부분 및 제2 표준 셀에서 제2 수평방향과 반대방향으로 돌출된 FEOL 영역의 스텝 부분이 수직방향으로 중첩되도록, 제1 표준 셀에 제2 수평방향으로 인접하게 제2 표준 셀을 배치하는 단계를 포함할 수 있다.A computer implemented method of generating a layout of an integrated circuit according to an aspect of the present disclosure includes a front end of line (FEOL) region including at least one gate line extending in a first horizontal direction and a BEOL on the FEOL region (back end of line) may include accessing a standard cell library defining a plurality of standard cells each including a region, and arranging a standard cell based on the standard cell library, In the step, the eaves of the BEOL region protruding in the second horizontal direction perpendicular to the first horizontal direction in the first standard cell and the step portion of the FEOL region protruding in the opposite direction to the second horizontal direction in the second standard cell are vertical It may include disposing a second standard cell adjacent to the first standard cell in a second horizontal direction so as to overlap in the direction.
본 개시의 기술적 사상의 일측면에 따라 집적 회로의 레이아웃을 생성하기 위한 복수의 표준 셀들을 정의하는 표준 셀 라이브러리를 생성하는 컴퓨터 구현 방법은, 제1 수평방향으로 연장되는 적어도 하나의 게이트 라인을 포함하고 제1 수평방향과 수직인 제2 수평방향으로 대향하는 양단에서 제1 수평방향으로 각각 연장되는 더블 디퓨전 브레이크들(double diffusion break)이 형성된 제1 FEOL 영역, 및 제1 FEOL 영역 상의 제1 BEOL 영역을 포함하는 제1 표준 셀을 정의하는 입력 라이브러리를 수신하는 단계, 및 제1 표준 셀과 동일한 기능을 제공하고, 제2 수평방향으로 대향하는 양단에서 제1 수평방향으로 각각 연장되는 싱글 디퓨전 브레이크들이 형성된 제2 FEOL 영역, 및 제2 FEOL 영역 상의 제2 BEOL 영역을 포함하는 제2 표준 셀을 정의하는 출력 라이브러리를 생성하는 단계를 포함할 수 있고, 출력 라이브러리는, 제2 수평방향으로 돌출된 제2 BEOL 영역의 처마 부분 및 제2 수평방향의 반대방향으로 돌출된 제2 FEOL 영역의 스텝 부분을 정의할 수 있다.According to an aspect of the present disclosure, a computer implemented method for generating a standard cell library defining a plurality of standard cells for generating a layout of an integrated circuit includes at least one gate line extending in a first horizontal direction. and a first FEOL region having double diffusion breaks respectively extending in a first horizontal direction at opposite ends in a second horizontal direction perpendicular to the first horizontal direction, and a first BEOL on the first FEOL region Receiving an input library defining a first standard cell including a region, and a single diffusion break each extending in a first horizontal direction at opposite ends of the first standard cell and providing the same function as the first standard cell generating an output library defining a second standard cell including a second FEOL region formed thereon, and a second BEOL region on the second FEOL region, the output library comprising: An eaves portion of the second BEOL region and a step portion of the second FEOL region protruding in a direction opposite to the second horizontal direction may be defined.
본 개시의 예시적 실시예에 따라, 집적 회로는 상호 중첩되는 일부분들을 각각 가지는 표준 셀들에 의해서 향상된 공간 효율성을 가질 수 있다.According to an exemplary embodiment of the present disclosure, an integrated circuit may have improved space efficiency by standard cells each having portions overlapping each other.
본 개시의 예시적 실시예에 따라, 집적 회로는 표준 셀에서 디퓨전 브레이크에 의한 경계 및 라우팅을 위한 경계를 분리함으로써 효율적인 구조 및 향상된 성능을 가지는 표준 셀을 포함할 수 있다.According to an exemplary embodiment of the present disclosure, an integrated circuit may include a standard cell having an efficient structure and improved performance by separating a boundary by diffusion break and a boundary for routing from the standard cell.
본 개시의 예시적 실시예에 따른 표준 셀은 검증이 완료된 표준 셀로부터 용이하게 생성될 수 있고, 이에 따라 집적 회로는 향상된 신뢰도를 가질 수 있으며, 집적 회로의 개발 기간이 단축될 수 있다.The standard cell according to the exemplary embodiment of the present disclosure may be easily generated from the verified standard cell, and accordingly, the integrated circuit may have improved reliability, and the development period of the integrated circuit may be shortened.
본 개시의 예시적 실시예들에서 얻을 수 있는 효과는 이상에서 언급한 효과들로 제한되지 아니하며, 언급되지 아니한 다른 효과들은 이하의 본 개시의 예시적 실시예들에 대한 기재로부터 본 개시의 예시적 실시예들이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 도출되고 이해될 수 있다. 즉, 본 개시의 예시적 실시예들을 실시함에 따른 의도하지 아니한 효과들 역시 본 개시의 예시적 실시예들로부터 당해 기술분야의 통상의 지식을 가진 자에 의해 도출될 수 있다.Effects obtainable in exemplary embodiments of the present disclosure are not limited to the above-mentioned effects, and other effects not mentioned are exemplary of the present disclosure from the description of exemplary embodiments of the present disclosure below. Embodiments can be clearly derived and understood by those of ordinary skill in the art. That is, unintended effects of carrying out the exemplary embodiments of the present disclosure may also be derived by those of ordinary skill in the art from the exemplary embodiments of the present disclosure.
본 명세서에 첨부된 도면들은 도해의 편의를 위하여 스케일에 맞지 아니할 수 있고, 구성요소들을 과장하거나 축소하여 도시할 수 있다.
도 1은 본 개시의 예시적 실시예에 따른 표준 셀들을 나타내는 도면이다.
도 2a 내지 도 2e는 본 개시의 예시적 실시예들에 따라 집적 회로에 포함된 표준 셀들 중 일부를 나타내는 도면들이다.
도 3은 본 개시의 예시적 실시예에 따른 집적 회로의 일부를 개략적으로 나타내는 도면이다.
도 4는 본 개시의 예시적 실시예에 따라 복수의 표준 셀들을 포함하는 집적 회로를 제조하는 방법을 나타내는 순서도이다.
도 5a 및 도 5b는 본 개시의 예시적 실시예에 따라 도 4의 표준 셀 라이브러리에 의해서 정의되는 표준 셀들의 예시들을 나타내는 도면들이다.
도 6은 본 개시의 예시적 실시예에 따라 도 4의 표준 셀 라이브러리에 의해서 정의되는 표준 셀들의 예시들을 나타내는 도면이다.
도 7은 본 개시의 예시적 실시예에 따라 표준 셀 라이브러리에 의해서 정의되는 표준 셀의 예시를 나타내는 도면이다.
도 8a 및 도 8b는 본 개시의 예시적 실시예에 따라 집적 회로의 레이아웃을 생성하는 방법의 예시를 나타낸다.
도 9a 내지 도 9c는 본 개시의 예시적 실시예에 따라 집적 회로의 레이아웃을 생성하는 방법의 예시를 나타낸다.
도 10a 및 도 10b는 본 개시의 예시적 실시예에 따라 집적 회로의 레이아웃을 생성하는 방법의 예시를 나타낸다.
도 11a 및 도 11b는 본 개시의 예시적 실시예에 따라 집적 회로의 레이아웃을 생성하는 방법의 예시를 나타낸다.
도 12는 본 개시의 예시적 실시예에 따라 방향성을 가지는 표준 셀을 포함하는 표준 셀 라이브러리를 생성하는 방법을 나타내는 순서도이다.
도 13a 및 도 13b는 본 개시의 예시적 실시예에 따라 방향성을 가지는 표준 셀을 생성하는 방법의 예시를 나타낸다.
도 14는 본 개시의 예시적 실시예에 따라 방향성을 가지는 표준 셀을 생성하는 방법을 나타내는 순서도이다.
도 15a 및 도 15b는 본 개시의 예시적 실시예에 따라 방향성을 가지는 표준 셀을 생성하는 방법의 예시를 나타낸다.
도 16a 및 도 16b는 본 개시의 예시적 실시예에 따라 방향성을 가지는 표준 셀을 생성하는 방법의 예시를 나타낸다.
도 17a 및 도 17b는 본 개시의 예시적 실시예에 따라 방향성을 가지는 표준 셀을 생성하는 방법의 예시를 나타낸다.
도 18은 본 개시의 예시적 실시예에 따라 방향성을 가지는 표준 셀들을 포함하는 집적 회로를 검증하는 방법을 나타내는 도면이다.
도 19는 본 개시의 예시적 실시예에 따른 시스템-온-칩(system on chip; SoC)을 나타내는 블록도이다.
도 20은 본 개시의 예시적 실시예에 따른 프로그램을 저장하는 메모리를 포함하는 컴퓨팅 시스템을 나타내는 블록도이다.The drawings attached to this specification may not fit to scale for convenience of illustration, and components may be exaggerated or reduced.
1 is a diagram illustrating standard cells according to an exemplary embodiment of the present disclosure.
2A to 2E are diagrams illustrating some of standard cells included in an integrated circuit according to exemplary embodiments of the present disclosure.
3 is a diagram schematically illustrating a part of an integrated circuit according to an exemplary embodiment of the present disclosure.
4 is a flowchart illustrating a method of manufacturing an integrated circuit including a plurality of standard cells according to an exemplary embodiment of the present disclosure.
5A and 5B are diagrams illustrating examples of standard cells defined by the standard cell library of FIG. 4 according to an exemplary embodiment of the present disclosure.
6 is a diagram illustrating examples of standard cells defined by the standard cell library of FIG. 4 according to an exemplary embodiment of the present disclosure.
7 is a diagram illustrating an example of a standard cell defined by a standard cell library according to an exemplary embodiment of the present disclosure.
8A and 8B show examples of a method for generating a layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
9A-9C show examples of a method for generating a layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
10A and 10B show examples of a method of generating a layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
11A and 11B show examples of a method of generating a layout of an integrated circuit according to an exemplary embodiment of the present disclosure.
12 is a flowchart illustrating a method of generating a standard cell library including standard cells having directionality according to an exemplary embodiment of the present disclosure.
13A and 13B show an example of a method of generating a standard cell having directionality according to an exemplary embodiment of the present disclosure.
14 is a flowchart illustrating a method of generating a standard cell having directionality according to an exemplary embodiment of the present disclosure.
15A and 15B show an example of a method for generating a standard cell having directionality according to an exemplary embodiment of the present disclosure.
16A and 16B show an example of a method for generating a standard cell having directionality according to an exemplary embodiment of the present disclosure.
17A and 17B show an example of a method for generating a standard cell having directionality according to an exemplary embodiment of the present disclosure.
18 is a diagram illustrating a method of verifying an integrated circuit including standard cells having directionality according to an exemplary embodiment of the present disclosure.
19 is a block diagram illustrating a system on a chip (SoC) according to an exemplary embodiment of the present disclosure.
20 is a block diagram illustrating a computing system including a memory for storing a program according to an exemplary embodiment of the present disclosure.
도 1은 본 개시의 예시적 실시예에 따른 표준 셀들을 나타내는 도면이다. 구체적으로, 표준 셀들(C11, C12, C13)은 입력 핀(A) 및 출력 핀(Y)을 가지는 인버터로서, 도 1의 상부에서 X축 및 Y축에 의해서 형성되는 평면상에서 제1 내지 제3 표준 셀(C11 내지 C13)의 평면도가 도시되고, 도 1의 하부에서 Y축 방향으로 바라본 제1 내지 제3 표준 셀(C11 내지 C13)의 측면도가 도시된다. 본 명세서에서 X축 및 Y축으로 이루어진 평면은 수평면으로 지칭될 수 있고, 다른 구성요소보다 상대적으로 +Z방향으로 배치된 구성요소는 다른 구성요소 위에 있는 것으로 지칭될 수 있으며, 다른 구성요소보다 상대적으로 -Z방향으로 배치된 구성요소는 다른 구성요소 아래에 있는 것으로 지칭될 수 있다. 또한, 특정 대상의 면적은 수평면과 평행한 면에서 차지하는 공간을 지칭할 수 있다.1 is a diagram illustrating standard cells according to an exemplary embodiment of the present disclosure. Specifically, the standard cells C11, C12, and C13 are inverters having an input pin A and an output pin Y, and first to third on a plane formed by the X and Y axes in the upper part of FIG. 1 . A top view of the standard cells C11 to C13 is shown, and side views of the first to third standard cells C11 to C13 viewed in the Y-axis direction are shown in the lower part of FIG. 1 . In this specification, a plane consisting of the X-axis and Y-axis may be referred to as a horizontal plane, and a component disposed in the +Z direction relative to other components may be referred to as being above other components, and relative to other components. As such, a component arranged in the -Z direction may be referred to as being under another component. Also, the area of a specific object may refer to a space occupied by a plane parallel to a horizontal plane.
표준 셀은 집적 회로에 포함되는 레이아웃의 단위로서, 집적 회로는 다수의 다양한 표준 셀들을 포함할 수 있다. 표준 셀들은 미리 정해진 규격을 준수하는 구조를 가질 수 있다. 예를 들면, 도 1에 도시된 바와 같이, 제1 내지 제3 표준 셀(C11 내지 C13)은 일정한 높이, 즉 Y축 방향의 일정한 길이를 가질 수 있고, Y축 방향으로 상호 이격되어 평행하게 X축 방향으로 연장되고, 전원 전압들이 각각 인가되는 한 쌍의 파워 레일들(VDD, GND)을 포함할 수 있다. 또한, 제1 내지 제3 표준 셀(C11 내지 C13)은 Y축 방향(또는 제1 수평방향)으로 연장되는 적어도 하나의 게이트 라인을 포함할 수 있고, X축 방향(또는 제2 수평방향)으로 연장되는 적어도 하나의 활성 영역 및 핀(fin)을 포함할 수 있다. 일부 실시예들에서, 활성 영역은 Si 또는 Ge와 같은 반도체, 또는 SiGe, SiC, GaAs, InAs이나 InP와 같은 화합물 반도체를 포함할 수도 있고, 도전 영역, 예컨대 불순물이 도핑된 웰(well), 불순물이 도핑된 구조물을 포함할 수도 있다. 게이트 라인은 일함수 금속 함유 레이어 및 갭필 금속막을 포함할 수 있다. 예를 들면, 일함수 금속 함유 레이어는, Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er 및 Pd 중 적어도 하나의 금속을 포함할 수 있고, 갭필 금속막은 W 막 또는 Al 막으로 이루어질 수 있다. 일부 실시예들에서, 게이트 라인들은 TiAlC/TiN/W의 적층 구조, TiN/TaN/TiAlC/TiN/W의 적층 구조, 또는 TiN/TaN/TiN/TiAlC/TiN/W의 적층 구조를 포함할 수 있다. 이하의 도면들에서 도해의 편의상 활성 영역 상의 핀(fin)은 도시되지 아니하나, 본 개시의 예시적 실시예들이 평면형 트랜지스터를 포함하는 표준 셀뿐만 아니라 핀펫(FinFET)을 포함하는 표준 셀에도 적용될 수 있는 점은 이해될 것이다.A standard cell is a unit of layout included in an integrated circuit, and the integrated circuit may include a plurality of various standard cells. The standard cells may have a structure conforming to a predetermined standard. For example, as shown in Figure 1, the first to third standard cells (C11 to C13) may have a constant height, that is, a constant length in the Y-axis direction, are spaced apart from each other in the Y-axis direction in parallel X It may include a pair of power rails VDD and GND extending in the axial direction and to which power voltages are respectively applied. In addition, the first to third standard cells C11 to C13 may include at least one gate line extending in the Y-axis direction (or in the first horizontal direction), and in the X-axis direction (or in the second horizontal direction). It may include at least one active region extending and a fin. In some embodiments, the active region may include a semiconductor such as Si or Ge, or a compound semiconductor such as SiGe, SiC, GaAs, InAs or InP, and a conductive region, such as a well doped with an impurity, an impurity It may also include doped structures. The gate line may include a work function metal-containing layer and a gap-fill metal layer. For example, the work function metal-containing layer may include at least one metal of Ti, W, Ru, Nb, Mo, Hf, Ni, Co, Pt, Yb, Tb, Dy, Er, and Pd, The metal film may be made of a W film or an Al film. In some embodiments, the gate lines may include a stacked structure of TiAlC/TiN/W, a stacked structure of TiN/TaN/TiAlC/TiN/W, or a stacked structure of TiN/TaN/TiN/TiAlC/TiN/W. there is. Although fins on the active region are not shown in the drawings for convenience of illustration, exemplary embodiments of the present disclosure may be applied to standard cells including flat transistors as well as standard cells including FinFETs. The point will be understood.
도 1을 참조하면, 제1 내지 제3 표준 셀(C11 내지 C13)은, 집적 회로에 배치되는 경우 인접한 다른 표준 셀과의 상호 영향을 감소시키기 위한 구조로서, 디퓨전 브레이크(diffusion break; DB)를 포함할 수 있다. 디퓨전 브레이크는 상호 인접한 표준 셀들 사이에서 확산(diffusion) 영역을 분리할 수 있다. 예를 들면, 도 1에 도시된 바와 같이, 제1 내지 제3 표준 셀들(C11, C12, C13)이 적어도 하나의 핀(fin)에 의해서 형성된 핀펫(FinFET)을 포함하는 경우, 디퓨전 브레이크(DB)는 상호 인접한 표준 셀들 사이에서 핀(fin)들을 분리할 수 있다. 또한, 도 1에 도시된 바와 상이하게, 표준 셀이 평면형(planner) 트랜지스터를 포함하는 경우, 디퓨전 브레이크(DB)는 확산 영역 및/또는 활성 영역의 적어도 일부를 제거함으로써 상호 인접한 표준 셀들 사이에서 확산 영역을 분리할 수 있다.Referring to FIG. 1 , first to third standard cells C11 to C13 have a structure for reducing mutual influence with other adjacent standard cells when disposed in an integrated circuit, and include a diffusion break (DB). may include A diffusion break may separate a diffusion region between standard cells adjacent to each other. For example, as shown in FIG. 1 , when the first to third standard cells C11 , C12 , and C13 include a FinFET formed by at least one fin, the diffusion break DB ) may separate fins between adjacent standard cells. Also, unlike shown in FIG. 1 , when the standard cell includes a planner transistor, the diffusion break DB diffuses between the standard cells adjacent to each other by removing at least a portion of the diffusion region and/or the active region. areas can be separated.
디퓨전 브레이크(DB)는 구조에 따라 더블 디퓨전 브레이크(double diffusion break; DDB) 및 싱글 디퓨전 브레이크(single diffusion break; SDB)를 포함할 수 있다. 예를 들면, 더블 디퓨전 브레이크(DDB)는 상호 인접한 2개의 게이트 라인들 또는 일련의 3개 이상의 게이트 라인들 아래에 형성될 수 있고, 약 1 CPP(contacted poly pitch) 이상의 폭, 즉 X축 방향의 길이를 가지면서 Y축 방향으로 연장될 수 있다. 싱글 디퓨전 브레이크(SDB)는 하나의 게이트 라인 아래에서 또는 하나의 게이트 라인이 제거된 영역에서 형성될 수 있고, Y축 방향으로 연장될 수 있다. 이에 따라, 더블 디퓨전 브레이크(DDB)는 상호 인접한 표준 셀들을 1 CPP 이상 이격시킬 수 있는 한편, 싱글 디퓨전 브레이크(SDB)는 상호 인접한 표준 셀들이 연속적으로 배치되도록 할 수 있다.The diffusion break DB may include a double diffusion break (DDB) and a single diffusion break (SDB) according to a structure. For example, the double diffusion break (DDB) may be formed under two gate lines adjacent to each other or a series of three or more gate lines, and has a width of about 1 CPP (contacted poly pitch) or more, that is, the X-axis direction. It may extend in the Y-axis direction while having a length. The single diffusion break SDB may be formed under one gate line or in a region in which one gate line is removed, and may extend in the Y-axis direction. Accordingly, the double diffusion break (DDB) may separate adjacent standard cells by 1 CPP or more, while the single diffusion break (SDB) may allow adjacent standard cells to be sequentially disposed.
도 1에 도시된 바와 같이 제1 내지 제3 표준 셀(C11 내지 C13)들은, FEOL(front end of line) 공정에 의해서 형성되는 FEOL 영역들(FR11, FR12, FR13) 및 BEOL(back end of line) 공정에 의해서 형성되는 BEOL 영역들(BR11, BR12, BR13)을 포함할 수 있고, BEOL 영역들(BR11, BR12, BR13)은 FEOL 영역들(FR11, FR12, FR13) 위에 있을 수 있다. 예를 들면, 표준 셀의 FEOL 영역은 기판, 활성 영역, 핀(fin), 컨택을 포함할 수 있고, 트랜지스터, 디퓨전 브레이크 등이 형성될 수 있다. 또한, 표준 셀의 BEOL 영역은 비아, 금속층을 포함할 수 있고, 표준 셀의 BEOL 영역에 표준 셀의 입력 핀(pin), 출력 핀(pin) 및 내부 신호를 위한 상호 연결이 형성될 수 있다. 게이트 라인 및 핀(fin)들에 연결되는 컨택은 FEOL 공정 및 BEOL 공정과 구분되는 MOL(middle of line) 공정에 의해서 형성되는 것으로 분류될 수 있으나, 본 명세서에서 컨택은 FEOL 영역에 포함되는 것으로 가정된다. 또한, 본 명세서의 도면들에서, 컨택 및 제1 금속층(M1)의 패턴을 연결하는 비아(V0)는 도해의 편의상 제1 금속층(M1)의 패턴 상에 도시되나, 비아(V0)는 컨택 및 제1 금속층(M1) 사이에 배치되는 점이 유의된다.As shown in FIG. 1 , the first to third standard cells C11 to C13 are FEOL regions FR11 , FR12 , FR13 formed by a front end of line (FEOL) process and a back end of line (BEOL). ) may include BEOL regions BR11 , BR12 , and BR13 formed by the process, and the BEOL regions BR11 , BR12 , and BR13 may be on the FEOL regions FR11 , FR12 , and FR13 . For example, the FEOL region of a standard cell may include a substrate, an active region, a fin, a contact, and a transistor, a diffusion break, etc. may be formed therein. In addition, the BEOL region of the standard cell may include a via and a metal layer, and an input pin, an output pin, and an interconnect for an internal signal of the standard cell may be formed in the BEOL region of the standard cell. The contact connected to the gate line and the fins may be classified as being formed by a middle of line (MOL) process that is different from the FEOL process and the BEOL process, but it is assumed herein that the contact is included in the FEOL region. do. In addition, in the drawings of the present specification, the via V0 connecting the contact and the pattern of the first metal layer M1 is shown on the pattern of the first metal layer M1 for convenience of illustration, but the via V0 is the contact and Note that it is disposed between the first metal layers M1.
더블 디퓨전 브레이크(DDB)는 반도체 공정상 일부 이슈들을 해소함으로써 집적 회로를 제조하는 측면에서 유리한 한편, 싱글 디퓨전 브레이크(SDB)에 비해 낮은 공간 효율성을 제공할 수 있다. 또한, 싱글 디퓨전 브레이크(SDB)는 더블 디퓨전 브레이크(DDB)에 비해 높은 공간 효율성을 제공할 수 있는 한편, 반도체 공정상 일부 이슈들을 발생시키거나 표준 셀의 상대적으로 열화된 성능을 제공할 수 있다. 예를 들면, 도 1에 도시된 바와 같이, 제1 표준 셀(C11)은, 상호 인접한 표준 셀들과 더블 디퓨전 브레이크(DDB)들을 형성하도록, X축 방향으로 마주보는 양단에서 1/2 CPP의 폭으로 Y축 방향으로 연장되는 DDB 영역들(DR11a, DR11b)을 포함할 수 있다. 또한, 제2 표준 셀(C12)은, 상호 인접한 표준 셀들과 싱글 디퓨전 브레이크(SDB)들을 형성하도록, X축 방향으로 마주보는 양단에서 게이트 라인의 위치에서 Y축 방향으로 연장되는 싱글 디퓨전 브레이크(SDB) 영역들(SR12a, SR12b)을 포함할 수 있다. 이에 따라, 제1 표준 셀(C11)은 3 CPP의 폭(즉, X축 방향의 길이)을 가질 수 있는 한편, 제2 표준 셀(C12)은 2 CPP의 폭을 가질 수 있다.The double diffusion brake (DDB) is advantageous in terms of manufacturing an integrated circuit by solving some issues in the semiconductor process, while providing lower space efficiency compared to the single diffusion brake (SDB). In addition, the single diffusion brake (SDB) may provide high space efficiency compared to the double diffusion brake (DDB), while generating some issues in the semiconductor process or may provide relatively deteriorated performance of the standard cell. For example, as shown in FIG. 1 , the first standard cell C11 has a width of 1/2 CPP at both ends facing in the X-axis direction to form double diffusion breaks DDBs with the standard cells adjacent to each other. may include DDB regions DR11a and DR11b extending in the Y-axis direction. In addition, the second standard cell C12 has a single diffusion break SDB extending in the Y-axis direction from the position of the gate line at both ends facing the X-axis direction to form single diffusion breaks SDB with the standard cells adjacent to each other. ) regions SR12a and SR12b. Accordingly, the first standard cell C11 may have a width of 3 CPP (ie, a length in the X-axis direction), while the second standard cell C12 may have a width of 2 CPP.
도 1에 도시된 바와 같이, 제2 표준 셀(C12)의 경우, 출력 핀(Y)이, 제1 표준 셀(C11)보다 -X방향으로 이동될 수 있고, 이에 따라 입력 핀(A) 역시 -X방향으로 이동될 수 있다. 입력 핀(pin) 및 게이트 라인을 연결하기 위하여, 컨택(또는 게이트 컨택)(CB12)은 X축 방향으로 연장될 수 있고, 오프셋 컨택으로 지칭될 수 있다. 도 1에 도시된 바와 같이, 컨택(CB12)은 Y축 방향으로 특정 길이를 가질 수 있고, 이에 따라 컨택(CB12) 주변의 핀(fin)들 및 핀(fin)들에 연결되는 컨택(또는 활성 컨택)간의 거리를 확보하기 위하여, 활성 영역상의 핀(fin)들의 개수가 제1 표준 셀(C11)에 비해서 감소할 수 있다. 즉, 제1 표준 셀(C11)은 활성 영역상에서 총 6개의 핀들(F11 내지 F16)을 포함할 수 있는 한편, 제2 표준 셀(C12)은 활성 영역 상에서 총 4개의 핀들(F11, F12, F15, F16)을 포함할 수 있다. 핀(fin)들의 감소된 개수에 기인하여, 제2 표준 셀(C12)은 제1 표준 셀(C11)과 상이한 특성을 가질 수 있다.As shown in FIG. 1 , in the case of the second standard cell C12 , the output pin Y may be moved in the -X direction rather than the first standard cell C11 , and accordingly, the input pin A is also It can be moved in the -X direction. In order to connect the input pin and the gate line, the contact (or gate contact) CB12 may extend in the X-axis direction and may be referred to as an offset contact. As shown in FIG. 1 , the contact CB12 may have a specific length in the Y-axis direction, and accordingly, fins around the contact CB12 and the contact (or active) connected to the fins. In order to secure a distance between the contacts), the number of fins on the active region may be reduced compared to that of the first standard cell C11 . That is, the first standard cell C11 may include a total of six fins F11 to F16 on the active region, while the second standard cell C12 includes a total of four fins F11, F12, and F15 on the active region. , F16) may be included. Due to the reduced number of fins, the second standard cell C12 may have different characteristics from the first standard cell C11 .
본 개시의 예시적 실시예에 따라, 표준 셀은 X축 방향으로 돌출된 BEOL 영역의 처마(eaves) 부분 및/또는 X축 방향으로 돌출된 FEOL 영역의 스텝(step) 부분을 포함할 수 있다. 예를 들면, 도 1의 제3 표준 셀(C13)은 BEOL 영역(BR13)의 처마 부분(┏)을 포함할 수 있고, FEOL 영역(FR13)의 스텝 부분(┛)을 포함할 수 있다. 제3 표준 셀(C13)의 처마 부분(┏) 및 스텝 부분(┛)은 X축 방향으로 동일한 길이(D)를 가질 수 있다. 이에 따라, 제3 표준 셀(C13)은 더블 디퓨전 브레이크(DDB) 영역들(DR11a, DR11b)을 포함하는 제1 표준 셀(C11)과 동일한 패턴들을 포함하는 BEOL 영역(BR13)을 가지면서도 싱글 디퓨전 브레이크(SDB)를 위한 싱글 디퓨전 브레이크(SDB) 영역들(SR13a, SR13b)을 포함할 수 있다.According to an exemplary embodiment of the present disclosure, the standard cell may include an eaves portion of the BEOL region protruding in the X-axis direction and/or a step portion of the FEOL region protruding in the X-axis direction. For example, the third standard cell C13 of FIG. 1 may include the eaves portion ┏ of the BEOL region BR13 and may include the step portion ┛ of the FEOL region FR13. The eaves portion ┏ and the step portion ┛ of the third standard cell C13 may have the same length D in the X-axis direction. Accordingly, the third standard cell C13 has the BEOL region BR13 including the same patterns as the first standard cell C11 including the double diffusion break (DDB) regions DR11a and DR11b while having a single diffusion It may include single diffusion break SDB regions SR13a and SR13b for the break SDB.
일부 실시예들에서, 표준 셀의 처마 부분은 제1 금속층(M1) 및 그 위의 층들을 포함할 수 있다. 즉, 이하에서 본 개시의 예시적 실시예들은, 비아(V0) 및 그 위의 층들을 포함하는 BEOL 영역이 돌출된 처마 부분을 포함하는 것으로 설명되나, 본 개시의 예시적 실시예들은 이에 제한되지 아니하며, 처마 부분은 제1 금속층(M1) 및 그 위의 층들을 포함할 수 있고, 비아(V0)는 FEOL 영역의 평면상 경계 내에 배치될 수 있고, 일부 실시예들에서 스텝 부분에 포함될 수도 있다.In some embodiments, the eaves portion of the standard cell may include the first metal layer M1 and the layers thereon. That is, in the following exemplary embodiments of the present disclosure, the BEOL region including the via (V0) and the layers thereon includes a protruding eaves portion, but the exemplary embodiments of the present disclosure are not limited thereto. No, the eaves portion may include the first metal layer M1 and layers thereon, and the via V0 may be disposed within a planar boundary of the FEOL region, and may be included in the step portion in some embodiments .
도 2a 등을 참조하여 후술되는 바와 같이, 제3 표준 셀(C13)의 처마 부분(┏)은 인접한 표준 셀의 스텝 부분과 Z축 방향(또는 수직방향)으로 중첩될 수 있고, 이와 같이 배치된 표준 셀들은 향상된 공간 효율성을 제공하면서도, 더블 디퓨전 브레이크(DDB) 영역을 포함하는 표준 셀(예컨대, 제1 표준 셀(C11))과 실질적으로 동일한 특성을 가질 수 있다. 즉, 표준 셀들은 처마 부분 및 스텝 부분이 돌출된 방향에 따라 방향성(orientation)을 가질 수 있고, 동일한 방향성을 가지는 표준 셀들이 연속적으로 배치될 수 있다. 또한, 도 1에 도시된 바와 같이 제3 표준 셀(C13)은 제1 표준 셀(C11)로부터 용이하게 도출될 수 있으므로, 도 12등을 참조하여 후술되는 바와 같이 반도체 공정의 가동 초기에 개발되고 검증된 더블 디퓨전 브레이크(DDB)를 위한 표준 셀들로부터 싱글 디퓨전 브레이크(SDB)를 지원하는 표준 셀들이 용이하게 생성될 수 있다.As will be described later with reference to FIG. 2A and the like, the eaves ┏ of the third standard cell C13 may overlap with the step portion of the adjacent standard cell in the Z-axis direction (or in the vertical direction), and arranged in this way The standard cells may have substantially the same characteristics as a standard cell (eg, the first standard cell C11 ) including a double diffusion break (DDB) region while providing improved space efficiency. That is, standard cells may have an orientation according to a direction in which the eaves and step portions protrude, and standard cells having the same orientation may be sequentially disposed. In addition, since the third standard cell C13 can be easily derived from the first standard cell C11 as shown in FIG. 1 , it is developed at the beginning of the operation of the semiconductor process as will be described later with reference to FIG. 12 and the like. Standard cells supporting the single diffusion break (SDB) may be easily generated from the verified standard cells for the double diffusion break (DDB).
도 2a 내지 도 2e는 본 개시의 예시적 실시예들에 따라 집적 회로에 포함된 표준 셀들 중 일부를 나타내는 도면들이다. 구체적으로, 도 2a 내지 도 2e는 집적 회로에서 X축 및 Z축으로 형성되는 평면으로 표준 셀들을 자른 단면의 예시들을 나타내고, 도 1을 참조하여 전술된 바와 같이, '┏' 및 '┓'은 BEOL 영역의 처마 부분을 나타내고, '┛' 및 '┗'은 FEOL 영역의 스텝 부분을 나타낸다.2A to 2E are diagrams illustrating some of standard cells included in an integrated circuit according to exemplary embodiments of the present disclosure. Specifically, FIGS. 2A to 2E show examples of cross-sections in which standard cells are cut in a plane formed along the X and Z axes in an integrated circuit, and as described above with reference to FIG. 1 , '┏' and '┓' are The eave part of the BEOL area is indicated, and '┛' and '┗' indicate the step portion of the FEOL region.
도 2a를 참조하면, 일부 실시예들에서 표준 셀의 처마 부분이 인접한 표준 셀의 스텝 부분과 Z축 방향(또는 수직방향)으로 중첩되도록 표준 셀들이 배치될 수 있다. 예를 들면, 도 2a에 도시된 바와 같이, 제1 표준 셀(C21a)의 처마 부분(┏)은 제2 표준 셀(C22a)의 스텝 부분(┛)과 Z축 방향으로 중첩될 수 있고, 제2 표준 셀(C22a)의 처마 부분(┏)은 제3 표준 셀(C23a)의 스텝 부분(┛)과 Z축 방향으로 중첩될 수 있다. 도 2a에 도시된 바와 같이 일련의 표준 셀들이 배치되기 위하여 표준 셀들 각각은 서로 반대방향으로 돌출된 처마 부분(┏) 및 스텝 부분(┛)을 포함할 수 있다. 예를 들면, 제1 내지 제3 표준 셀(C21a 내지 C23a)은 +X방향으로 돌출된 처마 부분들(┏) 및 -X방향으로 돌출된 스텝 부분들(┛)을 포함할 수 있다. 본 명세서에서, +X방향으로 돌출된 처마 부분 및 -X방향으로 돌출된 스텝 부분을 가지는 표준 셀(예컨대, C21a 내지 C23a)은 +X 방향성을 가지는 것으로 지칭될 수 있는 한편, -X방향으로 돌출된 처마 부분 및 +X방향으로 돌출된 스텝 부분을 가지는 표준 셀(예컨대, 도 2b의 C23b)은 -X 방향성을 가지는 것으로 지칭될 수 있다.Referring to FIG. 2A , in some embodiments, standard cells may be arranged such that the eaves portion of the standard cell overlaps the step portion of the adjacent standard cell in the Z-axis direction (or the vertical direction). For example, as shown in Figure 2a, the eaves portion (┏) of the first standard cell (C21a) may overlap with the step portion (┛) of the second standard cell (C22a) in the Z-axis direction, The eaves portion ┏ of the second standard cell C22a may overlap the step portion ┛ of the third standard cell C23a in the Z-axis direction. As shown in FIG. 2A , in order to arrange a series of standard cells, each of the standard cells may include an eaves portion ┏ and a step portion ┛ protruding in opposite directions to each other. For example, the first to third standard cells C21a to C23a may include eaves portions ┏ protruding in the +X direction and step portions ┛ protruding in the -X direction. In this specification, standard cells (eg, C21a to C23a) having a eaves portion projecting in the +X direction and a step portion projecting in the -X direction may be referred to as having a +X direction, while projecting in the -X direction A standard cell (eg, C23b in FIG. 2B ) having a raised eaves portion and a step portion protruding in the +X direction may be referred to as having a -X direction.
일부 실시예들에서, +X 방향성에 따라 배치된 표준 셀들의 FEOL 영역들 사이에서 싱글 디퓨전 브레이크(SDB)가 형성될 수 있다. 예를 들면 도 2a에 도시된 바와 같이, 제1 및 제2 표준 셀(C21a, C22a)의 FEOL 영역들 사이에서 싱글 디퓨전 브레이크(SDB)가 형성될 수 있고, 싱글 디퓨전 브레이크(SDB)는 Y축 방향으로 연장될 수 있다. 또한, 일부 실시예들에서, 제2 및 제3 표준 셀(C22a, C23a)의 FEOL 영역들 사이와 같이, 디퓨전 브레이크 없이 인접한 표준 셀들의 활성 영역 및/또는 핀(fin)은 연결될 수도 있다. 이하의 도면들에서, 표준 셀의 경계에서 또는 인접한 표준 셀들의 FEOL 영역들 사이에서 싱글 디퓨전 브레이크(SDB)의 도시가 생략되나, 인접한 표준 셀들의 FEOL 영역들의 경계에서 싱글 디퓨전 브레이크(SDB)가 형성될 수도 있는 점이 유의된다.In some embodiments, a single diffusion break (SDB) may be formed between FEOL regions of standard cells arranged according to the +X direction. For example, as shown in FIG. 2A , a single diffusion break SDB may be formed between the FEOL regions of the first and second standard cells C21a and C22a, and the single diffusion break SDB is connected to the Y-axis direction can be extended. Further, in some embodiments, an active region and/or a fin of adjacent standard cells without a diffusion break may be connected, such as between the FEOL regions of the second and third standard cells C22a and C23a. In the drawings below, the illustration of a single diffusion break (SDB) is omitted at the boundary of a standard cell or between FEOL areas of adjacent standard cells, but a single diffusion break (SDB) is formed at the boundary of FEOL areas of adjacent standard cells Note that it may be
도 2b를 참조하면, 일부 실시예들에서 방향성을 전환하는 표준 셀이 상이한 방향성을 가지는 표준 셀들 사이에 배치될 수 있다. 예를 들면, 도 2b에 도시된 바와 같이, +X 방향성을 가지는 제1 표준 셀(C21b) 및 -X 방향성을 가지는 제3 표준 셀(C23b) 사이에 제2 표준 셀(C22b)이 배치될 수 있다. 제2 표준 셀(C22b)은 -X방향 및 +X방향으로 각각 돌출되는 스텝 부분들(┛, ┗)을 포함할 수 있고, 제2 표준 셀(C22b)의 스텝 부분들(┛, ┗)은 제1 및 제3 표준 셀(C21b, C23b)의 처마 부분들(┏, ┓)과 각각 Z축 방향으로 중첩될 수 있다. 이에 따라, 제2 표준 셀(C22b)에서 표준 셀들의 방향성이 전환될 수 있다. 본 명세서에서 제2 표준 셀(C22b)과 같이 표준 셀들의 방향성을 전환하는 표준 셀은 ±X 방향성을 가지는 것으로 지칭될 수 있고, 특히 수렴(convergence) 셀로서 지칭될 수 있다. 일부 실시예들에서, 제2 표준 셀(C22b)은 도 5b의 제3 표준 셀(C53)과 같이 입력 신호를 처리함으로써 출력 신호를 제공할 수도 있고, 도 5b의 제4 및 제5 표준 셀(C54, C55)과 같이 필러(filler) 셀일 수도 있다.Referring to FIG. 2B , in some embodiments, a standard cell for switching directions may be disposed between standard cells having different directions. For example, as shown in FIG. 2B , a second standard cell C22b may be disposed between a first standard cell C21b having a +X direction and a third standard cell C23b having a -X direction. there is. The second standard cell C22b may include step portions ┛ and ┗ respectively protruding in the -X direction and the +X direction, and the step portions ┛ and ┗ of the second standard cell C22b are The eaves parts ┏ and ┓ of the first and third standard cells C21b and C23b may overlap each other in the Z-axis direction. Accordingly, the direction of the standard cells in the second standard cell C22b may be switched. In the present specification, a standard cell that switches the direction of standard cells, such as the second standard cell C22b, may be referred to as having a ±X direction, and in particular, may be referred to as a convergence cell. In some embodiments, the second standard cell C22b may provide an output signal by processing the input signal like the third standard cell C53 of FIG. 5B, and the fourth and fifth standard cells (C53) of FIG. 5B ( It may be a filler cell, such as C54 and C55).
도 2c를 참조하면, 일부 실시예들에서 표준 셀들의 방향성이 전환되는 지점에서 더블 디퓨전 브레이크(DDB)가 형성될 수 있다. 예를 들면, 도 2c에 도시된 바와 같이, +X 방향성을 가지는 제1 표준 셀(C21c) 및 -X 방향성을 가지는 제2 표준 셀(C22c)의 처마 부분들(┏, ┓)이 인접하도록 제1 및 제2 표준 셀(C21c, C22c)이 배치될 수 있다. 이에 따라, 제1 및 제2 표준 셀(C21c, C22c)의 처마 부분들(┏, ┓) 아래, 즉 제1 및 제2 표준 셀(C21c, C22c)의 FEOL 영역들 사이 비어있는 공간에 더블 디퓨전 브레이크(DB21c)가 배치될 수 있다. 비록 도 2c에서 제1 및 제2 표준 셀(C21c, C22c)의 BEOL 영역들이 상호 접하는 것으로 도시되었으나, 일부 실시예들에서 상이한 방향성을 가지는 표준 셀들의 BEOL 영역들은, FEOL 영역들 사이 간격이 더블 디퓨전 브레이크(DDB)의 폭(예컨대, 1 CPP)이 되도록, 상호 이격될 수도 있다.Referring to FIG. 2C , in some embodiments, a double diffusion break DDB may be formed at a point where the directions of standard cells are switched. For example, as shown in FIG. 2c , the eaves parts (┏, ┓) of the first standard cell C21c having the +X direction and the second standard cell C22c having the -X direction are adjacent to each other. The first and second standard cells C21c and C22c may be disposed. Accordingly, double diffusion is performed in the empty space under the eaves portions ┏ and ┓ of the first and second standard cells C21c and C22c, that is, between the FEOL regions of the first and second standard cells C21c and C22c. A brake DB21c may be disposed. Although the BEOL regions of the first and second standard cells C21c and C22c are shown to be in contact with each other in FIG. 2C , in some embodiments, the BEOL regions of the standard cells having different orientations have a double diffusion interval between the FEOL regions. They may be spaced apart from each other so as to be the width of the brake DDB (eg, 1 CPP).
도 2d를 참조하면, 일부 실시예들에서 상이한 방향으로 돌출된 스텝 부분들을 각각 가지는 표준 셀들이 접하도록, 표준 셀들이 배치될 수 있다. 예를 들면, 도 2d에 도시된 바와 같이, -X 방향성을 가지는 제1 표준 셀(C21d) 및 +X 방향성을 가지는 제2 표준 셀(C22d)의 스텝 부분들(┗, ┛)이 인접하도록 제1 및 제2 표준 셀(C21d, C22d)이 배치될 수 있다. 이에 따라, 제1 및 제2 표준 셀(C21d, C22d)의 FEOL 영역들의 스텝 부분들(┗, ┛) 위, 즉 제1 및 제2 표준 셀(C21d, C22d)의 BEOL 영역들 사이 비어있는 공간(B21d)이 발생할 수 있고, BEOL 영역의 공간(B21d)은 제1 및 제2 표준 셀(C21d, C22d)의 파워 레일들을 연결하는 패턴들을 포함할 수 있다.Referring to FIG. 2D , in some embodiments standard cells may be arranged such that standard cells each having step portions protruding in different directions abut. For example, as shown in FIG. 2D, the step portions ┗ and ┛ of the first standard cell C21d having the -X direction and the second standard cell C22d having the +X direction are adjacent to each other. The first and second standard cells C21d and C22d may be disposed. Accordingly, the empty space above the step portions ┗ and ┛ of the FEOL regions of the first and second standard cells C21d and C22d, that is, between the BEOL regions of the first and second standard cells C21d and C22d. (B21d) may occur, and the space B21d of the BEOL region may include patterns connecting the power rails of the first and second standard cells C21d and C22d.
도 2e를 참조하면, 도 2b의 예시와 유사하게, 일부 실시예들에서 방향성을 전환하는 표준 셀이 상이한 방향성을 가지는 표준 셀들 사이에 배치될 수 있다. 예를 들면, 도 2e에 도시된 바와 같이, -X 방향성을 가지는 제1 표준 셀(C21e) 및 +X 방향성을 가지는 제3 표준 셀(C23e) 사이에 제2 표준 셀(C22e)이 배치될 수 있다. 제2 표준 셀(C22e)은 -X 방향 및 +X 방향으로 각각 돌출되는 처마 부분들(┓, ┏)을 포함할 수 있고, 제2 표준 셀(C22e)의 처마 부분들(┓, ┏)은 제1 및 제3 표준 셀(C21e, C23e)의 스텝 부분들(┗, ┛)과 각각 Z축 방향으로 중첩될 수 있다. 이에 따라, 제2 표준 셀(C22e)에서 표준 셀의 방향성이 전환될 수 있고, 제2 표준 셀(C22e)은 ±X 방향성을 가지는 것으로 지칭될 수 있고, 특히 발산(divergence) 셀로서 지칭될 수 있다. 도 2b의 제2 표준 셀(C22b)과 유사하게, 도 2e의 제2 표준 셀(C22e) 또한 입력 신호를 처리함으로써 출력 신호를 제공할 수도 있고, 필러(filler) 셀일 수도 있다.Referring to FIG. 2E , similar to the example of FIG. 2B , in some embodiments, a standard cell for switching a direction may be disposed between standard cells having different directions. For example, as shown in FIG. 2E , a second standard cell C22e may be disposed between a first standard cell C21e having a -X direction and a third standard cell C23e having a +X direction. there is. The second standard cell C22e may include eaves portions ┓ and ┏ respectively protruding in the -X direction and the +X direction, and the eaves portions ┓ and ┏ of the second standard cell C22e are The first and third standard cells C21e and C23e may overlap the step portions ┗ and ┛ in the Z-axis direction, respectively. Accordingly, the direction of the standard cell may be switched in the second standard cell C22e, and the second standard cell C22e may be referred to as having a ±X direction, and in particular may be referred to as a divergence cell. there is. Similar to the second standard cell C22b of FIG. 2B , the second standard cell C22e of FIG. 2E may also provide an output signal by processing an input signal, or may be a filler cell.
도 3은 본 개시의 예시적 실시예에 따른 집적 회로(30)의 일부를 개략적으로 나타내는 도면이다. 도 1을 참조하여 전술된 바와 같이, 집적 회로(30)는 복수의 표준 셀들을 포함할 수 있고, 표준 셀들은 일정한 높이, 즉 Y축 방향의 길이를 가질 수 있다. 도 3을 참조하여 후술되는 바와 같이, 집적 회로(30)는 동일한 방향성을 가지고 연속적으로 배치된 복수의 표준 셀들을 포함할 수 있고, 이에 따라 표준 셀에서 성능의 열화를 방지하면서도 향상된 공간 효율성을 제공할 수 있다.3 is a diagram schematically illustrating a portion of an
일부 실시예들에서, 집적 회로(30)는 동일한 방향성을 가지고 연속적으로 배치된 표준 셀들을 포함할 수 있다. 예를 들면, 도 3에 도시된 바와 같이, 제1 로우(R31)에 배치된 표준 셀들은 +X 방향성(→)을 가질 수 있고, +X 방향으로 돌출된 처마 부분 및 -X 방향으로 돌출된 스텝 부분을 포함할 수 있다. 이에 따라, 제1 로우(R31)에서 하나의 표준 셀의 BEOL 영역(즉, 처마 부분)은 +X 방향으로 인접한 다른 표준 셀의 FEOL 영역(즉, 스텝 부분)과 Z축 방향으로 중첩될 수 있다. 유사하게, 제3 로우(R33)에 배치된 표준 셀들은 -X 방향성(←)을 가질 수 있고, -X 방향으로 돌출된 처마 부분 및 +X 방향으로 돌출된 스텝 부분을 포함할 수 있다. 이에 따라 제3 로우(R33)에서 하나의 표준 셀의 BEOL 영역(즉, 처마 부분)은 -X 방향으로 인접한 다른 표준 셀의 FEOL 영역(즉, 스텝 부분)과 Z축 방향으로 중첩될 수 있다.In some embodiments, the
일부 실시예들에서, 집적 회로(30)는 하나의 로우에서 상이한 방향성을 가지는 표준 셀들을 포함할 수 있다. 예를 들면, 도 3에 도시된 바와 같이, 제2 로우(R32)에 배치된 표준 셀들은, -X 방향성(←)을 가지는 일련의 표준 셀들 및 +X 방향성(→)을 가지는 일련의 표준 셀들을 포함할 수 있다. 상이한 방향성을 가지는 표준 셀이 만나는 지점(X31)에서, 집적 회로(30)는 방향성을 전환하는 표준 셀(예컨대, 도 2e의 C22e)을 포함할 수도 있고, 상호 인접한 표준 셀들의 파워 레일들을 연결하는 패턴들을 포함할 수도 있다. 유사하게, 제4 로우(R34)에서 +X 방향성(→)을 가지는 표준 셀들 사이에 -X 방향성(←)을 가지는 표준 셀들이 배치될 수도 있다.In some embodiments, integrated
도 4는 본 개시의 예시적 실시예에 따라 복수의 표준 셀들을 포함하는 집적 회로를 제조하는 방법을 나타내는 순서도이다.4 is a flowchart illustrating a method of manufacturing an integrated circuit including a plurality of standard cells according to an exemplary embodiment of the present disclosure.
표준 셀 라이브러리(D42)는 복수의 표준 셀들에 관한 정보, 예컨대 기능 정보, 특성 정보, 레이아웃 정보 등을 포함할 수 있다. 도 4에 도시된 바와 같이, 표준 셀 라이브러리(D42)는, +X 방향성을 가지는 표준 셀들을 포함하는 제1 그룹(D42_1), -X 방향성을 가지는 표준 셀들을 포함하는 제2 그룹(D42_2) 및 ±X 방향성을 가지는 표준 셀들을 포함하는 제3 그룹(D42_3)을 정의할 수 있다.The standard cell library D42 may include information about a plurality of standard cells, such as function information, characteristic information, and layout information. As shown in FIG. 4 , the standard cell library D42 includes a first group D42_1 including standard cells having a +X direction, a second group D42_2 including standard cells having a -X direction, and A third group D42_3 including standard cells having ±X direction may be defined.
단계 S410에서, RTL 데이터(D41)로부터 네트리스트 데이터(D43)를 생성하는 논리 합성 동작이 수행될 수 있다. 예를 들면, 반도체 설계 툴(예컨대, 논리 합성 툴)은 VHDL(VHSIC Hardware Description Language) 및 Verilog와 같은 HDL(Hardware Description Language)로서 작성된 RTL 데이터(D41)로부터 표준 셀 라이브러리(D42)를 참조하여 논리 합성을 수행함으로써, 비트스트림(bitstream) 또는 네트리스트를 포함하는 네트리스트 데이터(D43)를 생성할 수 있다. 표준 셀 라이브러리(D42)는 동일한 기능을 제공하면서도 상이한 방향성을 가지는 복수의 표준 셀들을 정의할 수도 있고, 동일한 기능 및 방향성을 가지면서도 상이한 경계 구조를 가지는 복수의 표준 셀들을 정의할 수도 있다. 이에 따라, 표준 셀들은 동일한 기능을 제공하면서도 상이한 특성을 가질 수 있고 표준 셀 라이브러리(D42)는 표준 셀의 특성에 관한 정보를 포함할 수 있다. 논리 합성 과정에서 그러한 정보를 참조하여 표준 셀들이 집적 회로에 포함될 수 있다.In operation S410 , a logic synthesis operation for generating the netlist data D43 from the RTL data D41 may be performed. For example, a semiconductor design tool (eg, a logic synthesis tool) refers to a standard cell library D42 from RTL data D41 written as VHDL (VHSIC Hardware Description Language) and HDL (Hardware Description Language) such as Verilog to perform logic By performing the synthesis, it is possible to generate the bitstream or netlist data D43 including the netlist. The standard cell library D42 may define a plurality of standard cells having different directions while providing the same function, or may define a plurality of standard cells having the same function and direction but different boundary structures. Accordingly, the standard cells may have different characteristics while providing the same function, and the standard cell library D42 may include information about the characteristics of the standard cell. Standard cells can be incorporated into integrated circuits by referring to such information during logic synthesis.
단계 S420에서, 네트리스트 데이터(D43)로부터 레이아웃 데이터(D44)를 생성하는 배치 및 라우팅(Place & Routing; P&R) 동작이 수행될 수 있다. 도 4에 도시된 바와 같이, 배치 및 라우팅 단계(S420)는 복수의 단계들(S421, S422, S423)을 포함할 수 있다.In operation S420 , a Place & Routing (P&R) operation for generating the layout data D44 from the netlist data D43 may be performed. As shown in Figure 4, the arrangement and routing step (S420) may include a plurality of steps (S421, S422, S423).
단계 S421에서, 표준 셀들을 배치하는 동작이 수행될 수 있다. 예를 들면, 반도체 설계 툴(예컨대, P&R 툴)은 네트리스트 데이터(D41)로부터 표준 셀 라이브러리(D42)를 참조하여 복수의 표준 셀들을 배치할 수 있다. 전술된 바와 같이, 표준 셀들은 방향성을 가질 수 있고, 반도체 설계 툴은 표준 셀의 방향성에 기초하여 표준 셀을 배치할 수 있다. 예를 들면, 반도체 설계 툴은 표준 셀의 방향성에 기초하여 상호 인접한 표준 셀들의 FEOL 영역 및 BEOL 영역이 수직방향으로 중첩되도록 표준 셀을 배치할 수 있다. 또한, 표준 셀 라이브러리(D42)에 의해서 정의된 표준 셀의 경계 구조에 따라 표준 셀들을 배치한 후 표준 셀들 사이에 디퓨전 브레이크, 예컨대 더블 디퓨전 브레이크 또는 싱글 디퓨전 브레이크를 배치할 수 있다.In step S421, an operation of arranging standard cells may be performed. For example, a semiconductor design tool (eg, a P&R tool) may refer to the standard cell library D42 from the netlist data D41 to arrange a plurality of standard cells. As described above, the standard cells may have a directionality, and the semiconductor design tool may place the standard cells based on the directionality of the standard cell. For example, the semiconductor design tool may arrange the standard cell so that the FEOL area and the BEOL area of the standard cells adjacent to each other vertically overlap based on the directionality of the standard cell. In addition, after arranging standard cells according to the boundary structure of the standard cells defined by the standard cell library D42 , a diffusion break, for example, a double diffusion break or a single diffusion break, may be disposed between the standard cells.
단계 S422에서, 상호연결(interconnection)들을 생성하는 동작이 수행될 수 있다. 상호연결은 표준 셀의 출력 핀(pin) 및 입력 핀(pin)을 전기적으로 연결할 수 있고, 예컨대 적어도 하나의 비아 및 적어도 하나의 전도성 패턴을 포함할 수 있다. 상호연결들을 생성함으로써 표준 셀들은 라우팅될 수 있고, 예를 들면 도 2d에서 BEOL 영역의 공간(B21d)에서 제1 및 제2 표준 셀(C21d, C22d)의 파워 레일들을 연결하기 위한 상호연결들이 생성될 수 있다.In step S422, an operation of creating interconnections may be performed. The interconnect may electrically connect an output pin and an input pin of a standard cell, and may include, for example, at least one via and at least one conductive pattern. By creating interconnections the standard cells can be routed, for example interconnections are created for connecting the power rails of the first and second standard cells C21d, C22d in the space B21d of the BEOL area in FIG. 2d . can be
단계 S423에서, 레이아웃 데이터(D44)를 생성하는 동작이 수행될 수 있다. 레이아웃 데이터(D44)는, 예컨대 GDSII와 같은 포맷을 가질 수 있고, 표준 셀들 및 상호연결들의 기하학적 정보를 포함할 수 있다. In operation S423, an operation of generating the layout data D44 may be performed. The layout data D44 may have a format such as, for example, GDSII, and may include geometric information of standard cells and interconnections.
단계 S430에서, OPC(Optical Proximity Correction)가 수행될 수 있다. OPC는 집적 회로를 제조하기 위한 반도체 공정에 포함되는 포토리소그래피(photolithography)에서 빛의 특성에 기인하는 굴절 등의 왜곡 현상을 보정함으로써 원하는 모양의 패턴을 형성하기 위한 작업을 지칭할 수 있고, 레이아웃 데이터(D44)에 OPC가 적용됨으로써 마스크상의 패턴이 결정될 수 있다. 일부 실시예들에서, 집적 회로의 레이아웃은 단계 S430에서 제한적으로 변형될 수 있다. 예를 들면, 도 8a 내지 도 11b를 참조하여 후술되는 집적 회로의 레이아웃을 생성하는 방법의 예시들에 포함되는 적어도 하나의 단계들은, 일부 실시예들에서 단계 S420에 포함될 수도 있고, 일부 실시예들에서 단계 S430에 포함될 수도 있다. 단계 S430에서 집적 회로의 제한적으로 변형하는 것은 집적 회로의 구조를 최적화하기 위한 후처리로서, 디자인 폴리싱(design posishing)으로 지칭될 수 있다.In operation S430, optical proximity correction (OPC) may be performed. OPC may refer to an operation for forming a pattern of a desired shape by correcting a distortion phenomenon such as refraction caused by characteristics of light in photolithography included in a semiconductor process for manufacturing an integrated circuit, and layout data By applying OPC to (D44), the pattern on the mask can be determined. In some embodiments, the layout of the integrated circuit may be limitedly modified in step S430. For example, at least one step included in examples of a method of generating a layout of an integrated circuit described below with reference to FIGS. 8A-11B , may be included in step S420 in some embodiments, and in some embodiments It may be included in step S430. The limited deformation of the integrated circuit in operation S430 is a post-processing for optimizing the structure of the integrated circuit, and may be referred to as design posishing.
단계 S440에서, 마스크를 제작(manufacturing)하는 동작이 수행될 수 있다. 예를 들면, 레이아웃 데이터(D44)에 OPC를 적용함에 따라 복수의 층들에 형성된 패턴들을 형성하기 위하여 마스크상의 패턴들이 정의될 수 있고, 복수의 층들 각각의 패턴들을 형성하기 위한 적어도 하나의 마스크(또는, 포토마스크)가 제작될 수 있다.In operation S440, an operation of manufacturing a mask may be performed. For example, by applying OPC to the layout data D44, patterns on a mask may be defined to form patterns formed on a plurality of layers, and at least one mask (or at least one mask for forming patterns of each of the plurality of layers) , a photomask) can be fabricated.
단계 S450에서, 집적 회로를 제조(fabricating)하는 동작이 수행될 수 있다. 예를 들면, 단계 S440에서 제작된 적어도 하나의 마스크를 사용하여 복수의 층들이 패터닝됨으로써 집적 회로가 제조될 수 있다. 도 11a에 도시된 바와 같이, 단계 S450은 단계들(S451, S452)을 포함할 수 있다.In step S450, an operation of fabricating an integrated circuit may be performed. For example, an integrated circuit may be manufactured by patterning a plurality of layers using the at least one mask manufactured in operation S440 . 11A , step S450 may include steps S451 and S452.
단계 S451에서, FEOL(front-end-of-line) 공정이 수행될 수 있다. FEOL은 집적 회로 제조 과정에서 개별 소자들, 예컨대 트랜지스터, 캐패시터, 저항 등을 기판에 형성하는 과정을 지칭할 수 있다. 예를 들면, FEOL은 웨이퍼를 평탄화(planarization)하고 세정(cleaning)하는 단계, 트랜치(trench)를 형성하는 단계, 웰(well)을 형성하는 단계, 게이트(gate) 라인을 형성하는 단계, 소스 및 드레인을 형성하는 단계 등을 포함할 수 있다. 본 명세서에서 FEOL 공정에 의해서 형성되는 부분은 FEOL 영역으로서 지칭될 수 있고, 예컨대 활성 영역, 확산 영역, 게이트 라인, 컨택 등을 포함할 수 있다.In step S451, a front-end-of-line (FEOL) process may be performed. FEOL may refer to a process of forming individual devices, such as transistors, capacitors, resistors, etc., on a substrate in an integrated circuit manufacturing process. For example, FEOL includes the steps of planarization and cleaning of a wafer, forming a trench, forming a well, forming a gate line, a source and and forming a drain. A portion formed by the FEOL process herein may be referred to as a FEOL region, and may include, for example, an active region, a diffusion region, a gate line, a contact, and the like.
단계 S452에서, BEOL(back-end-of-line) 공정이 수행될 수 있다. BEOL은 집적 회로 제조 과정에서 개별 소자들, 예컨대 트랜지스터, 캐패시터, 저항 등을 상호연결하는 과정을 지칭할 수 있다. 예를 들면, BEOL은 게이트, 소스 및 드레인 영역을 실리사이드화(silicidation)하는 단계, 유전체를 부가하는 단계, 평탄화 단계, 홀을 형성하는 단계, 금속층을 부가하는 단계, 비아를 형성하는 단계, 패시베이션(passivation)층을 형성하는 단계 등을 포함할 수 있다. 본 명세서에서 BEOL 공정에 의해서 형성되는 부분은 BEOL 영역으로서 지칭될 수 있고, 예컨대 비아, 금속층 패턴 등을 포함할 수 있다. 그 다음에, 집적 회로는 반도체 패키지에 패키징될 수 있고, 다양한 어플리케이션의 부품으로서 사용될 수 있다.In step S452, a back-end-of-line (BEOL) process may be performed. BEOL may refer to a process of interconnecting individual devices, such as transistors, capacitors, resistors, and the like, in an integrated circuit manufacturing process. For example, BEOL may include silicidation of the gate, source and drain regions, adding a dielectric, planarizing, forming a hole, adding a metal layer, forming a via, passivation ( passivation) forming a layer, and the like. In the present specification, a portion formed by the BEOL process may be referred to as a BEOL region, and may include, for example, vias, metal layer patterns, and the like. The integrated circuit can then be packaged in a semiconductor package and used as a component in a variety of applications.
도 5a 및 도 5b는 본 개시의 예시적 실시예에 따라 도 4의 표준 셀 라이브러리(D42)에 의해서 정의되는 표준 셀들의 예시들을 나타내는 도면들이다. 구체적으로, 도 5a는 표준 셀 라이브러리(D42)의 제1 및 제2 그룹(D42_1, D42_2)에 각각 포함되는 표준 셀들을 나타내고, 도 5b는 표준 셀 라이브러리(D42)의 제3 그룹(D42_3)에 포함되는 표준 셀을 나타낸다. 도 4를 참조하여 전술된 바와 같이, 제1 내지 제3 그룹(D42_1 내지 D42_3)은 +X 방향성, -X 방향성 및 ±X 방향성을 가지는 표준 셀들을 각각 포함할 수 있다. 이하에서, 도 5a 및 도 5b는 도 4를 참조하여 설명될 것이다.5A and 5B are diagrams illustrating examples of standard cells defined by the standard cell library D42 of FIG. 4 according to an exemplary embodiment of the present disclosure. Specifically, FIG. 5A shows standard cells included in each of the first and second groups D42_1 and D42_2 of the standard cell library D42, and FIG. 5B is a third group D42_3 of the standard cell library D42. Indicates the included standard cell. As described above with reference to FIG. 4 , the first to third groups D42_1 to D42_3 may include standard cells each having a +X direction, a -X direction, and a ±X direction. Hereinafter, FIGS. 5A and 5B will be described with reference to FIG. 4 .
도 5a를 참조하면, 표준 셀 라이브러리(D42)는 동일한 인버터 기능을 제공하고 상이한 방향성을 가지는 표준 셀들을 정의할 수 있다. 예를 들면, 도 5a에 도시된 바와 같이, 제1 및 제2 표준 셀(C51, C52)은 입력 핀(A) 및 출력 핀(Y)을 가지는 인터버로서 동일한 기능을 제공하는 한편, 상이한 방향성을 가질 수 있다. 즉, 제1 표준 셀(C51)은 표준 셀 라이브러리(D42)의 제1 그룹(D42_1)에 포함될 수 있고, BEOL 영역(BR51)에서 +X 방향으로 돌출된 처마 부분(┏) 및 FEOL 영역(FR51)에서 -X 방향으로 돌출된 스텝 부분(┛)을 포함할 수 있다. 또한, 제2 표준 셀(C52)은 표준 셀 라이브러리(D42)의 제2 그룹(D42_2)에 포함될 수 있고, BEOL 영역(BF52)에서 -X 방향으로 돌출된 처마 부분(┓) 및 FEOL 영역(FR52)에서 +X 방향으로 돌출된 스텝 부분(┗)을 포함할 수 있다. Referring to FIG. 5A , the standard cell library D42 may define standard cells that provide the same inverter function and have different directions. For example, as shown in FIG. 5A , the first and second standard cells C51 and C52 serve the same function as an inverter with an input pin A and an output pin Y, while providing different orientations. can have That is, the first standard cell C51 may be included in the first group D42_1 of the standard cell library D42, and the eaves ┏ and the FEOL area FR51 protruding in the +X direction from the BEOL area BR51. ) may include a step portion (┛) protruding in the -X direction. In addition, the second standard cell C52 may be included in the second group D42_2 of the standard cell library D42, and the eave portion ┓ and the FEOL region FR52 protruding in the -X direction from the BEOL region BF52. ) may include a step portion (┗) protruding in the +X direction.
표준 셀 라이브러리(D42)는 동일한 기능을 제공하나 상이한 구조를 가지는 표준 셀들을 동일 기능 그룹으로서 정의할 수 있고, 하나의 동일 기능 그룹에 포함된 표준 셀들은, 일부 실시예들에서 상이한 방향성을 각각 가질 수도 있고, 도 6을 참조하여 후술되는 바와 같이, 일부 실시예들에서 상이한 경계 구조들을 가질 수도 있으며, 도 10b를 참조하여 후술되는 바와 같이, 일부 실시예들에서 상이한 핀(pin) 배치를 가질 수도 있다. 일부 실시예들에서, 동일 기능 그룹에 포함되고 상이한 방향성을 가지는 표준 셀들은 상호 대칭일 수도 있다. 예를 들면, 도 5a에 도시된 바와 같이, 제1 및 제2 표준 셀(C51, C52)은 Y축에 평행한 축을 중심으로 상호 대칭 관계에 있을 수 있다.The standard cell library D42 may define standard cells that provide the same function but have different structures as the same functional group, and the standard cells included in one and the same functional group may have different directions in some embodiments. may have different boundary structures in some embodiments, as described below with reference to FIG. 6, and may have a different pin arrangement in some embodiments, as described below with reference to FIG. 10B there is. In some embodiments, standard cells included in the same functional group and having different orientations may be symmetric to each other. For example, as shown in FIG. 5A , the first and second standard cells C51 and C52 may be in a symmetrical relationship with each other about an axis parallel to the Y-axis.
도 5b를 참조하면, 표준 셀 라이브러리(D42)는 제3 그룹(D42_3)에 포함되는 표준 셀들을 정의할 수 있다. 예를 들면, 제3 표준 셀(C53)은, 도 5a의 제1 및 제2 표준 셀(C51, C52)보다 높은 구동 능력(driving strength)을 가지는 인버터일 수 있다. 제4 및 제5 표준 셀(C54, C55)는 필러(filler) 셀로서, 고유한 기능, 예컨대 입력 신호를 처리함으로써 출력 신호를 생성하는 기능을 가지는 표준 셀들이 배치되고 남은 공간에 배치될 수 있다. 도 5b에 도시된 바와 같이, FEOL 영역이 BEOL 영역보다 큰 면적을 가지는 ±X 방향성의 표준 셀들은, 게이트 라인들의 개수에 비해 입력 핀(pin) 및 출력 핀(pin)의 개수가 상대적으로 적거나 표준 셀 내에 금속층의 패턴들이 적을 수 있다. 예를 들면, FEOL 영역이 BEOL 영역보다 큰 면적을 가지는 ±X 방향성의 표준 셀들은 상대적으로 높은 구동 능력을 가지는 기능 셀, 비기능(non-function) 셀을 포함할 수 있다. 비록 도 5b에서 도 2b의 제2 표준 셀(C22b)과 유사하게, FEOL 영역의 면적이 BEOL 영역의 면적보다 큰 표준 셀들이 도시되었으나, 제3 그룹(D42_3)은, 도 2e의 제2 표준 셀(C22e) 및 도 9c의 제7 표준 셀(C97)과 같이, BEOL 영역의 면적이 FEOL 영역의 면적보다 큰 표준 셀들도 포함할 수 있는 점은 이해될 것이다.Referring to FIG. 5B , the standard cell library D42 may define standard cells included in the third group D42_3. For example, the third standard cell C53 may be an inverter having a higher driving strength than the first and second standard cells C51 and C52 of FIG. 5A . The fourth and fifth standard cells C54 and C55 are filler cells, and may be disposed in a space remaining after standard cells having a unique function, for example, generating an output signal by processing an input signal, are disposed. . As shown in FIG. 5B , in the ±X-direction standard cells in which the FEOL region has a larger area than the BEOL region, the number of input pins and output pins is relatively small compared to the number of gate lines. There may be fewer patterns of the metal layer in the standard cell. For example, standard cells of the ±X direction in which the FEOL region has a larger area than the BEOL region may include a functional cell or a non-function cell having a relatively high driving capability. Although in FIG. 5B , similar to the second standard cell C22b of FIG. 2B , standard cells in which the area of the FEOL region is larger than the area of the BEOL region are illustrated, the third group D42_3 is the second standard cell of FIG. 2E . It will be understood that standard cells in which the area of the BEOL region is larger than that of the FEOL region may also be included, such as (C22e) and the seventh standard cell (C97) of FIG. 9C .
도 6은 본 개시의 예시적 실시예에 따라 도 4의 표준 셀 라이브러리(D42)에 의해서 정의되는 표준 셀들의 예시들을 나타내는 도면이다. 도 5a 및 도 5b를 참조하여 전술된 바와 같이, 표준 셀 라이브러리(D42)는 동일한 기능을 제공하나 상이한 구조를 가지는 동일 기능 그룹에 포함되는 표준 셀들을 정의할 수 있다.6 is a diagram illustrating examples of standard cells defined by the standard cell library D42 of FIG. 4 according to an exemplary embodiment of the present disclosure. As described above with reference to FIGS. 5A and 5B , the standard cell library D42 may define standard cells that provide the same function but are included in the same functional group having a different structure.
도 6을 참조하면, 표준 셀 라이브러리(D42)는 동일한 기능을 제공하나 상이한 경계 구조를 가지는 표준 셀들을 정의할 수 있다. 예를 들면, 제1 내지 제3 표준 셀(C61 내지 C63)은, 도 6의 상부에 도시된 평면도에 도시된 바와 같이, 입력 핀들(A, B, C, D) 및 출력 핀(Y)을 가지는 표준 셀로서 동일한 기능을 제공할 수 있는 한편, 도 6의 하부에 도시된 측면도에 도시된 바와 같이, 상이한 경계 구조들을 각각 가질 수 있다.Referring to FIG. 6 , the standard cell library D42 may define standard cells that provide the same function but have different boundary structures. For example, the first to third standard cells C61 to C63, as shown in the plan view shown in the upper part of Fig. 6, the input pins (A, B, C, D) and the output pin (Y) The branch may provide the same function as a standard cell, while each may have different boundary structures, as shown in the side view shown in the lower part of FIG. 6 .
제1 내지 제3 표준 셀(C61 내지 C63)은 BEOL 영역들(BR61, BR62, BR63)에서 +X 방향으로 돌출된 처마 부분들(┏) 및 FEOL 영역들(FR61, FR62, FR63)에서 -X 방향으로 돌출된 스텝 부분들(┛)을 포함할 수 있고, 이에 따라 동일한 방향성, 즉 +X 방향성을 가질 수 있는 한편, FEOL 영역들(FR61, FR62, FR63)에서 상이한 경계 구조들을 각각 가질 수 있다. 즉, 제1 표준 셀(C61)은 FEOL 영역(FR61)이 +X 방향으로 인접하게 배치되는 다른 표준 셀의 FEOL 영역과 연결되도록, 즉 활성 영역 및 핀(fin)들이 상호 연결되도록 하는 경계 구조(즉, 노-디퓨전 브레이크)를 가질 수 있다. 제2 표준 셀(C62)은 FEOL 영역(FR62)이 +X 방향으로 인접하게 배치되는 다른 표준 셀의 FEOL 영역과 더블 디퓨전 브레이크(DDB)에 의해서 분리되도록 하는 더블 디퓨전 브레이크(DDB) 영역(DR62)을 FEOL 영역(FR62)의 일단에서 포함할 수 있다. 제3 표준 셀(C63)은 FEOL 영역(FR63)이 +X 방향으로 인접하게 배치되는 다른 표준 셀의 FEOL 영역과 싱글 디퓨전 브레이크(SDB)에 의해서 분리되도록 하는 싱글 디퓨전 브레이크(SDB) 영역(SR63)을 FEOL 영역(FR63)의 일단에서 포함할 수 있다. 이에 따라, 도 4의 단계 S421에서 표준 셀들을 배치할 때, 상호 인접하게 배치되는 표준 셀들의 경계 구조들에 기초하여 표준 셀 라이브러리(D42)에서 정의된 동일 기능 그룹의 표준 셀들 중 적절한 표준 셀이 선택될 수 있다. 비록 도 6은 상이한 경계 구조들을 가지는 3개의 표준 셀들(C61 내지 C63)만이 도시되었으나, FEOL 영역의 양단에서 상이한 경계 구조들(예컨대, 노-디퓨전 브레이크, 더블 디퓨전 브레이크(DDB), 싱글 디퓨전 브레이크(SDB))의 조합들에 따라 추가적인 표준 셀들이 가능한 점은 이해될 것이다.The first to third standard cells (C61 to C63) are the eave portions (┏) protruding in the +X direction in the BEOL regions (BR61, BR62, BR63) and -X in the FEOL regions (FR61, FR62, FR63) may include step portions ┛ protruding in the direction and thus may have the same directionality, i.e. +X directionality, while each having different boundary structures in the FEOL regions FR61, FR62, FR63 . That is, the first standard cell C61 has a boundary structure such that the FEOL region FR61 is connected to the FEOL region of another standard cell adjacent in the +X direction, that is, the active region and the fins are interconnected ( That is, it may have a no-diffusion brake). The second standard cell C62 has a double diffusion break (DDB) area DR62 such that the FEOL area FR62 is separated from the FEOL area of another standard cell adjacent in the +X direction by a double diffusion break DDB. may be included at one end of the FEOL region FR62. The third standard cell C63 is a single diffusion break (SDB) area (SR63) such that the FEOL area (FR63) is separated from the FEOL area of another standard cell adjacent in the +X direction by a single diffusion break (SDB). may be included at one end of the FEOL region (FR63). Accordingly, when arranging the standard cells in step S421 of FIG. 4 , an appropriate standard cell among the standard cells of the same functional group defined in the standard cell library D42 is based on the boundary structures of the standard cells disposed adjacent to each other. can be selected. Although FIG. 6 shows only three standard cells (C61 to C63) having different boundary structures, different boundary structures (eg, no-diffusion break, double diffusion break (DDB), single diffusion break (DDB), at both ends of the FEOL region are shown. It will be understood that additional standard cells are possible depending on the combinations of SDB)).
도 7은 본 개시의 예시적 실시예에 따라 표준 셀 라이브러리에 의해서 정의되는 표준 셀의 예시를 나타내는 도면이다.7 is a diagram illustrating an example of a standard cell defined by a standard cell library according to an exemplary embodiment of the present disclosure.
본 개시의 예시적 실시예들에 따라, 표준 셀 라이브러리는 표준 셀의 방향성을 다양한 방식으로 정의할 수 있다. 일부 실시예들에서, 표준 셀 라이브러리는 방향성에 따라 표준 셀이 가지는 BEOL 영역 및 FEOL 영역의 형상을 정의할 수 있다. 예를 들면, 표준 셀 라이브러리는 처마 부분 및 스텝 부분의 형상을 정의함으로써 평면상에서 표준 셀의 BEOL 영역의 경계 및 FEOL 영역의 경계를 상이하게 정의할 수 있다. 일부 실시예들에서, 표준 셀 라이브러리는 가상의 레이어를 사용하여 방향성을 가지는 표준 셀을 정의할 수 있다. 예를 들면, 도 7에 도시된 바와 같이, 표준 셀 라이브러리는 평면상에서 제1 표준 셀(C71)의 BEOL 영역(BR71)의 경계 및 FEOL 영역(FR71)의 경계를 동일하게 정의하고, BEOL 영역(BR71) 및 FEOL 영역(FR71)에서 제거될 부분을 마킹 레이어들(ML71, ML72)로서 나타낼 수 있다. 표준 셀들을 배치하는 과정(예컨대, 도 4의 S421)에서, 마킹 레이어들(ML71, ML72)은 P&R 툴에 의해서 인식될 수 있고, 표준 셀의 방향성을 인식할 수 있다. 이하에서, 표준 셀들을 정의하는 표준 셀 라이브러리를 참조하여 집적 회로의 레이아웃을 생성하는 동작의 예시들이, 도면들을 참조하여 설명될 것이다. According to exemplary embodiments of the present disclosure, the standard cell library may define the directionality of the standard cell in various ways. In some embodiments, the standard cell library may define shapes of the BEOL region and the FEOL region of the standard cell according to a direction. For example, the standard cell library may differently define the boundary of the BEOL region and the FEOL region of the standard cell on a plane by defining the shapes of the eaves part and the step part. In some embodiments, the standard cell library may define a standard cell having directionality using a virtual layer. For example, as shown in FIG. 7 , the standard cell library equally defines the boundary of the BEOL region BR71 and the FEOL region FR71 of the first standard cell C71 on a plane, and the BEOL region ( BR71) and portions to be removed in the FEOL region FR71 may be represented as marking layers ML71 and ML72. In the process of disposing the standard cells (eg, S421 of FIG. 4 ), the marking layers ML71 and ML72 may be recognized by the P&R tool, and the directionality of the standard cells may be recognized. Hereinafter, examples of an operation of generating a layout of an integrated circuit with reference to a standard cell library defining standard cells will be described with reference to the drawings.
도 8a는 본 개시의 예시적 실시예에 따라 상이한 방향성을 가지고 상호 인접하게 배치되는 표준 셀들을 포함하는 집적 회로의 레이아웃을 생성하는 방법의 예시를 나타내는 순서도이고, 도 8b는 도 8a의 방법에 따라 생성되는 레이아웃의 예시를 나타낸다. 후술되는 바와 같이, 본 개시의 예시적 실시예에 따라, 상이한 방향성을 가지는 표준 셀들이 상호 인접하게 배치되고 표준 셀들의 경계 구조가 변경될 수 있다.8A is a flowchart illustrating an example of a method for generating a layout of an integrated circuit including standard cells disposed adjacent to each other with different orientations according to an exemplary embodiment of the present disclosure, and FIG. 8B is a method according to the method of FIG. 8A An example of the generated layout is shown. As will be described later, according to an exemplary embodiment of the present disclosure, standard cells having different directions may be disposed adjacent to each other and the boundary structure of the standard cells may be changed.
도 8a를 참조하면, 단계 S81에서 표준 셀들의 처마 부분들이 접하도록 표준 셀들을 배치하는 동작이 수행될 수 있다. 예를 들면, 도 8b의 좌측에 도시된 바와 같이, +X 방향성 및 -X방향성을 각각 가지고 FEOL 영역들(FR81, FR82)의 일단들에서 싱글 디퓨전 브레이크(SDB)를 형성하기 위한 SDB 영역들(SR81, SR82)을 포함하는, 제1 및 제2 표준 셀(C81, C82)이 인접하게 배치될 수 있다. 제1 및 제2 표준 셀(C81, C82)의 BEOL 영역들(BR81, BR82)은 상호 접할 수 있고, 이에 따라 FEOL 영역들(FR81, FR82) 사이 공간이 발생할 수 있다. Referring to FIG. 8A , in step S81, an operation of arranging standard cells so that the eaves of the standard cells are in contact may be performed. For example, as shown on the left side of FIG. 8B , SDB regions ( SDB regions for forming a single diffusion break (SDB) at one ends of the FEOL regions FR81 and FR82 with +X directionality and -X directionality, respectively) The first and second standard cells C81 and C82, including SR81 and SR82, may be disposed adjacently. The BEOL regions BR81 and BR82 of the first and second standard cells C81 and C82 may be in contact with each other, and thus a space may be generated between the FEOL regions FR81 and FR82.
도 8a를 다시 참조하면, 단계 S82에서 상호 마주보는 SDB 영역들을 제거하고 더블 디퓨전 브레이크(DDB)를 배치하는 동작이 수행될 수 있다. 예를 들면, 도 8b의 우측에 도시된 바와 같이, 제1 및 제2 표준 셀(C81, C82)의 FEOL 영역들(FR81, FR82)의 일단들에서 SDB 영역들(SR81, SR82)은 제거될 수 있고, FEOL 영역들(FR81, FR82) 사이에 더블 디퓨전 브레이크(DDB)(DB80)가 배치될 수 있다. 이에 따라, 제1 및 제2 표준 셀(C81, C82)의 성능에 영향을 미치지 아니하면서도, 싱글 디퓨전 브레이크(SDB)와 비교할 때 반도체 공정상 유리한 더블 디퓨전 브레이크(DDB)(DB80)가 생성될 수 있다. 도 8b에 도시된, SDB 영역들(SR81, SR82)의 제거 및 더블 디퓨전 브레이크(DDB)(DB80)의 배치 동작은, 제1 및 제2 표준 셀(C81, C82)이 배치되고 난 후 (예컨대, P&R 과정에서) 수행될 수도 있고, 라우팅이 완료된 집적 회로의 레이아웃에서 (예컨대, 디자인 폴리싱 과정에서) 수행될 수도 있다. 즉, 도 8a의 단계 S82는, 일부 실시예들에서 도 4의 단계 S420에 포함될 수도 있고, 일부 실시예들에서 도 4의 단계 S430에 포함될 수도 있다.Referring back to FIG. 8A , in step S82 , an operation of removing the SDB regions facing each other and disposing the double diffusion break DDB may be performed. For example, as shown on the right side of FIG. 8B , the SDB regions SR81 and SR82 at one end of the FEOL regions FR81 and FR82 of the first and second standard cells C81 and C82 are to be removed. Also, a double diffusion break (DDB) DB80 may be disposed between the FEOL regions FR81 and FR82. Accordingly, while not affecting the performance of the first and second standard cells C81 and C82, a double diffusion brake (DDB) (DB80) advantageous in semiconductor processing compared to the single diffusion brake (SDB) can be generated. there is. 8B , the removal of the SDB regions SR81 and SR82 and the arrangement of the double diffusion break (DDB) DB80 are performed after the first and second standard cells C81 and C82 are disposed (eg, , in a P&R process), or in a layout of an integrated circuit in which routing is completed (eg, in a design polishing process). That is, step S82 of FIG. 8A may be included in step S420 of FIG. 4 in some embodiments, and may be included in step S430 of FIG. 4 in some embodiments.
도 9a는 본 개시의 예시적 실시예에 따라 표준 셀 라이브러리에 의해서 정의되는 표준 셀들로서 일측에 파워 탭(power tap)을 포함하는 예시들을 나타내고, 도 9b는 본 개시의 예시적 실시예에 따라 상이한 방향성을 가지고 상호 인접하게 배치되는 표준 셀들이 병합된 구조를 포함하는 집적 회로의 레이아웃을 생성하는 방법의 예시를 나타내는 순서도이고, 도 9c는 도 9a의 방법에 따라 생성되는 레이아웃의 예시를 나타낸다. 후술되는 바와 같이, 본 개시의 예시적 실시예에 따라, 상이한 방향성을 가지는 표준 셀들이 상호 인접하게 배치되고, 표준 셀들의 일부들이 병합됨으로써 향상된 공간 효율성이 제공될 수 있다.9A shows examples including a power tap on one side as standard cells defined by a standard cell library according to an exemplary embodiment of the present disclosure, and FIG. 9B illustrates a different type according to an exemplary embodiment of the present disclosure. It is a flowchart illustrating an example of a method of generating a layout of an integrated circuit including a structure in which standard cells disposed adjacent to each other with directionality are merged, and FIG. 9C shows an example of a layout generated according to the method of FIG. 9A . As will be described later, according to an exemplary embodiment of the present disclosure, standard cells having different orientations are disposed adjacent to each other, and parts of the standard cells are merged to provide improved space efficiency.
도 9a를 참조하면, 표준 셀 라이브러리는 일측에 파워 탭이 배치된 표준 셀들을 정의할 수 있다. 예를 들면, 도 9b에 도시된 바와 같이, 제1 내지 제4 표준 셀(C91 내지 C94)은 +X 방향성을 가질 수 있고, 스텝 부분에 인접한 파워 탭들(PT91a, PT91b, PT92a, PT92b, PT93a, PT93b, PT94a, PT94b)을 포함할 수 있다. 파워 탭은 표준 셀에 전원 전압을 공급하는 경로를 제공하는 패턴을 지칭할 수 있다. 예를 들면, 파워 탭은 표준 셀에 포함된 트랜지스터의 소스에 연결된 컨택을 포함할 수 있고, 트랜지스터에 양의 공급 전압 또는 음의 공급 전압을 전달할 수 있다. 제1 내지 제4 표준 셀들(C91 내지 C94)은 스텝 부분에 인접하고 양의 공급 전압 및 음의 공급 전압을 트랜지스터들에 전달하는 컨택들 및 비아들을 포함할 수 있다. 비록 도 9a는 +X 방향성을 가지는 표준 셀들이 도시되나, 표준 셀 라이브러리는 -X 방향성을 가지고 스텝 부분에 인접한 파워 탭을 포함하는 표준 셀들, 예컨대 제1 내지 제4 표준 셀(C91 내지 C94)을 Y축에 평행한 축을 중심으로 대칭시킨 표준 셀들을 정의할 수 있다. 또한, 도 9a에서 파워 탭들(PT91a, PT91b, PT92a, PT92b, PT93a, PT93b, PT94a, PT94b)은 표준 셀의 스텝 부분에 인접하게 배치되었으나, 일부 실시예들에서 스텝 부분은 파워 탭의 적어도 일부를 포함할 수도 있다. 후술되는 바와 같이, 상호 인접한 표준 셀들이 병합됨으로써 파워 탭은 표준 셀들에 의해서 공유될 수 있고, 표준 셀들의 면적의 감소가 초래될 수 있다. 이에 따라, 일부 실시예들에서 방향성을 가지는 표준 셀들은 일측에 배치된 파워 탭을 가지도록 설계될 수 있으며, 이에 대한 자세한 내용은 도 17a 및 도 17b를 참조하여 후술될 것이다. Referring to FIG. 9A , the standard cell library may define standard cells in which a power tap is disposed on one side. For example, as shown in FIG. 9B , the first to fourth standard cells C91 to C94 may have a +X direction, and power taps PT91a, PT91b, PT92a, PT92b, PT93a, PT93b, PT94a, PT94b). The power tap may refer to a pattern that provides a path for supplying a power supply voltage to a standard cell. For example, a power tap may include a contact coupled to the source of a transistor included in a standard cell, and may deliver a positive or negative supply voltage to the transistor. The first to fourth standard cells C91 to C94 may include contacts and vias that are adjacent to the step portion and deliver a positive supply voltage and a negative supply voltage to the transistors. Although FIG. 9A shows standard cells having +X directionality, the standard cell library includes standard cells having -X directionality and including a power tap adjacent to a step portion, for example, first to fourth standard cells C91 to C94. Standard cells that are symmetric about an axis parallel to the Y axis can be defined. Also, in FIG. 9A , the power taps PT91a, PT91b, PT92a, PT92b, PT93a, PT93b, PT94a, and PT94b are disposed adjacent to the step portion of the standard cell, but in some embodiments, the step portion may include at least a portion of the power tap. may include As will be described later, since the standard cells adjacent to each other are merged, the power tap may be shared by the standard cells, and the area of the standard cells may be reduced. Accordingly, in some embodiments, standard cells having directionality may be designed to have a power tap disposed on one side, and details thereof will be described later with reference to FIGS. 17A and 17B .
도 9b를 참조하면, 단계 S91에서 표준 셀들의 파워 탭들이 상호 인접하도록 표준 셀들을 배치하는 동작이 수행될 수 있다. 예를 들면, 도 9c의 좌측에 도시된 바와 같이, 상이한 방향성을 각각 가지고 스텝 부분에 인접한 파워 탭들(PT95a, PT95b, PT96a, PT96b)을 각각 포함하는, 제5 및 제6 표준 셀(C95, C96)이 상호 인접하게 배치될 수 있다. 제5 및 제6 표준 셀(C95, C96)의 FEOL 영역들(FR95, FR96)은 상호 접하는 한편, BEOL 영역들(BR95, BR96) 사이에 공간이 발생할 수 있다. Referring to FIG. 9B , in step S91, an operation of arranging standard cells so that power taps of the standard cells are adjacent to each other may be performed. For example, as shown in the left side of FIG. 9C , the fifth and sixth standard cells C95 and C96 each having different directions and including power taps PT95a, PT95b, PT96a, PT96b adjacent to the step portion, respectively. ) may be disposed adjacent to each other. While the FEOL regions FR95 and FR96 of the fifth and sixth standard cells C95 and C96 are in contact with each other, a space may be generated between the BEOL regions BR95 and BR96.
도 9b를 다시 참조하면, 단계 S92에서 표준 셀들이 파워 탭을 공유하도록 표준 셀들을 병합하는 동작이 수행될 수 있다. 예를 들면, 도 9c의 우측에 도시된 바와 같이, 파워 탭들(PT97a, PT97b)을 공유하도록 제5 및 제6 표준 셀(C95, C96)이 병합됨으로써 제7 표준 셀(C97)이 생성될 수 있다. 이에 따라, 제7 표준 셀(C97)의 X축 방향의 길이(X92)는 제5 및 제6 표준 셀(C95, C96)이 상호 인접하게 배치될 때 차지하는 X축 방향의 길이(X91)보다 작을 수 있고, 결과적으로 집적 회로의 공간 효율성이 향상될 수 있다. 도 9c에 도시된, 표준 셀들의 병합 동작은, 제5 및 제6 표준 셀(C95, C96)이 배치되고 난 후 (예컨대, P&R 과정에서) 수행될 수도 있고, 라우팅이 완료된 집적 회로의 레이아웃에서 (예컨대, 디자인 폴리싱 과정에서) 수행될 수도 있다. 즉, 도 9b의 단계 S92는, 일부 실시예들에서 도 4의 단계 S420에 포함될 수도 있고, 일부 실시예들에서 도 4의 단계 S430에 포함될 수도 있다.Referring back to FIG. 9B , an operation of merging standard cells so that the standard cells share a power tap may be performed in step S92 . For example, as shown on the right side of FIG. 9C , the fifth and sixth standard cells C95 and C96 are merged to share the power taps PT97a and PT97b, thereby creating a seventh standard cell C97. there is. Accordingly, the length (X92) in the X-axis direction of the seventh standard cell (C97) is smaller than the length (X91) in the X-axis direction occupied when the fifth and sixth standard cells (C95, C96) are disposed adjacent to each other. and, as a result, the space efficiency of the integrated circuit may be improved. The merging operation of the standard cells, shown in FIG. 9C , may be performed after the fifth and sixth standard cells C95 and C96 are disposed (eg, in the P&R process), and in the layout of the integrated circuit in which routing is completed. It may be performed (eg, in a design polishing process). That is, step S92 of FIG. 9B may be included in step S420 of FIG. 4 in some embodiments, and may be included in step S430 of FIG. 4 in some embodiments.
도 10a는 본 개시의 예시적 실시예에 따라 상이한 핀(pin) 배치를 가지는 표준 셀들이 선택적으로 배치된 집적 회로의 레이아웃을 생성하는 방법의 예시를 나타내는 순서도이고, 도 10b는 상이한 핀(pin) 배치를 가지는 표준 셀들의 예시를 나타낸다. 후술되는 바와 같이, 본 개시의 예시적 실시예에 따라, 라우팅에 유리하도록 상이한 핀(pin) 배치를 가지는 표준 셀들 중 적절한 표준 셀이 선택되어 배치될 수 있다. 일부 실시예들에서, 도 10a의 단계들(S101, S102)은 도 4의 단계 S420에 포함될 수 있다.10A is a flowchart illustrating an example of a method for generating a layout of an integrated circuit in which standard cells having different pin assignments are selectively disposed according to an exemplary embodiment of the present disclosure, and FIG. 10B is a different pin arrangement; An example of standard cells with an arrangement is shown. As will be described later, according to an exemplary embodiment of the present disclosure, an appropriate standard cell may be selected and placed among standard cells having different pin arrangements to be advantageous for routing. In some embodiments, steps S101 and S102 of FIG. 10A may be included in step S420 of FIG. 4 .
도 10a를 참조하면, 단계 S101에서 동일한 기능 및 방향성을 가지고 상이한 핀(pin) 배치를 가지는 표준 셀들을 획득하는 단계가 수행될 수 있다. 예를 들면, 도 10b에 도시된 바와 같이, 제1 및 제2 표준 셀(C101, C102)은 입력 핀(A) 및 출력 핀(Y)을 가지는 인버터로서 +X 방향성을 동일하게 가질 수 있는 한편, 입력 핀(A) 및 출력 핀(Y)의 상이한 배치를 가질 수 있다. 동일한 방향성을 가지는 표준 셀들이 연속적으로 배치되는 경우, 표준 셀들의 입력 핀(pin)들 및 출력 핀(pin)들의 위치에 기인하여 라우팅 혼잡이 발생할 수 있다. 이에 따라, 표준 셀 라이브러리는 동일한 기능 및 방향성을 가지면서도 상이한 핀(pin) 배치를 가지는 표준 셀들을 정의할 수 있고, 표준 셀 라이브러리로부터 상이한 핀(pin) 배치를 가지는 표준 셀들이 획득될 수 있다. 일부 실시예들에서, 상이한 핀(pin) 배치를 위하여, 표준 셀 라이브러리는 FEOL 영역이 Y축에 평행한 축을 중심으로 상호 대칭인 표준 셀들을 정의할 수 있다. 이 경우, 표준 셀들의 핀(pin) 배치 역시 Y축에 평행한 축을 중심으로 상호 대칭일 수 있다.Referring to FIG. 10A , in step S101, a step of obtaining standard cells having the same function and direction and having different pin arrangements may be performed. For example, as shown in FIG. 10B , the first and second standard cells C101 and C102 may have the same +X direction as an inverter having an input pin A and an output pin Y, while , can have a different arrangement of the input pin (A) and the output pin (Y). When standard cells having the same directionality are consecutively arranged, routing congestion may occur due to positions of input pins and output pins of the standard cells. Accordingly, the standard cell library may define standard cells having different pin arrangements while having the same function and directionality, and standard cells having different pin arrangements may be obtained from the standard cell library. In some embodiments, for different pin placements, the standard cell library may define standard cells whose FEOL regions are mutually symmetric about an axis parallel to the Y axis. In this case, the pin arrangement of the standard cells may also be symmetric about an axis parallel to the Y axis.
도 10a를 다시 참조하면, 단계 S102에서 라우팅에 기초하여 표준 셀들 중 하나의 표준 셀을 선택 및 배치하는 동작이 수행될 수 있다. 예를 들면, 도 10b에 도시된 바와 같이, 제1 표준 셀(C101)은 -X 방향으로 인접하게 배치되는 표준 셀의 출력 신호가 입력 핀(A)에 인가되는 경우 유리할 수 있는 한편, 제2 표준 셀(C102)은 -X 방향으로 인접하게 배치되는 표준 셀이 출력 핀(Y)을 통해서 출력되는 출력 신호를 수신하는 경우 유리할 수 있다. 이에 따라, 라우팅 혼잡이 감소될 수 있고, 집적 회로의 레이아웃을 생성하는데 소요되는 시간이 단축될 뿐만 아니라, 단순한 라우팅 구조에 기인하여 집적 회로의 성능이 향상될 수 있다.Referring back to FIG. 10A , an operation of selecting and arranging one standard cell among standard cells based on routing may be performed in step S102. For example, as shown in FIG. 10B , the first standard cell C101 may be advantageous when an output signal of a standard cell disposed adjacently in the -X direction is applied to the input pin A, while the second standard cell C101 The standard cell C102 may be advantageous when a standard cell disposed adjacent in the -X direction receives an output signal output through the output pin Y. Accordingly, routing congestion may be reduced, a time required for generating a layout of the integrated circuit may be shortened, and performance of the integrated circuit may be improved due to a simple routing structure.
도 11a는 본 개시의 예시적 실시예에 따라 표준 셀을 변형하여 집적 회로의 레이아웃을 생성하는 방법의 예시를 나타내는 순서도이고, 도 11b는 도 11a의 방법에 따라 생성되는 레이아웃의 예시를 나타낸다. 후술되는 바와 같이, 본 개시의 예시적 실시예에 따라, P&R 과정에서 표준 셀의 방향성이 변경될 수 있다.11A is a flowchart illustrating an example of a method of generating a layout of an integrated circuit by transforming a standard cell according to an exemplary embodiment of the present disclosure, and FIG. 11B shows an example of a layout generated according to the method of FIG. 11A . As will be described later, according to an exemplary embodiment of the present disclosure, the direction of the standard cell may be changed in the P&R process.
도 11a를 참조하면, 단계 S111에서 특정 방향성을 가지는 표준 셀을 획득하는 동작이 수행될 수 있다. 일부 실시예들에서, 표준 셀 라이브러리는 방향성을 가지는 표준 셀을 정의하는 한편, 그와 동일한 기능을 제공하면서 다른 방향성을 가지는 표준 셀을 정의하지 아니할 수 있다. 예를 들면, 도 11b에 도시된 바와 같이, 표준 셀 라이브러리는 입력 핀들(A0, A1, B0, B1) 및 출력 핀(Y)을 가지는 AOI22로서 -X 방향성을 가지는 제1 표준 셀(C111)을 정의할 수 있다. Referring to FIG. 11A , an operation of acquiring a standard cell having a specific directionality may be performed in step S111. In some embodiments, the standard cell library may not define a standard cell having a directionality while providing the same function but not defining a standard cell having a different directionality. For example, as shown in FIG. 11B , the standard cell library selects a first standard cell (C111) having -X direction as AOI22 having input pins (A0, A1, B0, B1) and an output pin (Y). can be defined
도 11a를 다시 참조하면, 단계 S112에서 배치된 표준 셀의 경계에 기초하여 획득된 표준 셀을 플립하고 배치하는 동작이 수행될 수 있다. 예를 들면, 도 11b에서 제1 표준 셀(C111)의 좌측 또는 우측에 인접하게 배치되는 표준 셀이 +X 방향성을 가지는 경우, 도 2c 및 도 2d를 참조하여 전술된 바와 유사하게, 공간이 발생할 수 있다. 이에 따라, P&R 과정(예컨대, 도 4의 단계 S420)에서, 제1 표준 셀(C111)을 플립시킴으로써 제1 표준 셀(C111)과 Y축 방향과 평행한 축을 중심으로 대칭인 제2 표준 셀(C112)이 생성될 수 있고, +X 방향성을 가지는 표준 셀에 인접하게 제2 표준 셀(C112)을 배치할 수 있다. 일부 실시예들에서, 도 4의 표준 셀 라이브러리(D42)와 상이하게, 하나의 방향성을 가지는 표준 셀들만을 정의하는 표준 셀 라이브러리가 사용되는 경우, P&R 과정에서 표준 셀의 방향성에 따라 표준 셀을 플립하여 배치하는 동작이 수행될 수도 있다.Referring back to FIG. 11A , an operation of flipping and arranging the standard cell obtained based on the boundary of the standard cell disposed in step S112 may be performed. For example, when a standard cell disposed adjacent to the left or right side of the first standard cell C111 in FIG. 11B has +X directionality, similarly as described above with reference to FIGS. 2C and 2D , space may occur can Accordingly, in the P&R process (eg, step S420 of FIG. 4 ), by flipping the first standard cell C111, the first standard cell C111 and the second standard cell symmetric about an axis parallel to the Y-axis direction ( C112 may be generated, and the second standard cell C112 may be disposed adjacent to the standard cell having the +X direction. In some embodiments, different from the standard cell library D42 of FIG. 4 , when a standard cell library defining only standard cells having one direction is used, the standard cell is selected according to the direction of the standard cell in the P&R process. An operation of flipping and disposing may be performed.
도 12는 본 개시의 예시적 실시예에 따라 방향성을 가지는 표준 셀을 포함하는 표준 셀 라이브러리를 생성하는 방법을 나타내는 순서도이다. 도 1을 참조하여 전술된 바와 같이, 더블 디퓨전 브레이크(DDB)는 반도체 공정상 일부 이슈들을 해소할 수 있으므로, 반도체 공정의 가동 초기에 더블 디퓨전 브레이크(DDB)를 지원하는 표준 셀들이 개발될 수 있고, 이후 반도체 공정상 이슈들이 점차 해결됨에 따라 싱글 디퓨전 브레이크(SDB)를 지원하는 표준 셀들이 개발될 수 있다. 후술되는 바와 같이, 싱글 디퓨전 브레이크(SDB)를 지원하는 표준 셀들이 방향성을 가지는 경우, 더블 디퓨전 브레이크(DDB)를 지원하는 표준 셀들로부터 싱글 디퓨전 브레이크(SDB)를 지원하는 표준 셀들이 용이하게 생성될 수 있다. 일부 실시예들에서, 도 12의 방법은, 프로세서 및 메모리를 포함하는 컴퓨팅 시스템(예컨대, 도 20의 200)에 의해서 수행될 수 있다. 12 is a flowchart illustrating a method of generating a standard cell library including standard cells having directionality according to an exemplary embodiment of the present disclosure. As described above with reference to FIG. 1 , the double diffusion break (DDB) can solve some issues in the semiconductor process, so standard cells supporting the double diffusion break (DDB) can be developed at the initial stage of operation of the semiconductor process and After , standard cells supporting a single diffusion break (SDB) may be developed as issues in the semiconductor process are gradually resolved. As will be described later, when standard cells supporting single diffusion break (SDB) have directionality, standard cells supporting single diffusion break (SDB) can be easily generated from standard cells supporting double diffusion break (DDB). can In some embodiments, the method of FIG. 12 may be performed by a computing system including a processor and a memory (eg, 200 of FIG. 20 ).
단계 S121에서, 입력 표준 셀 라이브러리(D121)를 획득하는 동작이 수행될 수 있다. 입력 표준 셀 라이브러리(D121)는 더블 디퓨전 브레이크(DDB)를 지원하는 표준 셀들에 대한 정보(D121_1)를 포함할 수 있다. 일부 실시예들에서, 입력 표준 셀 라이브러리(D121)는 컴퓨터로 독출가능한 저장 매체에 저장되어 있을 수도 있고, 통신 채널을 통해서 수신될 수도 있다.In step S121, an operation of obtaining the input standard cell library D121 may be performed. The input standard cell library D121 may include information D121_1 on standard cells supporting the double diffusion break DDB. In some embodiments, the input standard cell library D121 may be stored in a computer-readable storage medium or may be received through a communication channel.
단계 S122에서, 싱글 디퓨전 브레이크(SDB) 및 방향성을 가지는 표준 셀을 생성하는 동작이 수행될 수 있다. 도 1을 참조하여 전술된 바와 같이, 일부 실시예들에서 방향성을 가지는 표준 셀은 더블 디퓨전 브레이크(DDB)를 지원하는 셀과 동일한 BEOL 영역의 패턴들을 가질 수 있으므로, 방향성을 가지는 표준 셀이 용이하게 생성될 수 있다. 단계 S122에 대한 예시들은 도 13a 내지 도 17b를 참조하여 후술될 것이다.In operation S122, an operation of generating a standard cell having a single diffusion break (SDB) and directionality may be performed. As described above with reference to FIG. 1 , in some embodiments, a standard cell having a directionality may have patterns of the same BEOL region as a cell supporting a double diffusion break (DDB), so that a standard cell having a directionality can be easily can be created Examples of step S122 will be described later with reference to FIGS. 13A to 17B .
단계 S123에서, 출력 표준 셀 라이브러리(D122)를 생성하는 동작이 수행될 수 있다. 출력 표준 셀 라이브러리(D122)는 싱글 디퓨전 브레이크(SDB)를 지원하고 방향성을 가지는 표준 셀들에 대한 정보(D122_1)를 포함할 수 있다. 도 4를 참조하여 전술된 바와 같이 출력 표준 셀 라이브러리(D122)는 집적 회로의 레이아웃을 생성하거나, 도 18을 참조하여 후술되는 바와 같이 집적 회로를 검증하는데 참조될 수 있다. In step S123, an operation of generating the output standard cell library D122 may be performed. The output standard cell library D122 may include information D122_1 on standard cells supporting the single diffusion break SDB and having directionality. As described above with reference to FIG. 4 , the output standard cell library D122 may be referenced to create a layout of an integrated circuit, or to verify an integrated circuit as described below with reference to FIG. 18 .
도 13a는 본 개시의 예시적 실시예에 따라 방향성을 가지는 표준 셀을 생성하는 방법을 나타내는 순서도이고, 도 13b는 도 13a의 방법에 따라 생성되는 표준 셀의 예시를 나타낸다. 일부 실시예들에서, 도 13a의 단계들(S131, S132)은 도 12의 단계 S122에 포함될 수 있다.13A is a flowchart illustrating a method of generating a standard cell having directionality according to an exemplary embodiment of the present disclosure, and FIG. 13B illustrates an example of a standard cell generated according to the method of FIG. 13A . In some embodiments, steps S131 and S132 of FIG. 13A may be included in step S122 of FIG. 12 .
도 13a를 참조하면, 단계 S131에서 FEOL 영역의 양단에서 1/2 CPP씩 제거하는 동작이 수행될 수 있다. 예를 들면, 도 13b에 도시된 바와 같이, 더블 디퓨전 브레이크(DDB)를 지원하는 제1 표준 셀(C131)은 양단에서 더블 디퓨전 브레이크(DDB)를 위한 더블 디퓨전 브레이크(DDB) 영역들(DR131a, DR131b)을 포함할 수 있다. DDB 영역들(DR131a, DR131b)은, 제1 표준 셀(C131)의 일단에서 1/2 CPP의 폭(즉, X축 방향의 길이)을 가질 수 있으므로, 제1 표준 셀(C131)의 FEOL 영역의 양단에서 1/2 CPP씩 제거됨으로써 제1 표준 셀(C131)의 DDB 영역들(DR131a, DR131b)이 제거될 수 있다. 이에 따라, 제1 표준 셀(C131)의 FEOL 영역은 3 CPP의 X축 방향의 길이를 가지는 한편, 제2 표준 셀(C132)의 FEOL 영역은 2 CPP의 X축 방향의 길이를 가질 수 있다.Referring to FIG. 13A , in step S131, an operation of removing 1/2 CPP from both ends of the FEOL region may be performed. For example, as shown in FIG. 13B , the first standard cell C131 supporting the double diffusion break DDB has double diffusion break (DDB) regions DR131a for the double diffusion break DDB at both ends. DR131b). Since the DDB regions DR131a and DR131b may have a width of 1/2 CPP (ie, a length in the X-axis direction) at one end of the first standard cell C131, the FEOL region of the first standard cell C131 The DDB regions DR131a and DR131b of the first standard cell C131 may be removed by removing 1/2 CPP from both ends of the . Accordingly, the FEOL region of the first standard cell C131 may have a length in the X-axis direction of 3 CPP, while the FEOL region of the second standard cell C132 may have a length in the X-axis direction of 2 CPP.
도 13a를 다시 참조하면, 단계 S132에서 BEOL 영역의 양단에서 총 1 CPP만큼 제거하는 동작이 수행될 수 있다. 예를 들면, 도 13b에 도시된 바와 같이, 제1 표준 셀(C131)의 DDB 영역들(DR131a, DR131b)이 제거된 후, 제1 표준 셀(C131)의 BEOL 영역에서 출력 핀(Y)은 +X 방향으로 돌출될 수 있다. 이에 따라, +X 방향으로 돌출된 출력 핀(Y)을 포함하면서 BEOL 영역의 양단에서 총 1 CPP만큼 BEOL 영역이 제거됨으로써, +X 방향성을 가지는 제2 표준 셀(C132)이 생성될 수 있다. 이에 따라, 제2 표준 셀(C132)은 2 CPP의 X축 방향의 길이를 가지고, FEOL 영역으로부터 +X 방향으로 시프트된 BEOL 영역을 포함할 수 있다. 즉, 제2 표준 셀(C132)은 제1 표준 셀(C131)과 동일한 BEOL 영역의 패턴들을 포함할 수 있다. 일부 실시예들에서, 도 13b에 도시된 바와 같이, 제2 표준 셀(C132)의 FEOL 영역의 양단에서 Y축 방향으로 연장되는 SDB 영역들(SR132a, SR132b)이 추가될 수 있다.Referring back to FIG. 13A , in step S132 , an operation of removing a total of 1 CPP from both ends of the BEOL region may be performed. For example, as shown in FIG. 13B , after the DDB regions DR131a and DR131b of the first standard cell C131 are removed, the output pin Y in the BEOL region of the first standard cell C131 is It can protrude in the +X direction. Accordingly, the BEOL region including the output pin Y protruding in the +X direction is removed by a total of 1 CPP from both ends of the BEOL region, thereby generating the second standard cell C132 having the +X direction. Accordingly, the second standard cell C132 may have a length in the X-axis direction of 2 CPP and include a BEOL region shifted in the +X direction from the FEOL region. That is, the second standard cell C132 may include patterns of the same BEOL region as the first standard cell C131 . In some embodiments, as shown in FIG. 13B , SDB regions SR132a and SR132b extending in the Y-axis direction from both ends of the FEOL region of the second standard cell C132 may be added.
도 14는 본 개시의 예시적 실시예에 따라 방향성을 가지는 표준 셀을 생성하는 방법을 나타내는 순서도이다. 특정 방향성을 가지는 표준 셀로부터 다른 방향성을 가지는 표준 셀이 생성될 수 있다.14 is a flowchart illustrating a method of generating a standard cell having directionality according to an exemplary embodiment of the present disclosure. A standard cell having a different direction may be generated from a standard cell having a specific direction.
단계 S141에서, 특정 방향성을 가지는 표준 셀을 획득하는 동작이 수행될 수 있다. 예를 들면, 도 13a의 방법에 의해서 +X 방향성을 가지는 표준 셀(예컨대, 도 13b의 C132)이 생성될 수 있고, +X 방향성을 가지는 표준 셀들이 획득될 수 있다.In step S141, an operation of obtaining a standard cell having a specific directionality may be performed. For example, a standard cell having +X directionality (eg, C132 of FIG. 13B ) may be generated by the method of FIG. 13A , and standard cells having +X directionality may be obtained.
단계 S142에서, 획득된 표준 셀을 플립하는 동작이 수행될 수 있다. 예를 들면, +X 방향성을 가지는 도 13b의 제2 표준 셀(C132)이 획득된 경우, 제2 표준 셀(C132)을 Y축에 평행한 축을 중심으로 대칭시킴으로써 제2 표준 셀(C132)과 동일한 기능을 제공하고 -X 방향성을 가지는 표준 셀이 생성될 수 있다.In step S142, an operation of flipping the obtained standard cell may be performed. For example, when the second standard cell C132 of FIG. 13b having the +X direction is obtained, the second standard cell C132 and the second standard cell C132 are symmetrical about an axis parallel to the Y axis. A standard cell that provides the same function and has -X directionality can be generated.
도 15a 는 본 개시의 예시적 실시예에 따라 방향성을 가지는 표준 셀을 생성하는 방법을 나타내는 순서도이고, 도 15b는 도 15a의 방법에 따라 생성되는 표준 셀의 예시를 나타낸다. 일부 실시예들에서, 도 15a의 단계들(S151, S152)은 도 12의 단계 S122에 포함될 수 있다.15A is a flowchart illustrating a method for generating a standard cell having directionality according to an exemplary embodiment of the present disclosure, and FIG. 15B shows an example of a standard cell generated according to the method of FIG. 15A . In some embodiments, steps S151 and S152 of FIG. 15A may be included in step S122 of FIG. 12 .
도 15a를 참조하면, 단계 S151에서 표준 셀의 양단에서 BEOL 영역의 제거가 불가능한 표준 셀을 획득하는 동작이 수행될 수 있다. 예를 들면, 도 15b에 도시된 바와 같이, 제1 표준 셀(C151)의 FEOL 영역(FR151)은 DDB 영역들을 제거함으로써 양단에서 1/2 CPP씩 제거될 수 있는 한편, BEOL 영역(BR151)은 입력 핀(A) 및 출력 핀(Y)에 기인하여 양단에서 총 1 CPP만큼 제거될 수 없다.Referring to FIG. 15A , in step S151, an operation of acquiring a standard cell in which the BEOL region cannot be removed may be performed at both ends of the standard cell. For example, as shown in FIG. 15B , the FEOL region FR151 of the first standard cell C151 can be removed by 1/2 CPP at both ends by removing the DDB regions, while the BEOL region BR151 is Due to the input pin (A) and output pin (Y) it cannot be removed by a total of 1 CPP at both ends.
도 15a를 다시 참조하면, 단계 S152에서 FEOL 영역의 경계를 시프트하는 동작이 수행될 수 있다. 예를 들면, 도 15b에 도시된 바와 같이, 제1 표준 셀(C151)의 FEOL 영역(FR151)의 경계가 -X 방향으로 1/2 CPP 시프트될 수 있고, 이에 따라 제2 표준 셀(C152)은, 제1 표준 셀(C151)의 FEOL 영역(FR151)과 동일한 X축 방향의 길이를 가지고 게이트 라인으로부터 X축 방향으로 연장되는, FEOL 영역(FR152)을 가질 수 있다. 이에 따라, 제2 표준 셀(C152)의 BEOL 영역(BR152)은 +X 방향으로 돌출된 처마 영역(┏) 및 FEOL 영역(FR152)은 -X 방향으로 돌출된 스텝 영역(┛)을 포함할 수 있다. 일부 실시예들에서, 제1 표준 셀(C151)의 FEOL 영역(FR151)뿐만 아니라 BEOL 영역(BR151)도 시프트할 수 있고, 도 15b에 도시된 바와 같이, 제2 표준 셀(C152)은 제1 표준 셀(C151)의 BEOL 영역(BF151)이 +X축 방향으로 시프트된 BEOL 영역(BR152)을 포함할 수 있다.Referring back to FIG. 15A , an operation of shifting the boundary of the FEOL region may be performed in step S152. For example, as shown in FIG. 15B , the boundary of the FEOL region FR151 of the first standard cell C151 may be shifted by 1/2 CPP in the -X direction, and accordingly, the second standard cell C152 may be shifted by 1/2 CPP. Silver may have a FEOL region FR152 that has the same length in the X-axis direction as the FEOL region FR151 of the first standard cell C151 and extends from the gate line in the X-axis direction. Accordingly, the BEOL region BR152 of the second standard cell C152 may include an eaves region ┏ protruding in the +X direction, and the FEOL region FR152 may include a step region ┛ protruding in the -X direction. there is. In some embodiments, the BEOL region BR151 as well as the FEOL region FR151 of the first standard cell C151 may be shifted, and as shown in FIG. 15B , the second standard cell C152 is the first The BEOL region BF151 of the standard cell C151 may include the BEOL region BR152 shifted in the +X-axis direction.
도 16a는 본 개시의 예시적 실시예에 따라 방향성을 가지는 표준 셀을 생성하는 방법을 나타내는 순서도이고, 도 16b는 도 16a의 방법에 따라 생성되는 표준 셀의 예시를 나타낸다. 일부 실시예들에서, 도 16a의 단계들(S161, S162)은 도 12의 단계 S122에 포함될 수 있다.16A is a flowchart illustrating a method for generating a standard cell having directionality according to an exemplary embodiment of the present disclosure, and FIG. 16B shows an example of a standard cell generated according to the method of FIG. 16A . In some embodiments, steps S161 and S162 of FIG. 16A may be included in step S122 of FIG. 12 .
도 16a를 참조하면, 단계 S161에서 BEOL 영역의 양단에서 제거 가능한 패턴을 포함하는 표준 셀을 획득하는 동작이 수행될 수 있다. 예를 들면, 도 16b에 도시된 바와 같이, 제1 표준 셀(C161)의 금속층의 패턴(M161)은 비아(V161)로부터 -X방향으로 연장되는 부분(P161)을 포함할 수 있다. 금속층의 패턴(M161)의 부분(P161)은, 패턴(M161) 및 컨택을 전기적으로 연결하는 비아(V161)를 제외하고 다른 패턴과 전기적으로 연결되지 아니하므로 제거가능할 수 있다. 유사하게, 금속층의 패턴(M161)의 부분(P162) 역시 제거가능할 수 있다.Referring to FIG. 16A , in step S161, an operation of acquiring a standard cell including a removable pattern from both ends of the BEOL region may be performed. For example, as shown in FIG. 16B , the pattern M161 of the metal layer of the first standard cell C161 may include a portion P161 extending from the via V161 in the -X direction. The portion P161 of the pattern M161 of the metal layer may be removable because it is not electrically connected to other patterns except for the pattern M161 and the via V161 electrically connecting the contact. Similarly, the portion P162 of the pattern M161 of the metal layer may also be removable.
도 16a를 다시 참조하면, 단계 S162에서 패턴의 적어도 일부를 제거하고 BEOL 영역을 축소하는 동작이 수행될 수 있다. 예를 들면, 도 16b의 제2 표준 셀(C162)과 같이, 제1 표준 셀(C161)의 금속층의 패턴(M161)의 제거가능한 부분(P161)이 제거될 수 있고, 이에 따라, 제2 표준 셀(C162)은 제1 표준 셀(C161)보다 X축 방향의 길이가 감소된 BEOL 영역을 포함할 수 있다. 이에 따라, 도 13a 및 도 13b를 참조하여 전술된 바와 유사하게, 제1 표준 셀(C161)의 DDB 영역들이 제거됨으로써 제2 표준 셀(C162)의 FEOL 영역이 생성될 수 있고, 결과적으로 제2 표준 셀(C162)은 +X 방향성을 가질 수 있다.Referring back to FIG. 16A , in step S162 , an operation of removing at least a portion of the pattern and reducing the BEOL region may be performed. For example, as in the second standard cell C162 of FIG. 16B , the removable portion P161 of the pattern M161 of the metal layer of the first standard cell C161 may be removed, and thus, the second standard cell C161 may be removed. The cell C162 may include a BEOL region having a reduced length in the X-axis direction compared to the first standard cell C161. Accordingly, similar to as described above with reference to FIGS. 13A and 13B , the FEOL region of the second standard cell C162 may be generated by removing the DDB regions of the first standard cell C161, and as a result, the second The standard cell C162 may have +X directionality.
도 17a는 본 개시의 예시적 실시예에 따라 방향성을 가지는 표준 셀을 생성하는 방법을 나타내는 순서도이고, 도 17b는 도 17a의 방법에 따라 생성되는 표준 셀의 예시를 나타낸다. 일부 실시예들에서, 도 17a의 단계들(S171, S172)은 도 12의 단계 S122에 포함될 수 있다.17A is a flowchart illustrating a method of generating a standard cell having directionality according to an exemplary embodiment of the present disclosure, and FIG. 17B illustrates an example of a standard cell generated according to the method of FIG. 17A . In some embodiments, steps S171 and S172 of FIG. 17A may be included in step S122 of FIG. 12 .
도 17a를 참조하면, 단계 S171에서 전원 전압을 전달하기 위한 파워 탭을 스텝 부분에 인접하게 이동시키거나 생성하는 동작이 수행될 수 있다. 도 15b를 참조하여 전술된 바와 같이, 도 15b의 제2 표준 셀(C152)은 제1 표준 셀(C151)의 FEOL 영역의 경계를 시프트함으로써 생성될 수 있다. 이 때, FEOL 영역이 시프트됨으로써 연장된 부분, 즉 FEOL 영역의 스텝 부분(┛)에 인접하게 파워 탭이 배치되거나, 스텝 부분(┛)에 파워 탭의 적어도 일부가 포함되도록 파워 탭이 배치될 수 있다. 예를 들면, 도 17b에 도시된 바와 같이, 도 15b의 제2 표준 셀(C152)의 스텝 부분(┛)에 인접하게 파워 탭들(PT171, PT172)이 생성됨으로써 도 17b의 제1 표준 셀(C171)이 생성될 수 있다. Referring to FIG. 17A , in step S171 , an operation of moving or generating a power tap for transmitting a power voltage to be adjacent to a step portion may be performed. As described above with reference to FIG. 15B , the second standard cell C152 of FIG. 15B may be generated by shifting the boundary of the FEOL region of the first standard cell C151 . At this time, the power tap may be disposed adjacent to the portion extended by shifting the FEOL region, that is, the step portion ┛ of the FEOL region, or the power tap may be disposed such that at least a portion of the power tap is included in the step portion ┛. there is. For example, as shown in FIG. 17B , the power taps PT171 and PT172 are generated adjacent to the step portion ┛ of the second standard cell C152 of FIG. 15B , thereby generating the first standard cell C171 of FIG. 17B . ) can be created.
도 17a를 다시 참조하면, 단계 S172에서 표준 셀의 기능이 불변하도록 패턴을 수정하는 동작이 수행될 수 있다. 예를 들면, 도 17b의 제1 표준 셀(C171)에서 추가된 파워 탭들(PT171, PT172)에 기인하여 게이트 라인(GL171)에 전기적으로 연결된 입력 핀(A)이 영향을 받을 수 있다. 이에 따라, 제2 표준 셀(C172)과 같이 게이트 라인(GL171)을 제거하는 게이트 컷(gate cut)(CT171)이 생성될 수 있고, 이에 따라 도 9a 내지 도 9c를 참조하여 전술된 바와 같이, 제2 표준 셀(C172)은 인접한 표준 셀과 파워 탭을 공유할 수 있는 구조를 가질 수 있고, 집적 회로의 향상된 공간 효율성에 기여할 수 있다.Referring back to FIG. 17A , in step S172, an operation of modifying the pattern so that the function of the standard cell is invariant may be performed. For example, the input pin A electrically connected to the gate line GL171 may be affected due to the power taps PT171 and PT172 added in the first standard cell C171 of FIG. 17B . Accordingly, a gate cut CT171 for removing the gate line GL171 may be generated like the second standard cell C172, and accordingly, as described above with reference to FIGS. 9A to 9C , The second standard cell C172 may have a structure capable of sharing a power tap with an adjacent standard cell, and may contribute to improved space efficiency of the integrated circuit.
도 18은 본 개시의 예시적 실시예에 따라 방향성을 가지는 표준 셀들을 포함하는 집적 회로를 검증하는 방법(S180)을 나타내는 도면이다. 일부 실시예들에서, 도 18의 방법은 프로세서 및 메모리를 포함하는 컴퓨팅 시스템(예컨대, 도 20의 200)에서 수행될 수 있다.18 is a diagram illustrating a method ( S180 ) of verifying an integrated circuit including standard cells having directivity according to an exemplary embodiment of the present disclosure. In some embodiments, the method of FIG. 18 may be performed in a computing system including a processor and memory (eg, 200 of FIG. 20 ).
집적 회로를 검증하는 방법(S180)은 복수의 단계들(S181 내지 S183)을 포함할 수 있고, 표준 셀 라이브러리(D813)를 참조하여 네트리스트 데이터(D181) 및 레이아웃 데이터(D182)로부터 결과 데이터(D184)를 생성할 수 있다. 도 4를 참조하여 전술된 바와 같이, 네트리스트 데이터(D181)는 논리 합성 등의 동작을 통해서 생성된, 표준 셀들 및 표준 셀들의 연결관계를 기술하는 집적 회로의 네트리스트를 포함할 수 있다. 또한, 레이아웃 데이터(D182)는 네트리스트 데이터(D181)로부터 표준 셀 라이브러리(D183)를 참조하여 표준 셀들을 배치하고 라우팅함으로써 생성될 수 있고, 집적 회로의 레이아웃을 나타낼 수 있다. 표준 셀 라이브러리(D183)는 +X 방향성을 가지는 표준 셀들을 포함하는 제1 그룹(D183_1), -X 방향성을 가지는 표준 셀들을 포함하는 제2 그룹(D183_2) 및 ±X 방향성을 가지는 표준 셀들을 포함하는 제3 그룹(D183_3)을 포함할 수 있다. 동일 기능 그룹에 포함되는 표준 셀들은 방향성에 따라 상이한 특성을 가질 수 있고, 제1 내지 제3 그룹(D183_1 내지 D183_3)은 표준 셀들의 특성에 관한 정보를 각각 정의할 수 있다.The method S180 of verifying the integrated circuit may include a plurality of steps S181 to S183, and result data ( D184) can be created. As described above with reference to FIG. 4 , the netlist data D181 may include a netlist of an integrated circuit that is generated through an operation such as logic synthesis and describes standard cells and a connection relationship between the standard cells. Also, the layout data D182 may be generated by arranging and routing standard cells with reference to the standard cell library D183 from the netlist data D181, and may indicate the layout of the integrated circuit. The standard cell library D183 includes a first group D183_1 including standard cells having a +X direction, a second group D183_2 including standard cells having a -X direction, and standard cells having a ±X direction. and a third group D183_3. Standard cells included in the same functional group may have different characteristics according to directions, and the first to third groups D183_1 to D183_3 may define information on characteristics of standard cells, respectively.
단계 S181에서, DRC(design rule check)가 수행될 수 있다. 디자인 룰은 반도체 공정에 기초하여 정의될 수 있고, 예컨대 패턴의 최소 폭 패턴간 최소 이격 거리 등을 정의할 수 있다. 표준 셀 라이브러리(D183)에 포함된 제1 내지 제3 그룹(D183_1 내지 D183_3)을 참조하여, 레이아웃 데이터(D182)에 의해서 정의된 집적 회로의 레이아웃이 디자인 룰을 준수하는지 여부가 검증될 수 있다. 디자인 룰을 준수하지 아니하는 부분이 검출된 경우, 검출된 부분의 좌표, 미준수된 디자인 룰, 오차 등을 포함하는 결과 데이터(D184)가 생성될 수 있다.In step S181, a design rule check (DRC) may be performed. The design rule may be defined based on a semiconductor process, for example, a minimum width of a pattern and a minimum separation distance between patterns may be defined. With reference to the first to third groups D183_1 to D183_3 included in the standard cell library D183, it may be verified whether the layout of the integrated circuit defined by the layout data D182 complies with the design rule. When a portion that does not comply with the design rule is detected, result data D184 including the coordinates of the detected portion, the non-compliant design rule, and an error may be generated.
단계 S182에서, LVS(layout versus schematic)가 수행될 수 있다. LVS는 네트리스트 데이터(D181)에 의해서 정의된 집적 회로 및 레이아웃 데이터(D182)에 의해서 정의된 집적 회로가 일치하는지 여부를 검증하는 동작을 지칭할 수 있다. 예를 들면, 네트리스트 데이터(D181)에 포함된 표준 셀들 및 노드들이 레이아웃 데이터(D182)에 의해서 정의된 레이아웃에 존재하는지 여부가 검증될 수 있다. 표준 셀 라이브러리(D183)에 포함된 제1 내지 제3 그룹(D183_1 내지 D183_3)을 참조하여, LVS가 수행될 수 있고, 네트리스트 데이터(D181) 및 레이아웃 데이터(D182) 사이에서 일치하지 아니하는 부분에 대한 정보를 포함하는 결과 데이터(D184)가 생성될 수 있다.In step S182, layout versus schematic (LVS) may be performed. LVS may refer to an operation of verifying whether the integrated circuit defined by the netlist data D181 and the integrated circuit defined by the layout data D182 match. For example, it may be verified whether standard cells and nodes included in the netlist data D181 exist in a layout defined by the layout data D182. LVS may be performed with reference to the first to third groups D183_1 to D183_3 included in the standard cell library D183, and a portion that does not match between the netlist data D181 and the layout data D182 Result data D184 including information on may be generated.
단계 S183에서, PEX(parasitic extraction)이 수행될 수 있다. PEX는 집적 회로의 성능, 예컨대 동작 속도, 파워 소모 등을 시뮬레이션하기 위하여, 레이아웃 데이터(D182)에 의해서 정의된 집적 회로의 레이아웃에서 기생성분들(parasitic)을 추출하는 동작을 지칭할 수 있다. 예를 들면, 레이아웃 데이터(D182)로부터 노드를 형성하는 상호연결의 저항 및 캐패시턴스가 추출될 수 있고, 추출된 저항 및 캐패시턴스를 포함하는 상호연결의 등가회로가 생성될 수 있다. 표준 셀 라이브러리(D183)에 포함된 제1 내지 제3 그룹(D183_1 내지 D183_3)을 참조하여, 레이아웃 데이터(D182)로부터 기생성분들이 추출될 수 있고, 추출된 기생성분들에 대한 정보를 포함하는 결과 데이터(D184)가 생성될 수 있다.In step S183, parasitic extraction (PEX) may be performed. PEX may refer to an operation of extracting parasitics from the layout of the integrated circuit defined by the layout data D182 in order to simulate the performance of the integrated circuit, for example, operating speed, power consumption, and the like. For example, the resistance and capacitance of the interconnect forming the node may be extracted from the layout data D182, and an equivalent circuit of the interconnect including the extracted resistance and capacitance may be generated. With reference to the first to third groups D183_1 to D183_3 included in the standard cell library D183, parasitics may be extracted from the layout data D182, and the result including information on the extracted parasitics Data D184 may be generated.
도 19는 본 개시의 예시적 실시예에 따른 시스템-온-칩(system on chip; SoC)(190)을 나타내는 블록도이다. SoC(190)는 반도체 장치로서, 본 개시의 예시적 실시예에 따른 집적 회로를 포함할 수 있다. SoC(190)는 다양한 기능을 수행하는 IP(intellectual property)와 같은 복잡한 기능 블록들을 하나의 칩에 구현한 것으로서, 본 개시의 예시적 실시예들에 따른 표준 셀들은 SoC(120)의 각 기능 블록들에 포함될 수 있고, 이에 따라 향상된 공간 효율성 및 검증된 성능을 제공하는 SoC(120)가 달성될 수 있다.19 is a block diagram illustrating a system on chip (SoC) 190 according to an exemplary embodiment of the present disclosure. The
도 19를 참조하면, SoC(190)는 모뎀(192), 디스플레이 컨트롤러(193), 메모리(194), 외부 메모리 컨트롤러(195), CPU(central processing unit)(196), 트랜잭션 유닛(197), PMIC(198) 및 GPU(graphic processing unit)(199)을 포함할 수 있고, SoC(190)의 각 기능 블록들은 시스템 버스(191)를 통해서 서로 통신할 수 있다.Referring to FIG. 19 , the
SoC(190)의 동작을 전반적으로 제어할 수 있는 CPU(196)는 다른 기능 블록들(192, 193, 194, 195, 197, 198, 199)의 동작을 제어할 수 있다. 모뎀(192)은 SoC(190) 외부로부터 수신되는 신호를 복조(demodulation)하거나, SoC(190) 내부에서 생성된 신호를 변조(modulation)하여 외부로 송신할 수 있다. 외부 메모리 컨트롤러(195)는 SoC(190)에 연결된 외부 메모리 장치로부터 데이터를 송수신하는 동작을 제어할 수 있다. 예를 들면, 외부 메모리 장치에 저장된 프로그램 및/또는 데이터는 외부 메모리 컨트롤러(195)의 제어 하에서 CPU(196) 또는 GPU(199)에 제공될 수 있다. GPU(199)는 그래픽 처리와 관련된 프로그램 명령(instruction)들을 실행할 수 있다. GPU(199)는 외부 메모리 컨트롤러(195)를 통해서 그래픽 데이터를 수신할 수도 있고, GPU(199)에 의해서 처리된 그래픽 데이터를 외부 메모리 컨트롤러(195)를 통해서 SoC(190) 외부로 전송할 수도 있다. 트랜잭션 유닛(197)은 각 기능 블록들의 데이터 트랜잭션을 모니터링할 수 있고, PMIC(198)는 트랜잭션 유닛(197)의 제어에 따라 각 기능 블록으로 공급되는 전력을 제어할 수 있다. 디스플레이 컨트롤러(193)는 SoC(190) 외부의 디스플레이(또는 디스플레이 장치)를 제어함으로써 SoC(190) 내부에서 생성된 데이터를 디스플레이에 전송할 수 있다.The
메모리(194)는, 비휘발성 메모리로서 EEPROM (non-volatile memory such as a Electrically Erasable Programmable Read-Only Memory), 플래시 메모리(flash memory), PRAM(Phase Change Random Access Memory), RRAM(Resistance Random Access Memory), NFGM (Nano Floating Gate Memory), PoRAM(Polymer Random Access Memory), MRAM (Magnetic Random Access Memory), FRAM(Ferroelectric Random Access Memory) 등을 포함할 수도 있고, 휘발성 메모리로서 DRAM(Dynamic Random Access Memory), SRAM(Static Random Access Memory), 모바일 DRAM, DDR SDRAM(Double Data Rate Synchronous Dynamic Random Access Memory), LPDDR (Low Power DDR) SDRAM, GDDR (Graphic DDR) SDRAM, RDRAM(Rambus Dynamic Random Access Memory) 등을 포함할 수도 있다.The
도 20은 본 개시의 예시적 실시예에 따른 프로그램을 저장하는 메모리를 포함하는 컴퓨팅 시스템(200)을 나타내는 블록도이다. 본 개시의 예시적 실시예들에 따른, 집적 회로를 제조하는 방법(예컨대, 도 4의 방법), 집적 회로의 레이아웃을 생성하는 방법(예컨대, 도 4의 S420), 표준 셀 라이브러리를 생성하는 방법(예컨대, 도 12의 방법)에 포함되는 단계들 중 적어도 일부는 컴퓨팅 시스템(200)에서 수행될 수 있다.20 is a block diagram illustrating a
컴퓨팅 시스템(200)은 데스크탑 컴퓨터, 워크스테이션, 서버 등과 같이 고정형 컴퓨팅 시스템일 수도 있고, 랩탑 컴퓨터 등과 같이 휴대형 컴퓨팅 시스템일 수도 있다. 도 20에 도시된 바와 같이, 컴퓨팅 시스템(200)은 프로세서(210), 입출력 장치들(220), 네트워크 인터페이스(230), RAM(random access memory)(240), ROM(read only memory)(250) 및 저장 장치(260)를 포함할 수 있다. 프로세서(210), 입출력 장치들(220), 네트워크 인터페이스(230), RAM(240), ROM(250) 및 저장 장치(260)는 버스(270)에 연결될 수 있고, 버스(270)를 통해서 서로 통신할 수 있다.The
프로세서(210)는 프로세싱 유닛으로 지칭될 수 있고, 예컨대 마이크로프로세서(micro-processor), AP(application processor), DSP(digital signal processor), GPU(graphic processing unit)과 같이 임의의 명령어 세트(예컨대, IA-32(Intel Architecture-32), 64 비트 확장 IA-32, x86-64, PowerPC, Sparc, MIPS, ARM, IA-64 등)를 실행할 수 있는 적어도 하나의 코어를 포함할 수 있다. 예를 들면, 프로세서(210)는 버스(270)를 통해서 메모리, 즉 RAM(240) 또는 ROM(250)에 액세스할 수 있고, RAM(240) 또는 ROM(250)에 저장된 명령어들을 실행할 수 있다. The
RAM(240)은 본 개시의 예시적 실시예에 따른 집적 회로를 제조하기 위한 프로그램(241) 또는 그것의 적어도 일부를 저장할 수 있고, 프로그램(241)은 프로세서(210)로 하여금, 집적 회로를 제조하는 방법, 집적 회로의 레이아웃을 생성하는 방법, 표준 셀 라이브러리를 생성하는 방법(예컨대, 도 12의 방법)에 포함되는 단계들 중 적어도 일부를 수행하도록 할 수 있다. 즉, 프로그램(241)은 프로세서(210)에 의해서 실행 가능한 복수의 명령어들을 포함할 수 있고, 프로그램(241)에 포함된 복수의 명령어들은 프로세서(210)로 하여금, 예컨대 전술된 순서도들에 포함된 단계들 중 적어도 일부를 수행하도록 할 수 있다.The
저장 장치(260)는 컴퓨팅 시스템(200)에 공급되는 전력이 차단되더라도 저장된 데이터를 소실하지 아니할 수 있다. 예를 들면, 저장 장치(260)는 비휘발성 메모리 장치를 포함할 수도 있고, 자기 테이프, 광학 디스크, 자기 디스크와 같은 저장 매체를 포함할 수도 있다. 또한, 저장 장치(260)는 컴퓨팅 시스템(200)으로부터 탈착 가능할 수도 있다. 저장 장치(260)는 본 개시의 예시적 실시예에 따른 프로그램(241)을 저장할 수도 있으며, 프로그램(241)이 프로세서(210)에 의해서 실행되기 이전에 저장 장치(260)로부터 프로그램(241) 또는 그것의 적어도 일부가 RAM(240)으로 로딩될 수 있다. 다르게는, 저장 장치(260)는 프로그램 언어로 작성된 파일을 저장할 수 있고, 파일로부터 컴파일러 등에 의해서 생성된 프로그램(241) 또는 그것의 적어도 일부가 RAM(240)으로 로딩될 수 있다. 또한, 도 20에 도시된 바와 같이, 저장 장치(260)는 데이터베이스(261)를 저장할 수 있고, 데이터베이스(261)는 집적 회로를 설계하는데 필요한 정보, 예컨대 도 4의 표준 셀 라이브러리(D42), 도 12의 입력 표준 셀 라이브러리(D121) 및 출력 표준 셀 라이브러리(D122) 중 적어도 일부를 포함할 수 있다.The
저장 장치(260)는 프로세서(210)에 의해서 처리될 데이터 또는 프로세서(210)에 의해서 처리된 데이터를 저장할 수도 있다. 즉, 프로세서(210)는 프로그램(241)에 따라, 저장 장치(260)에 저장된 데이터를 처리함으로써 데이터를 생성할 수 있고, 생성된 데이터를 저장 장치(260)에 저장할 수도 있다. 예를 들면, 저장 장치(260)는, 도 4의 RTL 데이터(D41), 네트리스트 데이터(D43) 및/또는 레이아웃 데이터(D44)를 저장할 수도 있고, 도 18의 네트리스트 데이터(D181), 레이아웃 데이터(D182) 및/또는 결과 데이터(D184)를 저장할 수도 있다.The
입출력 장치들(220)은 키보드, 포인팅 장치 등과 같은 입력 장치를 포함할 수 있고, 디스플레이 장치, 프린터 등과 같은 출력 장치를 포함할 수 있다. 예를 들면, 사용자는 입출력 장치들(220)을 통해서, 프로세서(210)에 의해 프로그램(241)의 실행을 트리거할 수도 있고, 도 4의 RTL 데이터(D41) 및/또는 네트리스트 데이터(D43)를 입력할 수도 있으며, 도 4의 레이아웃 데이터(D44)를 확인할 수도 있다.The input/
네트워크 인터페이스(230)는 컴퓨팅 시스템(200) 외부의 네트워크에 대한 액세스를 제공할 수 있다. 예를 들면, 네트워크는 다수의 컴퓨팅 시스템들 및 통신 링크들을 포함할 수 있고, 통신 링크들은 유선 링크들, 광학 링크들, 무선 링크들 또는 임의의 다른 형태의 링크들을 포함할 수 있다.
이상에서와 같이 도면과 명세서에서 예시적인 실시예들이 개시되었다. 본 명세서에서 특정한 용어를 사용하여 실시예들을 설명되었으나, 이는 단지 본 개시의 기술적 사상을 설명하기 위한 목적에서 사용된 것이지 의미 한정이나 특허청구범위에 기재된 본 개시의 범위를 제한하기 위하여 사용된 것은 아니다. 그러므로 본 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서, 본 개시의 진정한 기술적 보호범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.Exemplary embodiments have been disclosed in the drawings and specification as described above. Although the embodiments have been described using specific terms in the present specification, these are used only for the purpose of explaining the technical spirit of the present disclosure, and are not used to limit the meaning or the scope of the present disclosure described in the claims. . Therefore, it will be understood by those skilled in the art that various modifications and equivalent other embodiments are possible therefrom. Accordingly, the true technical protection scope of the present disclosure should be defined by the technical spirit of the appended claims.
Claims (20)
상기 복수의 표준 셀들 각각은, 제1 수평방향으로 연장되는 적어도 하나의 게이트 라인을 포함하는 FEOL(front end of line) 영역 및 상기 FEOL 영역 상의 BEOL(back end of line) 영역을 포함하고,
상기 복수의 표준 셀들 중 하나로서 제1 표준 셀의 BEOL 영역은, 상기 제1 표준 셀의 FEOL 영역과 수직방향으로 중첩되지 아니하고 상기 제1 수평방향과 수직인 제2 수평방향으로 돌출된, 처마(eaves) 부분을 포함하는 것을 특징으로 하는 집적 회로.An integrated circuit comprising a plurality of standard cells, comprising:
Each of the plurality of standard cells includes a front end of line (FEOL) region including at least one gate line extending in a first horizontal direction and a back end of line (BEOL) region on the FEOL region,
The BEOL area of the first standard cell as one of the plurality of standard cells does not vertically overlap with the FEOL area of the first standard cell and protrudes in a second horizontal direction perpendicular to the first horizontal direction, the eaves ( eaves) portion.
상기 복수의 표준 셀들은, 상기 제1 표준 셀과 상기 제2 수평방향으로 인접한 제2 표준 셀을 더 포함하고,
상기 제2 표준 셀의 FEOL 영역은, 상기 제1 표준 셀의 상기 처마 부분과 수직방향으로 중첩되고 상기 제2 수평방향의 반대방향으로 돌출된, 제1 스텝(step) 부분을 포함하는 것을 특징으로 하는 집적 회로.The method according to claim 1,
The plurality of standard cells further include a second standard cell adjacent to the first standard cell in the second horizontal direction,
The FEOL region of the second standard cell is vertically overlapped with the eaves of the first standard cell and protrudes in a direction opposite to the second horizontal direction, characterized in that it includes a first step portion integrated circuit.
상기 제1 표준 셀의 FEOL 영역 및 상기 제2 표준 셀의 FEOL 영역 사이에서 상기 제1 수평방향으로 연장되는 싱글 디퓨전 브레이크(single diffusion break)를 더 포함하는 집적 회로.3. The method according to claim 2,
and a single diffusion break extending in the first horizontal direction between a FEOL region of the first standard cell and a FEOL region of the second standard cell.
상기 제2 표준 셀의 BEOL 영역은, 상기 제2 표준 셀의 FEOL 영역과 수직방향으로 중첩되지 아니하고 상기 제2 수평방향으로 돌출된, 처마 부분을 포함하고,
상기 제2 표준 셀의 상기 처마 부분은, 상기 제2 표준 셀의 상기 제1 스텝 부분과 동일한 상기 제2 수평방향의 길이를 가지는 것을 특징으로 하는 집적 회로.3. The method according to claim 2,
The BEOL region of the second standard cell does not vertically overlap with the FEOL region of the second standard cell and protrudes in the second horizontal direction, including an eaves portion,
and the eaves portion of the second standard cell has the same length in the second horizontal direction as the first step portion of the second standard cell.
상기 제2 표준 셀의 FEOL 영역은, 상기 제2 표준 셀의 BEOL 영역과 수직방향으로 중첩되지 아니하고 상기 제2 수평방향으로 돌출된, 제2 스텝 부분을 더 포함하고,
상기 제2 표준 셀의 상기 제2 스텝 부분은, 상기 제2 표준 셀의 상기 제1 스텝 부분과 동일한 상기 제2 수평방향의 길이를 가지는 것을 특징으로 하는 집적 회로.3. The method according to claim 2,
The FEOL region of the second standard cell further includes a second step portion that does not vertically overlap with the BEOL region of the second standard cell and protrudes in the second horizontal direction,
and the second step portion of the second standard cell has the same length in the second horizontal direction as the first step portion of the second standard cell.
상기 복수의 표준 셀들은, 상기 제1 표준 셀과 상기 제2 수평방향으로 인접한 제3 표준 셀을 더 포함하고,
상기 제3 표준 셀의 BEOL 영역은, 상기 제3 표준 셀의 FEOL 영역과 수직방향으로 중첩되지 아니하고 상기 제2 수평방향의 반대방향으로 돌출된, 처마 부분을 포함하는 것을 특징으로 하는 집적 회로.The method according to claim 1,
The plurality of standard cells further include a third standard cell adjacent to the first standard cell in the second horizontal direction,
The BEOL region of the third standard cell does not vertically overlap with the FEOL region of the third standard cell but protrudes in a direction opposite to the second horizontal direction, the integrated circuit comprising an eaves portion.
상기 제1 표준 셀의 FEOL 영역 및 상기 제3 표준 셀의 FEOL 영역 사이에서 상기 제1 수평방향으로 연장되고, 상기 제1 및 제3 표준 셀의 처마 부분들과 수직방향으로 중첩되는, 더블 디퓨전 브레이크(double diffusion break)를 더 포함하는 집적 회로.7. The method of claim 6,
A double diffusion break extending in the first horizontal direction between the FEOL area of the first standard cell and the FEOL area of the third standard cell and vertically overlapping with the eaves portions of the first and third standard cells (double diffusion break).
상기 제1 표준 셀의 FEOL 영역은, 상기 제1 표준 셀의 BEOL 영역과 수직방향으로 중첩되지 아니하고 상기 제2 수평방향의 반대방향으로 돌출된, 스텝 부분을 포함하고,
상기 제1 표준 셀의 상기 스텝 부분은 상기 제1 표준 셀의 상기 처마 부분과 동일한 상기 제2 수평방향의 길이를 가지는 것을 특징으로 하는 집적 회로.The method according to claim 1,
The FEOL region of the first standard cell includes a step portion that does not vertically overlap with the BEOL region of the first standard cell and protrudes in a direction opposite to the second horizontal direction,
and the step portion of the first standard cell has the same length in the second horizontal direction as the eaves portion of the first standard cell.
상기 복수의 표준 셀들은, 상기 제1 표준 셀과 상기 제2 수평방향의 반대방향으로 인접한 제4 표준 셀을 더 포함하고,
상기 제4 표준 셀의 FEOL 영역은, 상기 제4 표준 셀의 BEOL 영역과 수직방향으로 중첩되지 아니하고 상기 제2 수평방향으로 돌출된, 스텝 부분을 포함하는 것을 특징으로 하는 집적 회로.9. The method of claim 8,
The plurality of standard cells further include a fourth standard cell adjacent to the first standard cell in a direction opposite to the second horizontal direction,
The FEOL region of the fourth standard cell includes a step portion that does not vertically overlap with the BEOL region of the fourth standard cell and protrudes in the second horizontal direction.
상기 제1 및 제4 표준 셀의 FEOL 영역들 사이에서 상기 제1 수평방향으로 연장되는 싱글 디퓨전 브레이크를 더 포함하는 집적 회로.10. The method of claim 9,
and a single diffusion brake extending in the first horizontal direction between FEOL regions of the first and fourth standard cells.
상기 제1 및 제4 표준 셀의 BEOL 영역들 사이에서 상기 제2 수평방향으로 연장되고, 상기 제1 및 제2 표준 셀의 파워 라인들을 연결하는 적어도 하나의 패턴을 더 포함하는 집적 회로.9. The method of claim 8,
and at least one pattern extending in the second horizontal direction between the BEOL regions of the first and fourth standard cells and connecting power lines of the first and second standard cells.
상기 복수의 표준 셀들은, 상기 제1 표준 셀과 상기 제2 수평방향의 반대방향으로 인접한 제5 표준 셀을 더 포함하고,
상기 제5 표준 셀의 BEOL 영역은, 상기 제5 표준 셀의 FEOL 영역과 수직방향으로 중첩되지 아니하고 상기 제2 수평방향 및 상기 제2 수평방향의 반대방향으로 각각 돌출되고 동일한 상기 제2 수평방향의 길이를 가지는, 제1 및 제2 처마 부분을 포함하고,
상기 제5 표준 셀의 상기 제1 처마 부분은, 상기 제1 표준 셀의 스텝 부분과 수직방향으로 중첩되는 것을 특징으로 하는 집적 회로.9. The method of claim 8,
The plurality of standard cells further include a fifth standard cell adjacent to the first standard cell in a direction opposite to the second horizontal direction,
The BEOL region of the fifth standard cell does not vertically overlap with the FEOL region of the fifth standard cell, but protrudes in the second horizontal direction and the second horizontal direction opposite to the second horizontal direction. comprising first and second eaves portions having a length;
and the first eaves portion of the fifth standard cell vertically overlaps with the step portion of the first standard cell.
상기 제1 표준 셀의 FEOL 영역은, 적어도 하나의 트랜지스터 및 상기 적어도 하나의 트랜지스터에 전원 전압을 전달하는 적어도 하나의 컨택을 더 포함하고,
상기 적어도 하나의 컨택은 상기 제1 표준 셀의 스텝 부분에 인접한 것을 특징으로 하는 집적 회로.9. The method of claim 8,
The FEOL region of the first standard cell further includes at least one transistor and at least one contact for transferring a power supply voltage to the at least one transistor;
and said at least one contact is adjacent to a step portion of said first standard cell.
상기 복수의 표준 셀들은, 상기 제1 표준 셀과 동일한 기능을 제공하고 상기 제1 표준 셀을 상기 제1 수평방향과 평행한 축을 중심으로 대칭시킴으로써 상기 제2 수평방향의 반대방향으로 돌출된 BEOL 영역의 처마 부분을 포함하는, 제6 표준 셀을 더 포함하는 집적 회로.The method according to claim 1,
The plurality of standard cells provide the same function as the first standard cell and project the BEOL region in the opposite direction to the second horizontal direction by symmetry of the first standard cell about an axis parallel to the first horizontal direction. The integrated circuit further comprising a sixth standard cell comprising an eaves portion of
상기 복수의 표준 셀들은, 상기 제1 표준 셀과 동일한 크기로 동일하게 적층된 FEOL 영역 및 BEOL 영역을 포함하고 상기 제1 표준 셀과 상이한 핀 배치를 가지는 제7 표준 셀을 더 포함하는 집적 회로.The method according to claim 1,
The plurality of standard cells further include a seventh standard cell including a FEOL region and a BEOL region stacked identically to the same size as the first standard cell and having a different pin arrangement from the first standard cell.
상기 제7 표준 셀은, 상기 제1 표준 셀의 FEOL 영역을 상기 제1 수평방향과 평행한 축을 중심으로 대칭시킨 FEOL 영역을 포함하는 것을 특징으로 하는 집적 회로.16. The method of claim 15,
and the seventh standard cell includes a FEOL region in which the FEOL region of the first standard cell is symmetrical about an axis parallel to the first horizontal direction.
상기 복수의 표준 셀들 각각은, 제1 수평방향으로 연장되는 적어도 하나의 게이트 라인을 포함하는 FEOL(front end of line) 영역 및 상기 FEOL 영역 상의 BEOL(back end of line) 영역을 포함하고,
상기 복수의 표준 셀들은, 상기 제1 수평방향과 수직인 제2 수평방향으로 연속적으로 배치된 제1 표준 셀 및 제2 표준 셀을 포함하고,
상기 제1 표준 셀의 BEOL 영역은, 상기 제2 표준 셀의 FEOL 영역의 적어도 일부와 수직방향으로 중첩되는 것을 특징으로 하는 집적 회로.An integrated circuit comprising a plurality of standard cells, comprising:
Each of the plurality of standard cells includes a front end of line (FEOL) region including at least one gate line extending in a first horizontal direction and a back end of line (BEOL) region on the FEOL region,
The plurality of standard cells include a first standard cell and a second standard cell continuously arranged in a second horizontal direction perpendicular to the first horizontal direction,
The BEOL region of the first standard cell vertically overlaps with at least a portion of the FEOL region of the second standard cell.
제1 수평방향으로 연장되는 적어도 하나의 게이트 라인을 포함하는 FEOL(front end of line) 영역 및 상기 FEOL 영역 상의 BEOL(back end of line) 영역을 각각 포함하는 복수의 표준 셀들을 정의하는 표준 셀 라이브러리에 엑세스하는 단계; 및
상기 표준 셀 라이브러리에 기초하여 표준 셀을 배치하는 단계를 포함하고,
상기 표준 셀을 배치하는 단계는, 제1 표준 셀에서 상기 제1 수평방향과 수직인 제2 수평방향으로 돌출된 BEOL 영역의 처마 부분 및 제2 표준 셀에서 상기 제2 수평방향과 반대방향으로 돌출된 FEOL 영역의 스텝 부분이 수직방향으로 중첩되도록, 상기 제1 표준 셀에 상기 제2 수평방향으로 인접하게 상기 제2 표준 셀을 배치하는 단계를 포함하는 것을 특징으로 하는 컴퓨터 구현 방법.A computer-implemented method of generating a layout of an integrated circuit, comprising:
A standard cell library defining a plurality of standard cells each including a front end of line (FEOL) region including at least one gate line extending in a first horizontal direction and a back end of line (BEOL) region on the FEOL region access to; and
Placing standard cells based on the standard cell library,
The arranging of the standard cell includes the eaves of the BEOL region protruding in a second horizontal direction perpendicular to the first horizontal direction from the first standard cell and the second standard cell projecting in the opposite direction to the second horizontal direction. and arranging the second standard cell adjacent to the first standard cell in the second horizontal direction so that the step portion of the FEOL region is vertically overlapped.
상기 제2 표준 셀을 배치하는 단계는, 상기 제2 표준 셀과 동일한 기능을 제공하고 상기 제2 수평방향으로 돌출된 FEOL 영역의 스텝 부분을 포함하는 표준 셀을 플립(flip)함으로써 상기 제2 표준 셀을 생성하는 단계를 포함하는 것을 특징으로 하는 컴퓨터 구현 방법.19. The method of claim 18,
The disposing of the second standard cell may include flipping a standard cell that provides the same function as the second standard cell and includes a step portion of the second horizontally protruding FEOL region. A computer implemented method comprising generating a cell.
제1 수평방향으로 연장되는 적어도 하나의 게이트 라인을 포함하고 상기 제1 수평방향과 수직인 제2 수평방향으로 대향하는 양단에서 상기 제1 수평방향으로 각각 연장되는 더블 디퓨전 브레이크들(double diffusion break)이 형성된 제1 FEOL 영역, 및 상기 제1 FEOL 영역 상의 제1 BEOL 영역을 포함하는 제1 표준 셀을 정의하는 입력 라이브러리를 수신하는 단계; 및
상기 제1 표준 셀과 동일한 기능을 제공하고, 상기 제2 수평방향으로 대향하는 양단에서 상기 제1 수평방향으로 각각 연장되는 싱글 디퓨전 브레이크들이 형성된 제2 FEOL 영역, 및 상기 제2 FEOL 영역 상의 제2 BEOL 영역을 포함하는 제2 표준 셀을 정의하는 출력 라이브러리를 생성하는 단계를 포함하고,
상기 출력 라이브러리는, 상기 제2 수평방향으로 돌출된 상기 제2 BEOL 영역의 처마 부분 및 상기 제2 수평방향의 반대방향으로 돌출된 상기 제2 FEOL 영역의 스텝 부분을 정의하는 것을 특징으로 하는 컴퓨터 구현 방법.A computer-implemented method for creating a standard cell library defining a plurality of standard cells for creating a layout of an integrated circuit, the method comprising:
Double diffusion breaks including at least one gate line extending in a first horizontal direction and extending in the first horizontal direction at both ends opposite to each other in a second horizontal direction perpendicular to the first horizontal direction receiving an input library defining a first standard cell including the formed first FEOL region and a first BEOL region on the first FEOL region; and
A second FEOL region providing the same function as the first standard cell and having single diffusion breaks respectively extending in the first horizontal direction at opposite ends of the second horizontal direction, and a second on the second FEOL region generating an output library defining a second standard cell comprising a BEOL region;
The output library defines an eaves portion of the second BEOL region protruding in the second horizontal direction and a step portion of the second FEOL region protruding in a direction opposite to the second horizontal direction. method.
Priority Applications (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/933,958 US10579771B2 (en) | 2017-06-14 | 2018-03-23 | Integrated circuit including standard cells overlapping each other and method of generating layout of the integrated circuit |
CN201810609378.XA CN109087914B (en) | 2017-06-14 | 2018-06-13 | Integrated circuit and computer-implemented method for producing layout of integrated circuit |
CN202310649570.2A CN116779604A (en) | 2017-06-14 | 2018-06-13 | integrated circuit |
TW107120310A TWI786131B (en) | 2017-06-14 | 2018-06-13 | Integrated circuit and computer implemented method of generating layout of the integrated circuit |
US16/750,501 US11042686B2 (en) | 2017-06-14 | 2020-01-23 | Integrated circuit including standard cells overlapping each other and method of generating layout of the integrated circuit |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR20170075016 | 2017-06-14 | ||
KR1020170075016 | 2017-06-14 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20180136355A KR20180136355A (en) | 2018-12-24 |
KR102372891B1 true KR102372891B1 (en) | 2022-03-11 |
Family
ID=65010242
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020170121869A Active KR102372891B1 (en) | 2017-06-14 | 2017-09-21 | Integrated circuit including standard cells overlapping each other and method for generating layout thereof |
Country Status (2)
Country | Link |
---|---|
KR (1) | KR102372891B1 (en) |
TW (1) | TWI786131B (en) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11533012B2 (en) | 2019-10-07 | 2022-12-20 | Toyota Motor Engineering & Manufacturing North America, Inc. | High-density integrated power control assemblies having shared cooling system with a motor |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20160214855A1 (en) * | 2015-01-28 | 2016-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Device and method for protecting feol element and beol element |
Family Cites Families (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8631379B2 (en) * | 2010-02-09 | 2014-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Decomposing integrated circuit layout |
US8987831B2 (en) * | 2012-01-12 | 2015-03-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | SRAM cells and arrays |
-
2017
- 2017-09-21 KR KR1020170121869A patent/KR102372891B1/en active Active
-
2018
- 2018-06-13 TW TW107120310A patent/TWI786131B/en active
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20160214855A1 (en) * | 2015-01-28 | 2016-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Device and method for protecting feol element and beol element |
Also Published As
Publication number | Publication date |
---|---|
TWI786131B (en) | 2022-12-11 |
TW201906083A (en) | 2019-02-01 |
KR20180136355A (en) | 2018-12-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN109087914B (en) | Integrated circuit and computer-implemented method for producing layout of integrated circuit | |
US12136626B2 (en) | Integrated circuit including multiple height cell | |
US11126781B2 (en) | Integrated circuit including standard cell and method and system for designing and manufacturing the same | |
US12125787B2 (en) | Standard cell and an integrated circuit including the same | |
KR102495912B1 (en) | Integrated circuit including standard cell and method for manufacturing the same | |
US11101267B2 (en) | Integrated circuit including multiple-height cell and method of manufacturing the integrated circuit | |
US11189639B2 (en) | Integrated circuit including interconnection and method of fabricating the same, the interconnection including a pattern shaped for mitigating electromigration | |
US20160098509A1 (en) | Integrated circuit and method of designing layout of integrated circuit | |
US11063033B2 (en) | Integrated circuits including standard cells and method of manufacturing the integrated circuits | |
US12278181B2 (en) | Integrated circuits including via array and methods of manufacturing the same | |
KR20200020262A (en) | Integrated circuit including standard cell and method for manufacturing the same | |
US12197841B2 (en) | Integrated circuit including standard cells, method of manufacturing the integrated circuit, and computing system for performing the method | |
KR20210067761A (en) | Method and computing system for integrated circuit including nanosheet | |
KR102372891B1 (en) | Integrated circuit including standard cells overlapping each other and method for generating layout thereof | |
US20230297752A1 (en) | Integrated circuits including abutted blocks and methods of designing layouts of the integrated circuits | |
KR102370619B1 (en) | Integrated circuit including interconnection for mitigating electromigration and method for fabricating the same | |
US10872817B2 (en) | Semiconductor device and method of manufacturing the same | |
KR102440365B1 (en) | Integrated circuit including standard cell and method for manufacturing the same | |
US20230307436A1 (en) | Integrated circuit including standard cells and methodof designing the same | |
US20240094987A1 (en) | Compressor circuit and semiconductor integrated circuit including the same | |
KR20240021037A (en) | Integrated circuit including multi-threshold devices and method for designing the same |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PA0109 | Patent application |
Patent event code: PA01091R01D Comment text: Patent Application Patent event date: 20170921 |
|
PG1501 | Laying open of application | ||
A201 | Request for examination | ||
PA0201 | Request for examination |
Patent event code: PA02012R01D Patent event date: 20200810 Comment text: Request for Examination of Application Patent event code: PA02011R01I Patent event date: 20170921 Comment text: Patent Application |
|
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20210630 Patent event code: PE09021S01D |
|
E701 | Decision to grant or registration of patent right | ||
PE0701 | Decision of registration |
Patent event code: PE07011S01D Comment text: Decision to Grant Registration Patent event date: 20220127 |
|
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20220304 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20220307 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration | ||
PR1001 | Payment of annual fee |
Payment date: 20250225 Start annual number: 4 End annual number: 4 |