KR101684010B1 - Contact structure of semiconductor device - Google Patents
Contact structure of semiconductor device Download PDFInfo
- Publication number
- KR101684010B1 KR101684010B1 KR1020140168711A KR20140168711A KR101684010B1 KR 101684010 B1 KR101684010 B1 KR 101684010B1 KR 1020140168711 A KR1020140168711 A KR 1020140168711A KR 20140168711 A KR20140168711 A KR 20140168711A KR 101684010 B1 KR101684010 B1 KR 101684010B1
- Authority
- KR
- South Korea
- Prior art keywords
- layer
- metal
- sige
- geb
- semiconductor device
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 239000004065 semiconductor Substances 0.000 title claims description 65
- 229910052751 metal Inorganic materials 0.000 claims abstract description 70
- 239000002184 metal Substances 0.000 claims abstract description 70
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims abstract description 63
- 239000000463 material Substances 0.000 claims abstract description 61
- 239000010410 layer Substances 0.000 claims description 269
- 238000000034 method Methods 0.000 claims description 81
- 239000000758 substrate Substances 0.000 claims description 49
- 229910052732 germanium Inorganic materials 0.000 claims description 13
- 239000011241 protective layer Substances 0.000 claims description 13
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 11
- 239000011229 interlayer Substances 0.000 claims description 7
- 238000000151 deposition Methods 0.000 claims description 6
- 229910052782 aluminium Inorganic materials 0.000 claims description 5
- 238000000137 annealing Methods 0.000 claims description 5
- 229910052719 titanium Inorganic materials 0.000 claims description 5
- 229910052796 boron Inorganic materials 0.000 claims description 4
- 229910052759 nickel Inorganic materials 0.000 claims description 4
- 229910052726 zirconium Inorganic materials 0.000 claims description 4
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims description 3
- 229910052790 beryllium Inorganic materials 0.000 claims description 3
- 229910052735 hafnium Inorganic materials 0.000 claims description 3
- 229910052738 indium Inorganic materials 0.000 claims description 3
- 229910052749 magnesium Inorganic materials 0.000 claims description 3
- 229910052750 molybdenum Inorganic materials 0.000 claims description 3
- 229910052706 scandium Inorganic materials 0.000 claims description 3
- 229910052712 strontium Inorganic materials 0.000 claims description 3
- 229910052715 tantalum Inorganic materials 0.000 claims description 2
- 230000004888 barrier function Effects 0.000 abstract description 19
- SCCCLDWUZODEKG-UHFFFAOYSA-N germanide Chemical compound [GeH3-] SCCCLDWUZODEKG-UHFFFAOYSA-N 0.000 abstract description 13
- 230000007246 mechanism Effects 0.000 abstract description 3
- 230000008569 process Effects 0.000 description 62
- 229910052710 silicon Inorganic materials 0.000 description 20
- 239000010703 silicon Substances 0.000 description 18
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 17
- 229910006137 NiGe Inorganic materials 0.000 description 14
- 238000002955 isolation Methods 0.000 description 12
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 10
- 238000005229 chemical vapour deposition Methods 0.000 description 10
- 229910021332 silicide Inorganic materials 0.000 description 10
- 229910052581 Si3N4 Inorganic materials 0.000 description 9
- 229920002120 photoresistant polymer Polymers 0.000 description 9
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 9
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 9
- 229910052814 silicon oxide Inorganic materials 0.000 description 9
- 230000015572 biosynthetic process Effects 0.000 description 8
- 238000005530 etching Methods 0.000 description 8
- 238000004519 manufacturing process Methods 0.000 description 8
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 239000003989 dielectric material Substances 0.000 description 7
- 239000002019 doping agent Substances 0.000 description 7
- 238000005240 physical vapour deposition Methods 0.000 description 7
- 125000006850 spacer group Chemical group 0.000 description 7
- 238000004151 rapid thermal annealing Methods 0.000 description 6
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 6
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 5
- 229910044991 metal oxide Inorganic materials 0.000 description 5
- 150000004706 metal oxides Chemical class 0.000 description 5
- 206010010144 Completed suicide Diseases 0.000 description 4
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 4
- -1 germanide compound Chemical class 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 239000000126 substance Substances 0.000 description 4
- 238000001039 wet etching Methods 0.000 description 4
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 230000007797 corrosion Effects 0.000 description 3
- 238000005260 corrosion Methods 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 230000005669 field effect Effects 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 238000007747 plating Methods 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- 229910052709 silver Inorganic materials 0.000 description 3
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- 229910005883 NiSi Inorganic materials 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 229910052791 calcium Inorganic materials 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 230000000295 complement effect Effects 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 229910052737 gold Inorganic materials 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 229910052727 yttrium Inorganic materials 0.000 description 2
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- 229910052684 Cerium Inorganic materials 0.000 description 1
- 229910052691 Erbium Inorganic materials 0.000 description 1
- 229910052693 Europium Inorganic materials 0.000 description 1
- 229910052688 Gadolinium Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910005705 Ge—B Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910052765 Lutetium Inorganic materials 0.000 description 1
- 229910052779 Neodymium Inorganic materials 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 description 1
- 229910052777 Praseodymium Inorganic materials 0.000 description 1
- 229910052772 Samarium Inorganic materials 0.000 description 1
- 229910020263 SiP Inorganic materials 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 229910052771 Terbium Inorganic materials 0.000 description 1
- 229910052775 Thulium Inorganic materials 0.000 description 1
- 229910010038 TiAl Inorganic materials 0.000 description 1
- 229910010037 TiAlN Inorganic materials 0.000 description 1
- 229910008484 TiSi Inorganic materials 0.000 description 1
- 229910052769 Ytterbium Inorganic materials 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- RBFDCQDDCJFGIK-UHFFFAOYSA-N arsenic germanium Chemical compound [Ge].[As] RBFDCQDDCJFGIK-UHFFFAOYSA-N 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- VTYDSHHBXXPBBQ-UHFFFAOYSA-N boron germanium Chemical compound [B].[Ge] VTYDSHHBXXPBBQ-UHFFFAOYSA-N 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 150000002291 germanium compounds Chemical class 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 229910052744 lithium Inorganic materials 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/62—Fin field-effect transistors [FinFET]
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/791—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions
- H10D30/792—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions comprising applied insulating layers, e.g. stress liners
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/791—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions
- H10D30/797—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions being in source or drain regions, e.g. SiGe source or drain
Landscapes
- Insulated Gate Type Field-Effect Transistor (AREA)
- Electrodes Of Semiconductors (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Abstract
전술한 실시예는 낮은 저항을 가진 콘택 구조물을 형성하는 메카니즘을 제공한다. 복수의 서브층을 가진 변형된 재료 스택은. 콘택 구조물 아래의 도전성층들의 SBH(schottky barrier height)를 낮추는데 사용된다. 변형된 재료 스택은 SiGe 메인 층, 그레이디드 SiG 층, GeB 층, Ge 층 및 SiGe 상부층을 포함한다. GeB 층은 쇼트키 배리어를 GeB와 금속 저마나이드 사이의 계면으로 이동시키고, 이는 쇼트키 배리어 높이(SBH)를 크게 감소시킨다. SBH가 낮아질 수록, SiGe 상부층에서의 Ge는 금속 저마나이드를 형성하고, GeB 층에서의 높은 B 농도는 콘택 구조물 아래의 도전층의 저항을 감소시키는데 도움을 준다.The embodiments described above provide a mechanism for forming a contact structure with low resistance. A modified material stack having a plurality of sublayers. Is used to lower the schottky barrier height (SBH) of the conductive layers below the contact structure. The strained material stack includes a SiGe main layer, a graded SiG layer, a GeB layer, a Ge layer and a SiGe upper layer. The GeB layer moves the Schottky barrier to the interface between GeB and the metal germanide, which greatly reduces the Schottky barrier height (SBH). As SBH is lowered, Ge in the upper SiGe layer forms a metal germanide, and a higher B concentration in the GeB layer helps to reduce the resistance of the conductive layer below the contact structure.
Description
관련 출원들의 교차 참조Cross reference of related applications
이 출원은 명칭이 “Contact Structure of Semiconductor Device”(Atty Docket No. TSM12-0787)이며 2012년 11월 8일자로 출원된 이하의 계류중이고 일반적으로 양도된 특허 출원 시리얼 제13/672,258호에 관한 것으로, 이 출원은 참조에 의해 여기에 통합된다.This application is related to the following pending and commonly assigned patent application Serial No. 13 / 672,258, entitled " Contact Structure of Semiconductor Device " (Atty Docket No. TSM12-0787), filed November 8, , Which is incorporated herein by reference.
본 발명은 반도체 디바이스의 콘택 구조물에 관한 것이다.The present invention relates to a contact structure of a semiconductor device.
반도체 산업이 더 높은 디바이스 밀도, 더 높은 성능 및 더 낮은 비용을 추구하여 나노미터 기술 프로세스 노드로 진행함에 따라, 제조 및 설계 이슈 둘 다로 부터의 도전과제는 핀 전계 효과 트랜지스터(FinFET; fin field effect transistor)와 같은 반도체 디바이스의 3차원 설계의 발전을 일으켰다. 통상의 FinFET는, 예를 들어 기판의 실리콘 층의 일부를 에칭 제거함으로써 형성되는, 기판으로부터 연장되는 얇은 수직 "핀(fin)"(또는 핀 구조)을 갖도록 제조된다. FinFET의 채널은 이 수직 핀에 형성된다. 핀의 3면 위에(예를 들어 감싸며) 게이트가 제공된다. 채널의 양측에 게이트를 갖는 것은 양측으로부터 채널의 게이트 제어를 가능하게 한다. FinFET의 부가의 이점은 단채널 효과를 감소시키는 것과 보다 높은 전류 흐름을 포함한다.As the semiconductor industry moves toward nanometer technology process nodes in pursuit of higher device density, higher performance and lower cost, the challenge from both manufacturing and design issues is to use fin field effect transistors (" ≪ / RTI > has led to the development of a three-dimensional design of semiconductor devices. A typical FinFET is fabricated to have a thin vertical "fin" (or fin structure) that extends from the substrate, for example, formed by etching away a portion of the silicon layer of the substrate. A channel of the FinFET is formed in this vertical pin. Gates are provided on three sides of the pins (for example, wrapping). Having a gate on both sides of the channel enables gate control of the channel from both sides. An additional benefit of FinFETs is that they reduce the short channel effect and include a higher current flow.
그러나, 상보형 금속 산화물 반도체(CMOS; complementary metal-oxidesemiconductor) 제조에 있어서 이러한 특징 및 프로세스의 구현의 난제가 존재한다. 예를 들어, 변형 재료(strained material) 상의 실리사이드 형성은 FinFET의 소스/드레인 영역의 높은 컨택 저항을 야기함으로써, 디바이스 성능을 저하시킨다.However, there are challenges in implementing such features and processes in complementary metal-oxide semiconductor (CMOS) fabrication. For example, silicide formation on a strained material results in high contact resistance of the source / drain regions of the FinFET, thereby degrading device performance.
일부 실시예에 따르면, 반도체 디바이스 구조가 제공된다. 반도체 디바이스 구조는, 반도체 기판의 표면 위에 형성되는 게이트 구조물 및 그 게이트 구조물에 인접한 오목부를 포함한다. 오목부는 반도체 기판의 표면 아래에 형성된다. 반도체 디바이스 구조는 또한 오목부를 채우는 변형된 재료 스택을 포함하며, 변형된 재료 스택 내의 재료의 격자 상수는, 기판의 격자 상수와 다르다. 변형 재료 스택은, 붕소 도핑된(B-도핑된) 게르마늄(GeB) 층, 금속-Ge 층, 및 금속-SiGe 층을 포함한다. 반도체 디바이스 구조는 층간 유전체(ILD) 층에 형성된 콘택 구조물을 더 포함하며, 콘택 구조물의 바닥 부분은 금속-SiGe 층과 접촉한다.According to some embodiments, a semiconductor device structure is provided. The semiconductor device structure includes a gate structure formed on the surface of the semiconductor substrate and a recess adjacent to the gate structure. The recesses are formed below the surface of the semiconductor substrate. The semiconductor device structure also includes a strained material stack that fills the recesses, wherein the lattice constant of the material in the strained material stack is different from the lattice constant of the substrate. The strained material stack includes a boron doped (B-doped) germanium (GeB) layer, a metal-Ge layer, and a metal-SiGe layer. The semiconductor device structure further includes a contact structure formed in the interlayer dielectric (ILD) layer, wherein a bottom portion of the contact structure contacts the metal-SiGe layer.
일부 다른 실시예에 따르면, 반도체 디바이스 구조가 제공된다. 반도체 디바이스 구조는, 반도체 기판의 표면 위에 형성된 게이트 구조물과, 그 게이트 구조물에 인접한 오목부를 포함한다. 오목부는 반도체 기판의 표면 아래에 형성된다. 반도체 디바이스 구조는 또한 오목부를 채우는 변형된 재료 스택을 포함한다. 변형 재료 스택은 SiGe 층, 그레이디드 SiGe 층, 붕소-도핑된(B-도핑된) 게르마늄(GeB) 층, 금속-Ge 층, 및 금속-SiGe 층을 포함한다. 반도체 디바이스 구조는, 층간 유전체(ILD) 층에 형성된 콘택 구조물을 더 포함하며, 콘택 구조물의 바닥 부분은 금속-SiGe층과 접촉한다.According to some alternative embodiments, a semiconductor device structure is provided. The semiconductor device structure includes a gate structure formed on the surface of the semiconductor substrate and a recess adjacent to the gate structure. The recesses are formed below the surface of the semiconductor substrate. The semiconductor device structure also includes a strained material stack that fills the recesses. The strained material stack includes a SiGe layer, a graded SiGe layer, a boron-doped (B-doped) germanium (GeB) layer, a metal-Ge layer, and a metal-SiGe layer. The semiconductor device structure further includes a contact structure formed in the interlayer dielectric (ILD) layer, wherein a bottom portion of the contact structure contacts the metal-SiGe layer.
그러나, 일부 다른 실시예에 따르면, 반도체 디바이스 구조를 형성하는 방법이 제공된다. 이 방법은 반도체 기판의 표면 위에 형성된 게이트 구조물을 형성하는 것과, 이 게이트 구조물에 인접한 오목부를 형성하는 것을 포함한다. 오목부는 반도체 기판의 표면 아래에 형성된다. 이 방법은, 또한 오목부를 채우는 변형된 재료 스택을 형성하는 것을 포함한다. 변형 재료 스택은 제1 SiGe 층, 그레이디드 SiGe 층, 붕소-도핑된(B-도핑된) 게르마늄(GeB) 층, Ge 층, 및 제2 SiGe층을 포함한다.However, in accordance with some alternative embodiments, a method of forming a semiconductor device structure is provided. The method includes forming a gate structure formed on a surface of a semiconductor substrate and forming a recess adjacent to the gate structure. The recesses are formed below the surface of the semiconductor substrate. The method also includes forming a strained material stack that fills the recesses. The strained material stack includes a first SiGe layer, a graded SiGe layer, a boron-doped (B-doped) germanium (GeB) layer, a Ge layer, and a second SiGe layer.
본 개시는 첨부 도면과 함께 볼 때 다음의 상세한 설명으로부터 가장 잘 이해된다. 산업계에서의 표준 실시에 따라, 다양한 특징부들이 축척대로 도시된 것은 아니고 단지 설명을 위한 목적으로 사용된 것임을 강조한다. 사실상, 다양한 특징부들의 치수는 설명을 명확하게 하기 위해 임의적으로 증가되거나 감소되어질 수 있다.
도 1은 본 개시의 다양한 양태에 따라 반도체 디바이스의 컨택 구조물을 제조하는 방법을 예시한 흐름도이다.
도 2a 내지 도 2h는 본 개시의 다양한 양태에 따른 다양한 제조 단계에서 컨택 구조물을 포함하는 반도체 디바이스의 개략 단면도이다.
도 3은 일부 실시예에 따라 게이트 구조물 바로 옆의 오목부를 채우는 변형 재료 스택에서의 다양한 변형 재료를 나타낸다.
도 4a 내지 도 4c는 본 개시의 다양한 양태에 따른 다양한 제조 단계에서 콘택 구조물의 일부에 대한 확장된 단면도이다. The present disclosure is best understood from the following detailed description when taken in conjunction with the accompanying drawings. In accordance with standard practice in industry, it is emphasized that the various features are not drawn to scale but are used for illustration purposes only. Indeed, the dimensions of the various features may be increased or decreased arbitrarily to clarify the description.
1 is a flow chart illustrating a method of manufacturing a contact structure of a semiconductor device according to various aspects of the present disclosure.
2A-2H are schematic cross-sectional views of a semiconductor device including contact structures in various fabrication steps according to various aspects of the present disclosure.
FIG. 3 illustrates various strained materials in a strained material stack that fills the recess immediately adjacent to the gate structure, in accordance with some embodiments.
4A-4C are enlarged cross-sectional views of a portion of a contact structure in various fabrication steps in accordance with various aspects of the present disclosure.
다음의 개시는 본 개시의 다양한 특징들을 구현하기 위한 많은 다양한 실시예 또는 예를 제공하는 것임을 이해하여야 한다. 컴포넌트 및 구성의 구체적 예가 본 개시를 단순화하도록 아래에 기재된다. 이들은 물론 단지 예일 뿐이며 한정하고자 하는 것이 아니다. 예를 들어, 이어지는 다음 설명에서 제2 특징부 상에 또는 위에 제1 특징부를 형성하는 것은 제1 및 제2 특징부가 직접 접촉하여 형성되는 실시예를 포함할 수 있고, 또한 제1 특징부와 제2 특징부가 직접 접촉하지 않도록 제1 특징부와 제2 특징부 사이에 추가의 특징부가 형성될 수 있는 실시예도 포함할 수 있다. 또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이 반복은 단순하고 명확하게 하기 위한 목적이고, 그 자체가 설명되는 다양한 실시예 및/또는 구성 간의 관계를 지시하는 것은 아니다.It is to be understood that the following disclosure is intended to provide many different embodiments or examples for implementing various aspects of the disclosure. Specific examples of components and configurations are described below to simplify the present disclosure. These are, of course, merely examples and not intended to be limiting. For example, in the following description, forming the first feature on or on the second feature may include an embodiment in which the first and second features are formed in direct contact, and the first feature and the second feature 2 feature may be formed between the first feature and the second feature such that the feature is not in direct contact with the second feature. In addition, the present disclosure may repeat the reference numerals and / or characters in various examples. This repetition is for the sake of simplicity and clarity and does not itself indicate the relationship between the various embodiments and / or configurations described.
도 1을 참조하면, 일부 실시예에 따라 반도체 디바이스의 콘택 구조물을 제조하는 방법(100)의 흐름도가 예시된다. 방법(100)은, 동작 102로 시작하며, 여기서 기판은 게이트 구조물과, 그 게이트 구조물의 각 측면에서의 격리(isolation) 구조물을 포함한다. 방법(100)은, 동작 104로 지속되며, 여기서 오목부가 게이트 구조물 및 격리 구조물 사이에 형성된다. 오목부가 형성된 이후에, 동작 106에서, 오목부를 채우기 위하여 변형된 재료를 에피택셜적으로 성장시킨다. 변형 재료는 기판의 격자 상수와 다른 격자 상수를 가진 재료를 포함한다.Referring to Figure 1, a flow diagram of a
방법(100)은 그 후에 동작 108로 지속되고, 여기서 층간 유전체(ILD) 층이 게이트 구조물, 채워진 오목부의 표면 및 격리 구조물을 커버하기 위하여 기판 위에 형성된다. 방법(100)은 동작 110으로 지속되고, 여기서 콘택 개구는 오목부를 채우는 변형 재료의 상부 표면을 노출시키기 위하여 ILD 층 내에 형성된다. 그 후에, 방법(100)은 동작 112로 지속되고, 여기서 기판의 표면 위에 금속 층 및 보호 층이 퇴적된다. 금속 층은 콘택 개구에 막을 형성하기 위하여 퇴적되고, 보호 층은 금속 층 위에 퇴적된다.The
그 후, 방법(100)은 동작 114로 지속되고, 여기서 기판은 열 공정 처리되어 콘택 개구의 바닥 및 그 바닥을 둘러싸는 영역에서 금속 실리사이드 및 금속 저마나이드(germanide)(금속-Ge) 화합물을 형성한다. 금속 실리사이드 및 금속 저마나이드 화합물은, 금속 층과, 그 금속 층과 접촉되는 변형 재료의 상부 표면 부근의 실리콘 및 게르마늄에 의해 형성된다. 그 후에, 동작 116에서, 기판은 에칭 공정 처리되어 보호 층 및 미반응된 금속 층을 제거한다. 일부 실시예에서, 선택적 동작 118은, 동작 116 이후에 수행된다. 동작 118은 콘택 개구의 바닥 주위에 형성된 금속 실리사이드 및 금속 게르마늄 화합물의 저항을 최적화하는데 사용되는 열 공정이다. 부가 공정 시퀀스는, 콘택 형성을 완료하고, 집적 회로의 형성을 완료하기 위하여 나중에 수행된다.The
도 2a 내지 도 2h는, 일부 실시예에 따르면, 다양한 제조 단계에서 컨택트 구조물(230)을 포함하는 반도체 디바이스(200)의 개략 횡단면도를 나타낸다. 본 개시에서 이용될 때, 반도체 디바이스(200)라는 용어는 핀 전계 효과 트랜지스터(FinFET)를 지칭한다. FinFET은 임의의 핀 기반의 멀티 게이트 트랜지스터를 지칭한다. 일부 대안의 실시예에서, 반도체 디바이스(200)라는 용어는 플래너(planar) 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET; metal-oxide-semiconductor field effect transistor)를 지칭한다. 기타 트랜지스터 구조 및 유사 구조가 본 개시의 고려되는 범위 내에 속한다. 반도체 디바이스(200)는 마이크로프로세서, 메모리 셀, 및/또는 기타 집적 회로(IC)에 포함될 수 있다.2A-2H illustrate, in accordance with some embodiments, a schematic cross-sectional view of a
일부 실시예에서, 도 1에 언급된 동작이 완성된 반도체 디바이스(200)를 생성하지 않지는 않는다. 완성된 반도체 디바이스(200)는 상보형 금속 산화물 반도체(CMOS) 기술 공정을 사용하여 제조될 수 있다. 따라서, 도 1의 방법(100) 전에, 방법(100) 동안 및/또는 방법(100) 후에 추가의 공정이 제공될 수 있고 일부 다른 공정이 여기에서는 간략하게만 기재되었을 수 있다는 것을 이해하여야 한다. 또한, 도 2a 내지 도 2i는 본 개시의 개념의 보다 나은 이해를 위해 단순화된다. 예를 들어, 도면은 반도체 디바이스(200)를 예시하고 있지만, IC는 저항, 커패시터, 인덕터, 퓨즈 등을 포함한 다수의 다른 디바이스를 포함할 수 있다는 것을 이해하여야 한다.In some embodiments, the operation referred to in FIG. 1 does not create a completed
도 2a 및 도 1의 동작 102를 참조하면, 기판(20)이 제공된다. 적어도 하나의 실시예에서, 기판(20)은 결정질 실리콘 기판(예를 들어, 웨이퍼)을 포함한다. 기판(20)은 설계 요건(예를 들어, p 타입 기판 또는 n 타입 기판)에 따라 다양한 도핑된 영역을 포함할 수 있다. 일부 실시예에서, 도핑된 영역은 p 타입 또는 n 타입 도펀트로 도핑될 수 있다. 예를 들어, 도핑된 영역은 붕소 또는 BF2와 같은 p 타입 도펀트, 인 또는 비소와 같은 n 타입 도펀트, 및/또는 이들의 조합으로 도핑될 수 있다. 도핑된 영역은 p 타입 FinFET 또는 플래너 MOSFET에 대하여 구성될 수 있다.Referring to Figure 2a and
기판(20)은 대안으로서, 다이아몬드 또는 게르마늄과 같은 일부 다른 적합한 원소 반도체, 갈륨 비소화물, 실리콘 카바이드, 인듐 비소화물, 또는 인듐 인화물과 같은 적합한 화합물 반도체, 또는 실리콘 게르마늄 카바이드, 갈륨 비소 인화물 또는 갈륨 인듐 인화물과 같은 적합한 합금 반도체로 제조될 수 있다. 또한, 기판(20)은 에피텍셜 층(에피 층)을 포함할 수 있거나, 성능 향상을 위해 변형될(strained) 수 있거나, 그리고/또는 SOI(silicon-on-insulator) 구조를 포함할 수 있다.
도시된 실시예에서, 기판(20)은 핀 구조(202)를 더 포함한다. 기판(20) 상에 형성된 핀 구조(202)는 하나 이상의 핀을 포함한다. 본 실시예에서, 단순화를 위해, 핀 구조(202)는 단일 핀을 포함한다. 핀은 임의의 적합한 재료를 포함할 수 있고, 예를 들어 핀은 실리콘, 게르마늄 또는 화합물 반도체를 포함할 수 있다. 핀 구조(202)는 핀 상에 배치된 캐핑 층(도시되지 않음)을 더 포함할 수 있으며, 이는 실리콘 캐핑 층일 수 있다.In the illustrated embodiment, the
핀 구조(202)는 다양한 증착, 포토리소그래피, 및/또는 에칭 공정을 포함한 임의의 적합한 공정을 사용하여 형성된다. 예시적인 포토리소그래피 공정은, 기판(20)을 덮는 포토레지스트 층(레지스트)을 형성하고(예를 들어, 실리콘 층 상에), 레지스트를 패턴에 노광시키고, 노광 후(post-exposure) 베이크 공정을 수행하고, 레지스트를 현상하여 레지스트를 포함한 마스킹 요소를 형성하는 것을 포함할 수 있다. 그 후, 실리콘 층이 반응성 이온 에칭(RIE; reactive ion etching) 공정 및/또는 기타 적합한 공정을 사용하여 에칭될 수 있다. 일례에서, 핀 구조(202)의 실리콘 핀은 실리콘 기판(20)의 일부를 패터닝하고 에칭함으로써 형성될 수 있다. 다른 예에서, 핀 구조(202)의 실리콘 핀은 절연체 층 위에 증착된 실리콘 층(예를 들어, SOI 기판의 실리콘-절연체-실리콘 스택의 상부 실리콘 층)을 패터닝하고 에칭함으로써 형성될 수 있다. 또 다른 실시예에서, 핀 구조는, 기판 위에 유전체 층을 형성하고, 유전체 층에 트렌치를 개공하고, 핀을 형성하도록 트렌치에서 기판으로부터 핀을 에피텍셜 성장시킴으로써 형성될 수 있다.The
도시된 실시예에서, 핀 구조(202)의 다양한 핀들을 정의하고 전기적으로 격리하도록 기판(20) 내에 격리(isolation) 구조(204a, 204b)가 형성된다. 일례에서, 격리 구조물(204a, 204b)은 쉘로우 트렌치 아이솔레이션(STI; shallow trench isolation) 구조이다. 격리 구조물(204a, 204b)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, FSG(fluoride-doped silicate glass), 로우 k(lok-K) 유전체 재료, 및/또는 이들의 조합을 포함할 수 있다. 격리 구조물(204a, 204b)은 임의의 적합한 공정에 의해 형성될 수 있다. 일례로서, 격리 구조물(204a, 204b)의 형성은 핀 사이의 트렌치를 (예를 들어, 화학적 기상 증착 공정을 사용하여) 유전체 재료로 채우는 것을 포함할 수 있다. 일부 실시예에서, 채워진 트렌치는 실리콘 질화물 또는 실리콘 산화물로 채워진 열 산화물 라이너 층과 같은 다층 구조를 가질 수 있다.In the illustrated embodiment,
도 2a를 다시 참조하면, 게이트 스택(210)은 격리 구조물(204a 및 204b) 사이에서 기판의 표면(20s)[즉, 핀 구조(202)] 상에 형성된다. 도면에 예시된 평면에서는, 게이트 스택(210)이 핀의 상부 표면 상으로만 연장되지만, 당해 기술 분야에서의 숙련자라면 디바이스의 다른 평면에서(도면에는 도시되지 않음) 게이트 스택(210)이 핀 구조(202)의 측벽을 따라 연장된다는 것을 알 것이다. 일부 실시예에서, 게이트 스택(210)은 게이트 유전체 층(212) 및 게이트 유전체 층(212) 위의 게이트 전극 층(214)을 포함한다.Referring again to Figure 2A, a
일부 실시예에서, 한 쌍의 측벽 스페이서(216)가 게이트 스택(210)의 양측에 형성된다. 도시된 실시예에서, 게이트 스택(210)은 여기 기재된 공정을 포함한 임의의 적합한 공정을 사용하여 형성될 수 있다. 하드 마스크(213)는 일부 실시예에서, 실리콘 질화물로 이루어질 수 있다. 그러나, 실리콘 카바이드, 실리콘 산질화물 등과 같은 다른 재료들도 사용될 수 있다.In some embodiments, a pair of
일례에서, 게이트 유전체 층(212) 및 게이트 전극 층(214)이 기판(20) 위에 순차적으로 퇴적된다. 일부 실시예에서, 게이트 유전체 층(212)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 높은 유전 상수(하이 k) 유전체를 포함할 수 있다. 하이 k 유전체는 금속 산화물을 포함한다. 하이 k 유전체에 사용되는 금속 산화물의 예는 Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu 및 이들의 혼합물의 산화물을 포함한다. 일부 실시예에서, 게이트 유전체 층(212)은 약 10 옹스트롬 내지 약 30 옹스트롬 범위의 두께를 가진다. 게이트 유전체 층(212)은 원자층 증착(ALD; atomic layer deposition), 화학적 기상 증착(CVD; chemical vapor deposition), 물리적 기상 증착(PVD; physical vapor deposition), 열 산화, UV 오존 산화, 또는 이들의 조합과 같은 적합한 공정을 사용하여 형성될 수 있다. 게이트 유전체 층(212)은 게이트 유전체 층(212)과 핀 구조(202) 사이의 손상을 감소시키도록 계면 층(도시되지 않음)을 더 포함할 수 있다. 계면 층은 실리콘 산화물을 포함할 수 있다.In one example, a
일부 실시예에서, 게이트 전극 층(214)은 단층 또는 다층 구조를 포함할 수 있다. 적어도 하나의 실시예에서, 게이트 전극 층(214)은 폴리 실리콘을 포함한다. 또한, 게이트 전극 층(214)은 균일 또는 비균일 도핑 처리된 도핑된 폴리 실리콘일 수 있다. 대안의 실시예에서, 게이트 전극 층(214)은 W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn 및 Zr의 그룹으로부터 선택된 금속을 포함한다. 대안의 실시예에서, 게이트 전극 층(214)은 TiN, WN, TaN, 및 Ru의 그룹으로부터 선택된 금속을 포함한다. 일부 실시예에서, 게이트 전극 층(214)은 약 30 nm 내지 약 60 nm 범위의 두께를 가진다. 게이트 전극 층(214)은 ALD, CVD, PVD, 도금 또는 이들의 조합과 같은 적합한 공정을 사용하여 형성될 수 있다.In some embodiments, the
하드 마스크(213)는 실리콘 질화물을 포함할 수 있으나, 예를 들어, 실리콘 카바이드, 실리콘 산질화물 등과 같은 다른 재료들도 사용될 수 있다. 일부 실실시예에서, 하드 마스크(213)는 약 50 ㎚ 내지 약 100 ㎚의 범위의 두께를 가진다. 하드 마스크(213)는 ALD, CVD, PVD, 도금 또는 이들의 조합과 같은 적합한 공정을 사용하여 형성될 수 있다.The
그 후에, 포토레지스트 층(도시되지 않음)이 스핀온 코팅과 같은 적합한 공정에 의해 게이트 전극 층(214) 위에 형성되고, 적합한 리소그래피 패터닝 방법에 의해 패터닝된 포토레지스트 피처(feature)를 형성하도록 패터닝된다. 적어도 하나의 실시예에서, 패터닝된 포토레지스트 피처의 폭은, 약 5 nm 내지 약 45 nm 범위를 가진다. 그 후, 패터닝된 포토레지스트 피처는 게이트 스택(210)을 형성하도록 아래의 층(즉, 하드 마스크(213), 게이트 전극 층(214) 및 게이트 유전체 층(212))에 하나 이상의 에칭 공정을 사용하여 전사될 수 있다. 그 후에 포토레지스트 층이 박리될 수 있다.A photoresist layer (not shown) is then formed over the
계속 도 2a를 참조하면, 일부 실시예에서, 반도체 디바이스(200)는 게이트 스택(210)의 측벽 상에 형성된 스페이서(216)[유전체 층]를 더 포함한다. 일부 실시예에서, 게이트 스페이서(216)의 각각은, 실리콘 산화물층(도시되지 않음) 및 상기 실리콘 산화물층 위의 실리콘 질화물층을 포함하며, 여기서 실리콘 산화물층은 약 15Å 내지 약 50Å의 범위의 두께를 가질 수 있고, 실리콘 질화물층의 두께는 약 50Å 내지 약 200Å의 범위를 가질 수 있다. 대안의 실시예에서, 게이트 스페이서(216)는, 각각 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 및/또는 기타 유전체 재료를 포함하는 하나 이상의 층을 포함한다. 적합한 형성 방법은, 플라즈마 강화 화학적 기상 증착(PECVD), 저압 화학적 기상 증착(LPCVD), SACVD(Sub-Atmospheric Chemical Vaper Deposition), 및 기타 증착 방법을 포함한다.Continuing with FIG. 2A, in some embodiments, the
도 2b 및 도 1의 동작 104를 참조하면, 게이트 스택(210)에 인접한 기판(20)의 표면(20s) 아래에 소스 및 드레인(S/D) 오목부(206a 및 206b)를 형성하도록 핀 구조(202)의 일부(게이트 스택(210) 및 측벽 스페이서(216) 쌍이 위에 형성되어 있는 곳이 아님)가 에칭된다. 도 2b에 도시된 바와 같이, S/D 오목부(206a 및 206b)의 각각은, 게이트 스택(210)과, 격리 구조물(204a 및 204b) 중 하나 사이에 있다.Referring to FIG. 2B and
에칭 마스크로서 게이트 스택(210) 및 측벽 스페이서(216) 쌍을 사용하여, 기판(20) 내에 오목부(206a 및 206b)를 형성하도록 등방성 에칭을 수행할 수 있다. 등방성 에칭은 건식 에칭일 수 있고, 여기서 에칭 가스는 CF4, Cl2, NF3, SF6 및 이들의 조합으로부터 선택될 수 있다. 대안 실시예에서, 전술한 등방성 에칭 단계는 생략된다. 그 후에, 오목부(206a 및 206b)의 형성을 완료하기 위하여 습식 에칭을 수행한다. 습식 에칭은, 예를 들어, TMAH(Tetra-Methyl Ammonium Hydroxide), 수산화칼륨(KOH) 용액 등을 사용하여 수행될 수 있다. 일부 예시적인 실시예에서, TMAH 용액은 약 1 퍼센트 내지 약 30 퍼센트의 범위의 농도를 가진다. 습식 에칭 이후에, 오목부(206a 및 206b) 내에 패싯(facet)이 형성될 수 있다. 일부 실시예에서, 패싯은 기판(20)의 (111) 면을 포함한다. 일부 실시예에서, 습식 에칭 후에, 오목부(206a 및 206b)의 깊이(D1)는 약 300Å 내지 약 800Å의 범위를 가진다. An isotropic etching may be performed to form the
도 2c 및 도 1의 동작 106에 도시된 바와 같이, 기판(20)의 표면(20s) 아래에 S/D 오목부(206a 및 206b)를 형성한 후에, 도 2b의 오목부(206a 및 206b)는, 변형된 재료 스택(208)을 에피택셜적으로 성장시킴으로써 채워진다. 변형된 재료 스택(208)의 격자 상수는 기판(20)의 격자 상수와는 상이하다. 그 결과, 반도체 디바이스(200)의 채널 영역은 디바이스의 캐리어 이동도를 향상시키기 위하여 변형 또는 압박된다.After forming the S /
일부 실시형태들에서, 변형된 재료 스택(208)은 Si, Ge, SiGe, SiC, SiP, P-형 도펀트 또는 Ⅲ-Ⅴ 반도체 재료를 포함한다. 도 3은 일부 실시형태에 따라서, 변형된 재료 스택(208) 내에 다양한 변형 재료를 나타낸다. 변형된 재료 스택(208) 내의 다양한 재료는 모두 에피택셜적으로 성장된다. 일부 실시예에서, 도 3의 변형된 재료 스택(208)은 제1 SiGe(실리콘 게르마늄) 층(또는 메인(main) SiGe 층)(208A), 그레이디드(graded) SiGe 층(208B), GeB(붕소로 도핑된 게르마늄) 층(208C), 선택적 Ge 층(208D), 및 제2 SiGe 층(208E)을 포함한다. 제1 SiGe 층(208A)은 오목부(206a 및 206b)의 대부분을 채운다. 제1 SiGe 층 위의 다양한 층들(208B, 208C, 208D 및 208E)은 전술한 콘택 개구들의 바닥 및 그 바닥을 둘러싸는 영역에서 금속 실리사이드 및 금속 저마나이드 화합물의 형성을 도와준다.In some embodiments, the modified
진보된 기술에 있어서, 콘택들의 임계 치수는 계속해서 감소한다. 금속 실리사이드는, S/D 영역 및 낮은 저항률을 가진 콘택 플러그(또는 콘택) 사이를 접속하는데 사용되고 있다. 등식 (1)은 반도체 재료 다음에 형성된, 금속 실리사이드 또는 금속 저마나이드와 같은 도전성 재료의 저항률과 저항률에 영향을 주는 인자들 사이의 관계를 나타낸다.In advanced technology, the critical dimensions of the contacts continue to decrease. The metal silicide is used to connect between the S / D region and the contact plug (or contact) having a low resistivity. Equation (1) shows the relationship between the factors that affect the resistivity and resistivity of a conductive material, such as a metal silicide or a metal germanide, formed after the semiconductor material.
r ∝ exp[C x SQRT(m) x B / SQRT(N)] ……(1)r? exp [C x SQRT (m) x B / SQRT (N)] ... ... (One)
등식 (1)에서, SQRT는 제곱근을 의미한다. C는 상수이고, m은 Si 또는 Ge와 같은, 소스 및 드레인 영역 내의 반도체 재료의 원자 질량이다. B는 반도체 재료 재료와, 금속 실리사이드 또는 금속 저마나이드를 형성하는데 사용되는 금속 사이의 SBH(Schottky barrier height)이다. N은, 반도체 재료에서의 도펀트(B 등) 농도이다.In equation (1), SQRT means the square root. C is a constant, and m is the atomic mass of the semiconductor material in the source and drain regions, such as Si or Ge. B is the Schottky barrier height (SBH) between the semiconductor material and the metal used to form the metal suicide or metal germanide. N is the dopant (B, etc.) concentration in the semiconductor material.
저항률을 감소시키기 위하여, m 및/또는 B는 감소될 수 있다. 또한, N은 또한 증가될 수 있다. Ge의 원자 질량은 Si보다 낮다. 반도체 재료를 가진 금속 실리사이드 또는 금속 저마나이드 인터페이스에 제공되는 Si 대신에, Ge를 가지면, 콘택 저항률을 감소시킬 수 있다. Ti 또는 Ni와 같은 금속 사이에 형성된 TiSi 또는 NiSi와 같은, 금속 실리사이드에 SBH( B)는 약 0.6 eV이다. 이와 반대로, NiGe와 GeB 사이의 SBH는 약 0.1 eV까지 감소될 수 있다. 따라서, NiGe와 같은 금속-Ge 또는 기타 금속-Ge와, GeB 사이에 형성된 쇼트키 배리어를 가지는 것이 바람직하다. 게다가, GeB와 같은 반도체 재료에서의 도펀트(B 등) 농트는 N 값을 증가시키기 위하여 높게 유지되어야 한다.In order to reduce the resistivity, m and / or B can be reduced. Furthermore, N can also be increased. The atomic mass of Ge is lower than that of Si. Having Ge instead of a metal silicide with a semiconductor material or Si provided at a metal germanide interface can reduce the contact resistivity. A metal silicide, such as TiSi or NiSi formed between a metal such as Ti or Ni, B ) is about 0.6 eV. Conversely, the SBH between NiGe and GeB can be reduced to about 0.1 eV. Therefore, it is preferable to have a Schottky barrier formed between Ge-B and metal-Ge or other metal-Ge such as NiGe. In addition, the dopant (B, etc.) concentration in semiconductor materials such as GeB must be kept high to increase the N value.
그레이디드 SiGe 층(208B)은 기판 Si/EPI SiGe 격자 미스매치 유도 변위(dislocation)를 방지하는데 필요하게 된다. GeB 층(208C)은 SBH를 낮출 수 있고, 이에 대해서는 이하에서 설명된다. 선택적 Ge 층(208D)은 갈바닉 부식(Galvanic corrosion)의 위험을 감소시킬 수 있다. 제2 SiGe 층(208E)은, 제거된 미반응 금속에 대한 후속의 습식 에칭 공정 동안에, 열 어닐 이후에 형성될 수 있는 금속 저마나이드층이 제거되는 것으로부터 보호할 수 있는 금속-SiGe 층을 형성한다.Graded SiGe layer (208 B) is required to prevent the substrate Si / EPI SiGe lattice mismatch induced displacement (dislocation). The
일부 실시예에서는, 변형된 재료 스택(208)을 형성하기 이전에 HF 용액 또는 기타 적합한 용액으로 S/D 오목부(206a 및 206b)를 클리닝하도록 클리닝 전(pre-cleaning) 공정을 수행한다. 그 후에, S/D 오목부(206a 및 206b)을 채우기 위하여 저압 CVD(LPCVD) 공정에 의해 변형된 재료(208)를 순차적으로 그리고 선택적으로 성장시킨다. 일부 실시예에서, LPCVD 공정은 약 400 ℃ 내지 약 800 ℃의 범위의 온도에서 그리고 약 1 Torr 내지 약 15 Torr의 범위의 압력 하에서 수행된다. 일부 실시예에서, 변형 재료 스택(208)을 형성하는데 사용되는 반응 가스는, SiH4, SiH2Cl2, HCl, GeH4, Ge2H6, B2H6, 및 H2의 다양한 조합을 포함한다.In some embodiments, a pre-cleaning process is performed to clean the S /
제1 SiGe(실리콘 게르마늄) 층(208A)은 오목부(206a 및 206b)의 기판 표면 상에 형성된다. 일부 실시예에서, 제1 SiGe 층(208A)에서의 Ge 농도(원자 %)는 약 15% 내지 약 30%의 범위를 가진다. 일부 실시예에서, 제1 SiGe 층(208A)의 두께는 약 15 ㎚ 내지 약 30 ㎚의 범위를 가진다.The layer (208 A) 1 SiGe (Silicon Germanium) are formed on the substrate surface of the concave portion (206a and 206b). In some embodiments, Ge content (at%) in the SiGe layer 1 (208 A) is in the range of from about 15% to about 30%. In some embodiments, the thickness of the SiGe layer 1 (208 A) is in the range of from about 15 to about 30 ㎚ ㎚.
그 후, 그레이디드 SiGe 층(208B)은 제1 SiGe 층(208A) 위에 형성된다. 그레이디드 SiGe 층(208B)에서의 농도는, 제1 SiGe 층(208A)에서의 Ge의 농도로부터 GeB 층(208C)에서의 Ge의 농도에 더 가까운 상위 값까지 증가한다. 일부 실시예에서, 그레이디드 SiGe 층(208B)에서의 Ge의 농도는, 상기 층의 바닥으로부터 상부까지 약 30% 내지 약 80%의 범위에서 증가한다. 일부 실시예에서, 그레이디드 SiGe 층(208B)의 두께는, 약 15 ㎚ 내지 약 30 ㎚의 범위를 가진다.That, graded SiGe layer (208 B) and then is formed on the SiGe layer 1 (208 A). Graded concentrations of the SiGe layer (208 B) is increased from a Ge concentration of the
전술한 바와 같이, 쇼트키 배리어는, 열 어닐 이후에 GeB와 이 GeB 위에 형성된 금속-Ge 층 사이의 계면에 형성될 것이다. 금속 실리사이드 및 금속 저마나이드 화합물의 저항률을 낮추기 위하여, GeB 층(208C)의 B 농도는 가능한한 높아야 한다. 일부 실시예에서, B 농도는 약 4E20 atoms/cm3 내지 약 1E21 atoms/cm3의 범위를 가진다. B 도펀트 밀도를 증가시키기 위하여, 일부 실시예에서, GeB 층을 형성하기 위한 반응성 이온 혼합물은 Ge2H6를 포함한다. 일부 실시예에서, GeB 층(208C)의 두께는 약 8 ㎚ 내지 약 20 ㎚의 범위를 가진다.As discussed above, the Schottky barrier will be formed at the interface between GeB and the metal-Ge layer formed over GeB after thermal annealing. In order to lower the resistivity of the metal suicide and the metal germanide compound, the B concentration of the GeB layer (208 C ) should be as high as possible. In some embodiments, B concentration is in the range of approximately 4E20 atoms / cm 3 to about 1E21 atoms / cm 3. To increase the B dopant density, in some embodiments, the reactive ion mixture to form the GeB layer comprises Ge 2 H 6 . In some embodiments, the thickness of the
선택적 Ge 층(208D)은, 열 어닐 이후에 GeB 층(208C)(도핑된 Ge 층)과 이 GeB 층(208C) 위에 형성된 금속 저마나이드층 간의 화학적 전위에서의 차이에 의한 갈바닉 부식 원인을 방지 또는 감소시키기 위하여 형성된다. 일부 실시예에서, Ge 층(208D)의 두께는 약 15 ㎚ 내지 약 35 ㎚의 범위를 가진다.Selective Ge layer (208 D) is open after the annealing GeB layer (208 C) (doped Ge layer) and a galvanic corrosion caused by the difference in chemical potential between the GeB layer (208 C), metal germanium arsenide layer formed on a In order to prevent or reduce the risk. In some embodiments, the thickness of the
제2 SiGe 층(208E)은, 후속의 습식 에칭으로부터 하부의 금속 저마나이드 위에 보호 층을 형성하기 위하여, Ge 층(208D)[만일 이것이 존재하는 경우] 또는 GeB 층(208C)[Ge 층(208D)이 존재하지 않는 경우] 중 어느 하나 위에 퇴적된다. 일부 실시예에서, 제2 SiGe 층(208E)의 두께는, 약 1 ㎚ 내지 약 10 ㎚의 범위를 가진다. 일부 실시예에서, 변형된 재료 스택(208)에서의 다양한 층들은 동일한 공정 챔버 내에 형성된다. 그러나, 하나의 챔버보다 더 많은 챔버에서 이러한 다양한 층들을 형성할 수 있다.A
이 포인트까지의 공정 동작들이 S/D 트렌치(206a 및 206b) 내에 변형된 스택(208)을 가진 기판(20)에 제공된다. 도 2d 및 도 2e와 도 1의 동작 108에 도시된 바와 같이, 변형된 재료 스택(208), 게이트 스택(210), 측벽 스페이서(216) 쌍 및 격리 영역(204a 및 204b) 위에 층간 유전체(ILD) 층(218)이 퇴적된다. ILD 층(218)은 유전체 재료를 포함한다. 유전체 재료는 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, PSG(phosphosilicate glass), BPSG(borophosphosilicate glass), SOG(spin-on glass), FSG(fluorinated silica glass), 탄소 도핑된 실리콘 산화물(예를 들어, SiCOH) 및/또는 이들의 조합을 포함할 수 있다. 일부 실시예에서, ILD 층(218)은 CVD, 고밀도 플라즈마(HDP; high density plasma) CVD, SACVD(sub-atmospheric CVD), 스핀온, 스퍼터링, 또는 기타 적합한 방법에 의해 변형 재료(208) 위에 형성될 수 있다. 본 실시예에서, ILD 층(218)은 약 4000 Å 내지 약 8000 Å 범위의 두께를 가진다. ILD 층(218)이 하나 이상의 유전체 재료 및/또는 하나 이상의 유전체 층을 포함할 수 있다는 것을 이해하여야 한다.Process operations up to this point are provided in the
이후에, 일부 실시예에 따르면, ILD 층(218)은 하드 마스크(213)가 제거될 때 까지 화학적 기계적 연마(CMP) 공정을 사용하여 평탄화된다. 하드 마스크가 제거된 이후에, 도 2e에 도시된 바와 같이, 일부 실시예에 따르면 게이트 유전체 층(212) 및 게이트 전극 층(214)을 대체하기 위하여 대체 게이트를 형성한다. 대안의 실시예에서, 게이트 유전체 층(212) 및 게이트 전극 층(214)은 대체 게이트 스택(210′)으로 대체되지 않는다. 대체 게이트 스택(210′)이 형성되는 실시예들에 있어서, 게이트 유전체 층(212) 및 게이트 전극 층(214)은 더미 게이트 스택으로서 기능한다. 도 2e는 대체 게이트 스택(210′)을 포함하는 예시적인 구조를 나타낸다. 일부 실시예에서, 게이트 유전체 층(212′) 및 게이트 전극 층(214′)은, 제거된 더미 게이트 스택에 의해 남겨진 개구들을 채우기 위하여 순차적으로 퇴적되고, 이어서 CMP가 후속되어 게이트 유전체 층(212′)과 게이트 전극 층(214′)의 초과 부분을 제거한다. 잔여 대체 게이트는 게이트 유전체 층(212′) 및 게이트 전극 층(214′)을 포함한다. 게이트 유전체 층(212′)은 예컨대 약 7.0 보다 더 큰 k 값을 가진 하이-k 유전체 재료를 포함할 수 있고, 게이트 유전체 층(214′)은 금속 또는 금속 합금을 포함할 수 있다.Thereafter, according to some embodiments, the
도 2e의 반도체 디바이스(200)에 적용되는 후속 CMOS 공정 단계들은 반도체 디바이스(200)의 S/D 영역에 전기적 콘택을 제공하도록 ILD 층(218)을 통해 컨택 개구를 형성하는 것을 포함한다. 도 2f를 참조하면, 변형된 재료 스택(208)의 일부를 노출시키도록 ILD 층(218)에 개구(220)를 형성함으로써 도 2f의 구조가 생성된다. 도 1의 동작 110에서의 공정이 기재된다. 일례로서, 개구(220)의 형성은, 스핀온 코팅과 같은 적합한 공정에 의해 ILD 층(218) 위에 포토레지스트 층(도시되지 않음)을 형성하는 것, 적합한 리소그래피 방법에 의해 패터닝된 포토레지스트 피처를 형성하도록 포토레지스트 층을 패터닝하는 것, 노출된 ILD 층(218)을 에칭하여(예를 들어, 건식 에칭, 습식 에칭, 및/또는 플라즈마 에칭 공정을 사용함으로써) ILD 층(218)의 일부를 제거함으로써 변형 재료(208)의 일부를 노출시키는 것을 포함한다. 이에 따라, 개구(220)가 변형 재료(208) 위에 있으며, 개구(220)는 ILD 층(218)의 측벽(220a) 및 변형된 재료 스택(208)의 상부 표면과 접촉하는 바닥(220b)을 포함한다. 패터닝된 포토레지스트 층은 그 후에 박리될 수 있다.The subsequent CMOS processing steps applied to the
일부 실시예에 따르면, 개구(220)가 형성된 이후에, 개구(220)의 바닥에 도전층이 형성된다. 도 1의 동작 112에 대하여 기재된 바와 같이, ILD 층(218)에서 개구(220)를 형성한 이후에, 개구(220)의 내부를 코팅하기 위하여 금속 층(222)이 퇴적되고, 금속 층(222) 위에 보호 층(223)이 퇴적된다. 도 4a는, 일부 실시예에 따라, 금속 층(222) 및 보호 층(223)의 퇴적 이후에 개구(220)에 가까운 재료 층의 개략적인 횡단면도를 나타낸다. 금속 층(222)은 열 공정(또는 열 어닐) 이후에 Si를 가진 금속-실리사이드 및/또는 Ge를 가진 금속 저마나이드를 형성하는 다양한 금속 타입으로 구성될 수 있다. 일부 실시예에서, 금속은 Ti, Al, Mo, Zr, Hf, Ta, In, Ni, Be, Mg, Ca, Y, Ba, Sr, Sc, 또는 Ga로 구성된다. 도 4a에서, Ni는 금속 층(222)에 대한 예로서 사용된다. 보호 층(223)은 금속 층(222)이 후속 열 공정(또는 어닐링 공정) 동안에 산화되는 것을 보호한다. 보호 층(223)은 예를 들어 900℃까지 열적으로 안정되어야 한다. 또한, 보호 층(223)은 TiN, TaN 또는 이들의 조합으로 구성된다. 도 4a에서, TiN은 금속 층(223)에 대한 예로서 사용된다. 층들(222 및 223)의 각각은 PVD, CVD, ALD, 또는 기타 적용가능한 공정에 의해 형성될 수 있다. 일부 실시예에서, 금속 층(222)은 약 5 nm 내지 약 15 nm의 범위의 두께를 가진다. 일부 실시예에서, 보호 층(223)은 약 5 nm 내지 약 20 nm의 범위의 두께를 가진다.According to some embodiments, after the
일 실시예에서, 변형된 재료 스택(208)의 상부 표면은 주요 표면(20s)보다 낮다(도시되지 않음). 다른 실시예에서, S/D 오목부(206)를 채우는 변형된 재료 스택(208)은 표면(20s) 위로 상향 연장된다(도시되지 않음).In one embodiment, the upper surface of the
도 1에서의 동작 114에 대하여 기재된 바와 같이, 층(222 및 223)이 퇴적된 이후에, 동작 114에서의 열 공정(또는 어닐링 공정)이, 콘택 개구의 바닥 및 그 바닥을 둘러싸는 영역에서 금속 실리사이드 및 금속 저마나이드 화합물을 형성하도록 수행된다. 일부 실시예에서, 열 공정은 급속 열 어닐링(RTA; rapid thermal annealing) 공정이다. 온도는 약 150℃ 내지 약 300℃의 범위를 가진다. 일부 실시예에서, RTA 공정의 지속 기간은, 약 20초 내지 약 100초의 범위를 가진다.After the
도 4b는 일부 실시예에 따라, 동작 114의 열 공정 이후의 도 4a의 재료층의 개략적인 횡단면도를 나타낸다. 도 4a 및 도 4b의 실시예에서, 금속 층(22) 내의 금속은 Ni로 구성된다. 열 공정 동안에, 금속 층(222) 내의 Ni는, 열 공정 이후에, 제2 SiGe 층(208E)으로 확산되어 Ni-도핑된 SiGe(또는 NiSiGe) 층 (208E′)이 된다. 일부 실시예에서, NiSiGe 층(208E′)의 두께는 약 1 nm 내지 약 10 nm의 범위를 가진다. Ni-도핑된 SiGe(또는 NiSiGe) 층(208E′)은 콘택 개구(220)의 바닥 부근의 영역만을 점유한다. 제2 SiGe 층(208E)의 잔여 부분은 변경되지 않는다.Figure 4B shows a schematic cross-sectional view of the material layer of Figure 4A after the thermal process of operation 114, in accordance with some embodiments. In the embodiment of Figures 4A and 4B, the metal in the metal layer 22 is comprised of Ni. During the thermal process, Ni in the
금속 층(222)으로부터의 Ni의 일부는, 제2 SiGe 층(208E)을 지나 확산되어 Ge 층(208D)과 접촉함으로써, Ni-도핑된 Ge(또는 NiGe, 니켈 저마나이드) 층(208D′)을 형성한다. 도 4b에 언급된 바와 같이, NiGe 층(208D′)은 콘택 개구(220)의 바닥 바로 아래에 주로 형성된다. 콘택 개구의 바닥으로부터 떨어져 있는 Ge 층(208D)은 변경되지 않고 남겨진다. 매우 얇은 Ge 층(208D")은 NiGe 층(208D′)와 GeB 층(208B′) 사이에 존재한다. 일부 실시예에서, NiGe 층(208D) 아래의 매우 얇은 Ge 층(208D")은 약 2 Å 내지 약 10 Å의 범위의 두께를 가진다. GeB 층(208C′)은 금속 층(222)으로부터 Ni를 가진 NiGe를 형성하기 위하여 Ge 층(208D′)으로 상향 이동하는 일부 Ge에 대하여 일부 최소 변화를 겪을 수 있다. 그레이디드 SiGe 층(208B′)은 일부 실시예에서 그레이디드 SiGe 층(208B)에 실질적으로 유사하게 남겨진다. 제1 SiGe 층(208A)는, 일부 실시예에서 또한 실질적으로 변경되지 않고 남겨진다. NiSiGe 층(208E′) 및 NiGe 층(208D)은 도전성을 가진다.A portion of Ni from the
도 1의 동작 116에 대하여 기재된 바와 같이, 동작 114의 열 공정 이후에, 보호 층(223) 및 미반응된 금속 층(222)을 제거하기 위하여 에칭 동작(116)이 수행된다. 일부 실시예에서, 에칭 동작(116)에서 습식 에칭 공정이 이용된다. 일부 실시예에서, 습식 에칭 공정은 H2SO4 및 H2O2를 이용한다. 일부 실시예에서, 에칭 공정은 또한 에칭 화학 반응에서 FeCl3를 포함한다. 도 4c는 일부 실시예에 따라, 습식 에칭 공정 이후의 도 4b의 구조를 나타낸다. 도 4c는 보호 층(223) 및 미반응 금속 층(222)이 제거되어 있음을 나타낸다. 일부 실시예에서, NiSiGe 층(208E′)의 일부는, 콘택 개구(220)의 바닥 표면(224) 위로 올라온다. 일부 실시예에서, NiSiGe 층(208E′)은 "U" 형상을 가지며, NiSiGe 층(208E′)의 상부 표면은 표면(20s) 위로 연장된다. NiGe 층(208D′)과 GeB 층(208B′) 사이에 존재하는 매우 얇은 Ge 층(208D")은, 습식 에칭 공정 동안에, NiGe와 GeB 사이의 화학적 전위에서의 차이로 인한 갈바니 부식을 방지 또는 감소시킨다. 그러나, 매우 얇은 Ge 층(208D")은 후속 열 공정으로 인해 결국에는 사라진다. 매우 얇은 Ge 층(208D")에서의 Ge는, NiGe 층 (208D′) 또는 GeB 층(208B′) 중 어느 하나로 이동하거나, 또는 양쪽으로 이동하고, 층들(208D′ 및 208B′)의 일부가 된다.After the thermal process of operation 114, an
도 1의 동작 118에 대하여 기재된 바와 같이, 동작 116의 습식 에칭 공정 이후에, 다른 열 공정은, 금속 실리사이드와 콘택 개구의 바닥 주위에 형성되는 금속 저마나이드 화합물의 저항을 최적화하도록 수행된다. 일부 실시예에서, 열 공정은 RTA(rapid thermal annealing) 공정이다. 온도는 약 150℃ 내지 약 300℃의 범위를 가진다. 일부 실시예에서, RTA 공정의 지속 기간은 약 20초 내지 약 100초의 범위를 가진다. 일부 실시예에서, 동작 116은 생략된다.As described with respect to
도 4c는 반도체 GeB 층(208C) 및 도전성 NiGe 층(208D′) 사이의 계면(229)을 나타낸다. 계면(229)은 쇼트기 배리어의 위치이다. 전술한 바와 같이, NiGe(금속-Ge)와 GeB 사이의 SBH는, NiSi(금속-Si) 및 SiGeB 보다 낮고, 이는 금속-Ge(또는 금속 저마나이드)의 저항을 감소시킨다. 반도체 층의 주요 성분으로서 Ge를 이용하고, GeB 층에서의 B 농도를 높게 유지하는 것은, 또한 금속-Ge의 저항을 감소시키는 것을 돕는다. 그 결과, 콘택 저항이 낮아질 수 있다. 전술한 실시예는 금속 층으로서 Ni를 이용한다. Ni 이외에, Ti, Mo, Au, Ag 등과 같은 다른 타입의 금속들이 또한 사용될 수 있다.FIG. 4C shows the
전술한 바와 같이, 추가 공정 시퀀스가 나중에 수행되어 콘택 형성을 완료한다. 도 2g는, 일부 실시예에 따라, 콘택 개구(220)에 막을 형성하는 배리어 층(226)과, 도전성 층(227)이 콘택 개구를 채우기 위하여 나중에 퇴적되는 것을 나타낸다. 배리어 층(226)은 도전성 층(227)과 ILD 층(218) 사이의 접착을 촉진시킬 수 있다. 또한, 도전성 층(227)이 Cu와 같은 확산 요소로 구성되면, 배리어 층(226)은 이웃하는 층 또는 구조로의 확산을 차단할 수 있다. 일부 실시예에서, 배리어 층(226)은, Ti, TiN, Ta, TaN, 또는 이들의 조합을 포함한다. 배리어 층(226)은 PVD, ALD, 또는 기타 적용가능한 공정에 의해 형성될 수 있다. 일부 실시예에서, 층(226)의 두께는, 약 1 nm 내지 약 10 nm의 범위를 가진다. 배리어 층(226)은 콘택 개구의 바닥에서 NiSiGe 층(208E′)과 접촉한다.As described above, an additional process sequence is performed later to complete the contact formation. FIG. 2G shows, in accordance with some embodiments, a
도전층(227)은 임의의 도전성 금속 또는 금속 합금으로 구성될 수 있다. 층(277)에 대하여 적합한 도전성 금속의 예는, Cu, Al, W, Pt, Au, Ag 등을 포함하지만 이들로 제한되지는 않는다. 도전성 층(227)은 도금, PVD, ALD, 또는 기타 적용가능한 공정에 의해 형성될 수 있다. 일부 실시예에서, 층(227)의 두께는 약 100 nm 내지 약 200 nm의 범위를 가진다.The
콘택 개구(220)가 채워진 후에, 화학적 기계적 연마(CMP) 공정과 같은 평탄화 공정이, 콘택 개구(220) 외부의 배리어 층(226) 및 도전성 층(227)을 제거하기 위하여 수행된다. 도 2h는 평탄화 공정에 의해 제거된 콘택 개구(220) 외부의 베리어 층(226) 및 도전성 층(227)을 나타낸다. 콘택 개구 내의 잔여 배리어 층(226) 및 도전성 층(227)은 콘택 구조물(또는 콘택 플러그)(230)를 형성한다. 콘택 구조물(230) 아래의 NiSiGe 층(208E′) 및 NiGe 층(208D′)과 같은 도전성 층의 저항이 전술한 메카니즘을 이용하여 낮아짐에 따라서, 전체 콘택 저항은 현저하게 낮아진다.After the
도 1에 도시된 단계들이, 도 2a 내지 도 2h에 도시된 예와 관련하여 더 예시한 바와 같이, 수행되어진 이후에, 상호접속 프로세싱을 포함하는 후속 공정이 반도체 디바이스(200) 제조를 완료하도록 수행된다.After the steps shown in FIG. 1 are performed, as further illustrated in connection with the example shown in FIGS. 2A through 2H, a subsequent process, including interconnection processing, is performed to complete
도시된 실시예에서, 대체 게이트 스택(201′)은 게이트-라스트(gate-last) 공정에 의해 형성된다. 대안의 실시예에서, 게이트 스택(210)은 유지된다(게이트-퍼스트).In the illustrated embodiment, the alternate gate stack 201 'is formed by a gate-last process. In an alternate embodiment, the
전술한 실시예는 낮은 저항을 가진 콘택 구조물을 형성하는 메카니즘을 제공한다. 복수의 서브층을 가진 변형된 재료 스택은 콘택 구조물 아래의 도전성층들의 SBH(schottky barrier height)를 낮추는데 사용된다. 변형된 재료 스택은 SiGe 메인 층, 그레이디드 SiG 층, GeB 층, Ge 층 및 SiGe 상부층을 포함한다. GeB 층은 쇼트키 배리어를 GeB와 금속 저마나이드 사이의 계면으로 이동시키고, 이는 쇼트키 배리어 높이(SBH)를 크게 감소시킨다. SBH가 낮아질 수록, SiGe 상부층에서의 Ge는 금속 저마나이드를 형성하고, GeB 층에서의 높은 B 농도는 콘택 구조물 아래의 도전층의 저항을 감소시키는데 도움을 준다.The embodiments described above provide a mechanism for forming a contact structure with low resistance. A modified material stack having a plurality of sub-layers is used to lower the schottky barrier height (SBH) of the conductive layers below the contact structure. The strained material stack includes a SiGe main layer, a graded SiG layer, a GeB layer, a Ge layer and a SiGe upper layer. The GeB layer moves the Schottky barrier to the interface between GeB and the metal germanide, which greatly reduces the Schottky barrier height (SBH). As SBH is lowered, Ge in the upper SiGe layer forms a metal germanide, and a higher B concentration in the GeB layer helps to reduce the resistance of the conductive layer below the contact structure.
본 발명이 예로써 바람직한 실시예에 관련하여 기재되었지만, 본 발명은 개시된 실시예로 한정되지 않음을 이해하여야 한다. 반대로, 본 발명은 다양한 수정 및 유사 구성(당해 기술 분야에서의 숙련자에게 명백하게 됨)을 커버하도록 의도된다. 따라서, 첨부된 청구항의 범위는 모든 이러한 수정 및 유사 구성을 포함하도록 가장 넓은 해석이 부여되어야 한다.While the invention has been described by way of example and in terms of a preferred embodiment, it is to be understood that the invention is not limited to the disclosed embodiments. On the contrary, the invention is intended to cover various modifications and similar arrangements, which will become apparent to those skilled in the art. Accordingly, the scope of the appended claims should be accorded the broadest interpretation so as to encompass all such modifications and similar arrangements.
Claims (20)
반도체 기판의 표면 위에 형성된 게이트 구조물과,
상기 게이트 구조물에 인접한 오목부(recess)로서, 상기 오목부는 상기 반도체 기판의 표면 아래에 형성되는 것인 상기 오목부와,
상기 오목부를 채우는 변형(stranied) 재료 스택으로서, 상기 변형 재료 스택에서의 재료의 격자 상수들은 상기 기판의 격자 상수와 상이하며, 상기 변형 재료 스택은 붕소-도핑된(B-도핑된) 게르마늄(GeB) 층, 금속-Ge 층, 및 금속-SiGe 층을 포함하는 것인 상기 변형 재료 스택과,
층간 유전체(inter-layer dielectric; ILD) 층 내에 형성된 콘택 구조물로서, 상기 콘택 구조물의 바닥 부분은 상기 금속-SiGe 층과 접촉하는 것인 상기 콘택 구조물을 포함하는 반도체 디바이스 구조.As a semiconductor device structure,
A gate structure formed on the surface of the semiconductor substrate,
A recess adjacent to the gate structure, the recess being formed below the surface of the semiconductor substrate;
Wherein the lattice constants of the material in the strained material stack differ from the lattice constants of the substrate and the strained material stack is a boron-doped (B-doped) germanium (GeB ) Layer, a metal-Ge layer, and a metal-SiGe layer,
A contact structure formed in an inter-layer dielectric (ILD) layer, the bottom portion of the contact structure contacting the metal-SiGe layer.
반도체 기판의 표면 위에 형성된 게이트 구조물과,
상기 게이트 구조물에 인접한 오목부로서, 상기 오목부는 상기 반도체 기판의 표면 아래에 형성되는 것인 상기 오목부와,
상기 오목부를 채우는 변형 재료 스택으로서, 상기 변형 재료 스택은, SiGe 층, 그레이디드(graded) SiGe 층, 붕소-도핑된(B-도핑된) 게르마늄(GeB) 층, 금속-Ge 층, 및 금속-SiGe 층을 포함하는 것인 상기 변형 재료 스택과,
층간 유전체(ILD) 층 내에 형성된 콘택 구조물로서, 상기 콘택 구조물의 바닥 부분은 상기 금속-SiGe 층과 접촉하는 것인 상기 콘택 구조물을 포함하는 반도체 디바이스 구조.As a semiconductor device structure,
A gate structure formed on the surface of the semiconductor substrate,
The recess being adjacent to the gate structure, the recess being formed beneath a surface of the semiconductor substrate;
Wherein the strained material stack comprises a SiGe layer, a graded SiGe layer, a boron-doped (B-doped) germanium (GeB) layer, a metal- SiGe < / RTI > layer,
A contact structure formed in an interlayer dielectric (ILD) layer, the bottom portion of the contact structure contacting the metal-SiGe layer.
반도체 기판의 표면 위에 형성된 게이트 구조물을 형성하는 단계와,
상기 게이트 구조물에 인접한 오목부를 형성하는 단계로서, 상기 오목부는 상기 반도체 기판의 표면 아래에 형성되는 것인 상기 오목부를 형성하는 단계와,
상기 오목부를 채우는 변형 재료 스택을 형성하는 단계로서, 상기 변형 재료 스택은, 제1 SiGe 층, 그레이디드 SiGe 층, 붕소-도핑된(B-도핑된) 게르마늄(GeB) 층, Ge 층, 및 제2 SiGe 층을 포함하는 것인 상기 변형 재료 스택을 형성하는 단계를 포함하는 반도체 디바이스 구조를 형성하는 방법.A method of forming a semiconductor device structure,
Forming a gate structure formed over the surface of the semiconductor substrate,
Forming a recess adjacent to the gate structure, the recess being formed beneath the surface of the semiconductor substrate;
Forming a deformation material stack that fills the recesses, the deformation material stack comprising a first SiGe layer, a graded SiGe layer, a boron-doped (B-doped) germanium (GeB) 2 < / RTI > SiGe < RTI ID = 0.0 > layer. ≪ / RTI >
층간 유전체(ILD) 층 내에 형성된 콘택 구조물을 형성하는 단계와,
상기 콘택 구조물 위에 순차적으로 금속 층 및 보호 층을 퇴적시키는 단계와,
상기 금속 층 내의 금속을 상기 제2 SiGe 층 및 상기 Ge 층으로 유도하기 위하여 열 어닐링을 수행하는 단계를 더 포함하는 반도체 디바이스 구조를 형성하는 방법.10. The method of claim 9,
Forming a contact structure formed in the interlayer dielectric (ILD) layer,
Depositing a metal layer and a protective layer sequentially on the contact structure,
Further comprising performing thermal annealing to induce a metal in the metal layer to the second SiGe layer and the Ge layer.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/093,268 US9076762B2 (en) | 2012-11-08 | 2013-11-29 | Contact structure of semiconductor device |
US14/093,268 | 2013-11-29 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20150063007A KR20150063007A (en) | 2015-06-08 |
KR101684010B1 true KR101684010B1 (en) | 2016-12-07 |
Family
ID=53365535
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020140168711A Active KR101684010B1 (en) | 2013-11-29 | 2014-11-28 | Contact structure of semiconductor device |
Country Status (2)
Country | Link |
---|---|
KR (1) | KR101684010B1 (en) |
CN (1) | CN104681535B (en) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9570567B1 (en) * | 2015-12-30 | 2017-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source and drain process for FinFET |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20100197092A1 (en) | 2009-02-02 | 2010-08-05 | Jin-Bum Kim | Method of Manufacturing Semiconductor Device Having Stress Creating Layer |
US20120068273A1 (en) | 2006-12-29 | 2012-03-22 | Fischer Kevin J | Stressed barrier plug slot contact structure for transistor performance enhancement |
US20130260518A1 (en) | 2004-04-06 | 2013-10-03 | Texas Instruments Incorporated | Process to improve transistor drive current through the use of strain |
Family Cites Families (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6017790A (en) * | 1998-07-06 | 2000-01-25 | United Microelectronics Corp. | Method of manufacturing embedded dynamic random access memory |
US7057216B2 (en) * | 2003-10-31 | 2006-06-06 | International Business Machines Corporation | High mobility heterojunction complementary field effect transistors and methods thereof |
US7413961B2 (en) * | 2006-05-17 | 2008-08-19 | Chartered Semiconductor Manufacturing Ltd. | Method of fabricating a transistor structure |
US8901537B2 (en) * | 2010-12-21 | 2014-12-02 | Intel Corporation | Transistors with high concentration of boron doped germanium |
US8455930B2 (en) * | 2011-01-05 | 2013-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Strained semiconductor device with facets |
-
2014
- 2014-11-28 KR KR1020140168711A patent/KR101684010B1/en active Active
- 2014-11-28 CN CN201410706358.6A patent/CN104681535B/en not_active Expired - Fee Related
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130260518A1 (en) | 2004-04-06 | 2013-10-03 | Texas Instruments Incorporated | Process to improve transistor drive current through the use of strain |
US20120068273A1 (en) | 2006-12-29 | 2012-03-22 | Fischer Kevin J | Stressed barrier plug slot contact structure for transistor performance enhancement |
US20100197092A1 (en) | 2009-02-02 | 2010-08-05 | Jin-Bum Kim | Method of Manufacturing Semiconductor Device Having Stress Creating Layer |
Also Published As
Publication number | Publication date |
---|---|
CN104681535A (en) | 2015-06-03 |
CN104681535B (en) | 2017-09-29 |
KR20150063007A (en) | 2015-06-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US9559186B2 (en) | Epitaxially grown stacked contact structure of semiconductor device | |
KR101455478B1 (en) | Contact structure of semiconductor device | |
US9899521B2 (en) | FinFET low resistivity contact formation method | |
KR101374461B1 (en) | Contact structure of semiconductor device | |
US11855208B2 (en) | Method for forming fin field effect transistor (FinFET) device structure | |
KR101334465B1 (en) | Improved silicide formation and associated devices | |
CN102148236B (en) | Semiconductor element and its manufacturing method | |
US12125876B2 (en) | Semiconductor device and method | |
US10797140B2 (en) | Semiconductor device and method | |
US12051721B2 (en) | Methods of forming semiconductor devices including gate barrier layers | |
KR20180069706A (en) | Source and drain formation technique for fin-like field effect transistor | |
CN112687688A (en) | Semiconductor device with a plurality of semiconductor chips | |
TW202038317A (en) | Method of manufacturing semiconductor device | |
US11145746B2 (en) | Semiconductor device and method | |
TWI801923B (en) | Semiconductor device and method of manufacture | |
KR20230052202A (en) | Nanostructure fet and method of forming same | |
KR102669042B1 (en) | Source/drain regions and methods of forming same | |
KR101684010B1 (en) | Contact structure of semiconductor device | |
US20250220946A1 (en) | Isolation structures in transistor devices and methods of forming |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PA0109 | Patent application |
Patent event code: PA01091R01D Comment text: Patent Application Patent event date: 20141128 |
|
A201 | Request for examination | ||
PA0201 | Request for examination |
Patent event code: PA02012R01D Patent event date: 20141230 Comment text: Request for Examination of Application Patent event code: PA02011R01I Patent event date: 20141128 Comment text: Patent Application |
|
PG1501 | Laying open of application | ||
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20160221 Patent event code: PE09021S01D |
|
E701 | Decision to grant or registration of patent right | ||
PE0701 | Decision of registration |
Patent event code: PE07011S01D Comment text: Decision to Grant Registration Patent event date: 20160906 |
|
GRNT | Written decision to grant | ||
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20161201 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20161201 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration | ||
FPAY | Annual fee payment |
Payment date: 20191126 Year of fee payment: 4 |
|
PR1001 | Payment of annual fee |
Payment date: 20191126 Start annual number: 4 End annual number: 4 |
|
PR1001 | Payment of annual fee |
Payment date: 20201124 Start annual number: 5 End annual number: 5 |
|
PR1001 | Payment of annual fee |
Payment date: 20211123 Start annual number: 6 End annual number: 6 |
|
PR1001 | Payment of annual fee |
Payment date: 20231121 Start annual number: 8 End annual number: 8 |