KR100967924B1 - A method of manufacturing a semiconductor device, an apparatus for manufacturing a semiconductor device, and a program storage medium - Google Patents
A method of manufacturing a semiconductor device, an apparatus for manufacturing a semiconductor device, and a program storage medium Download PDFInfo
- Publication number
- KR100967924B1 KR100967924B1 KR1020080056158A KR20080056158A KR100967924B1 KR 100967924 B1 KR100967924 B1 KR 100967924B1 KR 1020080056158 A KR1020080056158 A KR 1020080056158A KR 20080056158 A KR20080056158 A KR 20080056158A KR 100967924 B1 KR100967924 B1 KR 100967924B1
- Authority
- KR
- South Korea
- Prior art keywords
- pattern
- film
- etching
- semiconductor device
- sio
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 65
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 50
- 238000005530 etching Methods 0.000 claims abstract description 70
- 238000000034 method Methods 0.000 claims abstract description 67
- 229910004298 SiO 2 Inorganic materials 0.000 claims abstract description 48
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 48
- 238000012545 processing Methods 0.000 claims description 15
- 238000009966 trimming Methods 0.000 claims description 12
- 239000000758 substrate Substances 0.000 claims description 10
- 239000003054 catalyst Substances 0.000 claims description 9
- 238000010438 heat treatment Methods 0.000 claims description 9
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 8
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 8
- 239000000126 substance Substances 0.000 claims description 8
- 239000011368 organic material Substances 0.000 claims description 6
- 239000000470 constituent Substances 0.000 claims description 4
- 229910052710 silicon Inorganic materials 0.000 claims description 4
- 239000010703 silicon Substances 0.000 claims description 4
- 230000015572 biosynthetic process Effects 0.000 abstract description 2
- 239000010410 layer Substances 0.000 description 55
- 239000007789 gas Substances 0.000 description 44
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 14
- 239000001301 oxygen Substances 0.000 description 14
- 229910052760 oxygen Inorganic materials 0.000 description 14
- 235000012431 wafers Nutrition 0.000 description 12
- 229910021417 amorphous silicon Inorganic materials 0.000 description 11
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 11
- 229920005591 polysilicon Polymers 0.000 description 10
- 238000010586 diagram Methods 0.000 description 7
- 238000000059 patterning Methods 0.000 description 7
- 238000001020 plasma etching Methods 0.000 description 7
- 238000012546 transfer Methods 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 238000004380 ashing Methods 0.000 description 4
- 238000011161 development Methods 0.000 description 4
- 230000018109 developmental process Effects 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- 238000001039 wet etching Methods 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 230000003213 activating effect Effects 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 229910010272 inorganic material Inorganic materials 0.000 description 2
- 239000011147 inorganic material Substances 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 239000012044 organic layer Substances 0.000 description 1
- 230000007261 regionalization Effects 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0338—Process specially adapted to improve the resolution of the mask
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
Abstract
(과제) 종래에 비하여 공정의 간략화와 제조 비용의 저감을 도모할 수 있어, 생산성의 향상을 도모할 수 있는 반도체 장치의 제조 방법, 반도체 장치의 제조 장치, 제어 프로그램 및, 프로그램 기억 매체를 제공한다.(Problem) Provided are a semiconductor device manufacturing method, a semiconductor device manufacturing apparatus, a control program, and a program storage medium, which can simplify the process and reduce the manufacturing cost, and can improve productivity, as compared with the related art. .
(해결 수단) 포토레지스트(103)의 패턴의 위에 SiO2막(104)을 성막하는 성막 공정과, SiO2막(104)을 포토레지스트(103)의 패턴의 측벽부에만 남도록 에칭하는 에칭 공정과, 포토레지스트(103)의 패턴을 제거하여 SiO2막(104)의 패턴을 형성하는 공정을 구비하고 있다.[MEANS FOR SOLVING PROBLEMS] film-forming step and the etching step of etching to remain only on the side wall of the pattern of the photoresist 103, the SiO 2 film 104 for forming the SiO 2 film 104 on the pattern of the photoresist 103 and the And removing the pattern of the photoresist 103 to form the pattern of the SiO 2 film 104.
반도체 장치, 성막, 에칭 Semiconductor device, film formation, etching
Description
본 발명은, 포토레지스트(photoresist)막을 노광, 현상하여 얻어진 포토레지스트의 제1 패턴에 기초하여, 기판 상의 피(被)에칭층을 소정의 패턴으로 에칭하여, 반도체 장치를 제조하는 반도체 장치의 제조 방법, 반도체 장치의 제조 장치, 제어 프로그램 및, 프로그램 기억 매체에 관한 것이다.The present invention manufactures a semiconductor device which manufactures a semiconductor device by etching a target etching layer on a substrate in a predetermined pattern based on a first pattern of a photoresist obtained by exposing and developing a photoresist film. A method, a manufacturing apparatus of a semiconductor device, a control program, and a program storage medium.
종래부터, 반도체 장치 등의 제조 공정에 있어서는, 반도체 웨이퍼 등의 기판에 플라즈마 에칭 등의 에칭 처리를 행하여, 미세한 회로 패턴 등을 형성하는 것이 행해지고 있다. 이러한 에칭 처리 공정에서는, 포토레지스트를 이용한 포토리소그래피(photolithography) 공정에 의해, 에칭 마스크를 형성하는 것이 행해지고 있다.Background Art Conventionally, in a manufacturing process such as a semiconductor device, etching treatment such as plasma etching is performed on a substrate such as a semiconductor wafer to form a fine circuit pattern or the like. In such an etching process, forming an etching mask is performed by the photolithography process using a photoresist.
이러한 포토리소그래피 공정에서는, 형성하는 패턴의 미세화에 대응하기 위해, 여러 종류의 기술이 개발되고 있다. 그 하나로서, 소위 더블 패터닝(double patterning)이 있다. 이 더블 패터닝은, 제1 마스크 패턴 형성 스텝과, 이 제1 마 스크 패턴 형성 스텝의 후에 행해지는 제2 마스크 패턴 형성 스텝의 2단계의 패터닝을 행함으로써, 1회의 패터닝으로 에칭 마스크를 형성하는 경우보다 미세한 간격의 에칭 마스크를 형성할 수 있도록 한 것이다(예를 들면, 특허 문헌 1 참조).In such a photolithography step, various kinds of techniques have been developed to cope with miniaturization of a pattern to be formed. One example is so-called double patterning. This double patterning is performed when the etching mask is formed by one patterning by performing two-step patterning of the first mask pattern forming step and the second mask pattern forming step performed after the first mask pattern forming step. It is made to form the etching mask of finer space | interval (for example, refer patent document 1).
또한, 예를 들면 SiO2막이나 Si3N4막 등을 희생막으로서 사용하고, 1개의 패턴의 양측 측벽 부분에 마스크를 형성하여 사용하는 SWT(side wall transfer)법을 이용하여, 최초로 포토레지스트막을 노광, 현상하여 얻어진 포토레지스트의 패턴보다도 미세한 피치(pitch)로 패터닝을 행하는 것도 알려져 있다. 즉, 이 방법에서는, 우선 포토레지스트의 패턴을 이용하여, 예를 들면 SiO2막의 희생막을 에칭하여 패터닝하고, 이 SiO2막의 패턴의 위에 Si3N4막 등을 형성한 후, SiO2막의 측벽 부분에만 Si3N4막이 남도록 에치백(etch back)하고, 이 이후, 웨트 에칭(wet etching)에 의해 SiO2막을 제거하고, 남은 Si3N4막을 마스크로 하여, 하층의 에칭을 행하는 것이다.For example, a photoresist is first used using a side wall transfer (SWT) method in which a SiO 2 film, a Si 3 N 4 film, or the like is used as a sacrificial film, and a mask is formed on both sidewall portions of one pattern. It is also known to pattern at a finer pitch than the pattern of the photoresist obtained by exposing and developing a film. That is, in this method, first, by using a photo resist pattern is, for example, after a SiO 2 film, etching the sacrificial film is patterned to form a like Si 3 N 4 film on top of the SiO 2 film pattern, SiO 2 film side wall The Si 3 N 4 film is etched back so that only the portion remains, and after that, the SiO 2 film is removed by wet etching, and the underlying layer is etched using the remaining Si 3 N 4 film as a mask.
또한, 성막 기술에 있어서는, 보다 저온에서 성막하는 것이 요구되는 경우가 있으며, 이와 같이 저온에서 성막하는 기술로서는, 가열 촉매체로 성막 가스를 활성화시킨 화학기상성장(chemical vapor deposition)에 의해 행하는 방법이 알려져 있다(예를 들면, 특허 문헌 2 참조).In the film forming technique, it is sometimes required to form a film at a lower temperature. As a technique for forming a film at a lower temperature in this manner, a method of performing the chemical vapor deposition by activating the film forming gas with a heating catalyst body is known. (For example, refer patent document 2).
[특허 문헌 1] 일본공개특허공보 2007-027742호[Patent Document 1] Japanese Patent Application Laid-Open No. 2007-027742
[특허 문헌 2] 일본공개특허공보 2006-179819호[Patent Document 2] Japanese Laid-Open Patent Publication No. 2006-179819
상기한 바와 같이, 종래 기술에 있어서는, 공정수가 많아지고, 공정이 복잡화함과 아울러 제조 비용이 증대하여, 생산성이 악화한다는 과제가 있다. 또한, 종래의 SWT법에서는, 웨트 에칭 공정이 필요하기 때문에, 드라이 에칭(dry etching)과 웨트 에칭이 혼재하는 공정이 되어, 공정이 번잡화하는 요인이 되고 있다.As described above, in the prior art, there is a problem that the number of steps increases, the complexity of the steps increases, the manufacturing cost increases, and the productivity deteriorates. In addition, in the conventional SWT method, since a wet etching process is required, it becomes a process in which dry etching and wet etching are mixed, resulting in a complicated process.
본 발명은, 이러한 종래의 사정에 대처하여 이루어진 것으로, 종래에 비하여 공정의 간략화와 제조 비용의 저감을 도모할 수 있어, 생산성의 향상을 도모할 수 있는 반도체 장치의 제조 방법, 반도체 장치의 제조 장치, 제어 프로그램 및, 프로그램 기억 매체를 제공하려는 것이다.This invention is made in response to such a conventional situation, Comprising: The manufacturing method of the semiconductor device which can aim at the simplification of a process and reduction of a manufacturing cost, and can improve productivity compared with the conventional, The manufacturing apparatus of a semiconductor device It is intended to provide a control program and a program storage medium.
삭제delete
삭제delete
삭제delete
삭제delete
삭제delete
삭제delete
청구항 7의 반도체 장치의 제조 방법은, 기판 상의 피에칭층을 소정의 패턴으로 에칭하여, 반도체 장치를 제조하는 반도체 장치의 제조 방법으로서, 포토레지스트로 이루어지는 복수의 라인 형상의 제1 패턴을 형성하는 제1 패턴 형성 공정과, 상기 제1 패턴의 위에 SiO2막을 성막하는 제1 성막 공정과, 상기 SiO2막을 상기 포토레지스트의 제1 패턴의 측벽부에만 남도록 에칭하는 제1 에칭 공정과, 상기 제1 패턴을 제거하여 상기 SiO2막의 제2 패턴을 형성하는 제2 패턴 형성 공정과, 상기 제2 패턴을 마스크로 하여 하층의 제1 마스크 구성층을 에칭하는 제2 에칭 공정과, 상기 제1 패턴과 직교하는 방향으로, 포토레지스트의 복수의 라인 형상의 패턴으로 이루어지는 제3 패턴을 형성하는 공정과, 상기 제3 패턴의 위에 SiO2막을 성막하는 제2 성막 공정과, 상기 SiO2막을 상기 제3 패턴의 측벽부에만 남도록 에칭하는 제3 에칭 공정과, 상기 제3 패턴을 제거하여 상기 SiO2막의 제4 패턴을 형성하는 제4 패턴 형성 공정과, 상기 제4 패턴 및 상기 제1 마스크 구성층을 마스크로 하여, 하층의 제2 마스크 구성층을 에칭하는 제4 에칭 공정과, 상기 제1 마스크 구성층과 상기 제2 마스크 구성층을 마스크로 하여, 상기 피에칭층에 홀 형상을 형성하는 제5 에칭 공정을 구비한 것을 특징으로 한다.The manufacturing method of the semiconductor device of Claim 7 is a manufacturing method of the semiconductor device which manufactures a semiconductor device by etching the etching target layer on a board | substrate in a predetermined pattern, Comprising: Forming the several line-shaped 1st pattern which consists of photoresists. a first pattern formation step, the first film-forming step of forming SiO 2 film on the first pattern, and a first etching step of the SiO 2 film is etched to remain only on the side wall of the first pattern of the photoresist, the first A second pattern forming step of removing one pattern to form a second pattern of the SiO 2 film, a second etching step of etching a first mask constituent layer below using the second pattern as a mask, and the first pattern Forming a third pattern comprising a plurality of line-shaped patterns of photoresist in a direction orthogonal to the second; forming a second SiO 2 film on the third pattern; and Group the third etching step, wherein the first and fourth pattern forming step of forming the SiO 2 film, a fourth pattern by removing the third pattern and the fourth pattern and etching to leave only the side wall portion of the third pattern SiO 2 film A fourth etching step of etching the lower layer second mask component layer using the first mask component layer as a mask, and the etching target layer using the first mask component layer and the second mask component layer as a mask. A fifth etching step of forming a hole shape is provided.
청구항 8의 반도체 장치의 제조 방법은, 청구항 7에 기재된 반도체 장치의 제조 방법으로서, 상기 제1 및 제2 성막 공정을, 가열 촉매체로 성막 가스를 활성화시킨 화학기상성장에 의해 행하는 것을 특징으로 한다.The manufacturing method of the semiconductor device of
청구항 9의 반도체 장치의 제조 방법은, 청구항 7 또는 8에 기재된 반도체 장치의 제조 방법으로서, 상기 제1 성막 공정의 전에, 상기 제1 패턴을 트리밍함과 아울러, 하층의 유기 재료로 이루어지는 반사 방지막을 에칭하는 공정과, 상기 제1 성막 공정의 전에, 상기 제3 패턴을 트리밍함과 아울러, 하층의 유기 재료로 이루어지는 반사 방지막을 에칭하는 공정을 구비한 것을 특징으로 한다.The manufacturing method of the semiconductor device of Claim 9 is a manufacturing method of the semiconductor device of
청구항 10의 반도체 장치의 제조 방법은, 청구항 7 내지 9 중 어느 한 항에 기재된 반도체 장치의 제조 방법으로서, 상기 제1 마스크 구성층이 실리콘으로 이루어지고, 상기 제2 마스크 구성층이 질화실리콘으로 이루어지는 것을 특징으로 한다.The manufacturing method of the semiconductor device of
청구항 11의 반도체 장치의 제조 장치는, 기판 상의 피에칭층을 소정의 패턴으로 에칭하여, 반도체 장치를 제조하는 반도체 장치의 제조 장치로서, 상기 기판을 수용하는 처리 챔버와, 상기 처리 챔버 내에 처리 가스를 공급하는 처리 가스 공급 수단과, 상기 처리 챔버 내에서 청구항 7 내지 청구항 10 중 어느 한 항에 기재된 반도체 장치의 제조 방법이 행해지도록 제어하는 제어부를 구비한 것을 특징으로 한다.The manufacturing apparatus of the semiconductor device of
제어 프로그램은, 컴퓨터 상에서 동작하고, 실행 시에, 청구항 7 내지 청구항 10 중 어느 한 항에 기재된 반도체 장치의 제조 방법이 행해지도록 반도체 장치의 제조 장치를 제어하는 것을 특징으로 한다.The control program operates on a computer and, when executed, controls the manufacturing apparatus of the semiconductor device so that the manufacturing method of the semiconductor device according to any one of claims 7 to 10 is performed.
청구항 12의 프로그램 기억 매체는, 컴퓨터 상에서 동작하는 제어 프로그램이 기억된 프로그램 기억 매체로서, 상기 제어 프로그램은, 실행 시에 청구항 7 내지 청구항 10 중 어느 한 항에 기재된 반도체 장치의 제조 방법이 행해지도록 반도체 장치의 제조 장치를 제어하는 것을 특징으로 한다.The program storage medium of
본 발명에 의하면, 종래에 비하여 공정의 간략화와 제조 비용의 저감을 도모할 수 있어, 생산성의 향상을 도모할 수 있는 반도체 장치의 제조 방법, 반도체 장치의 제조 장치, 제어 프로그램 및, 프로그램 기억 매체를 제공할 수 있다.Advantageous Effects According to the present invention, a semiconductor device manufacturing method, a semiconductor device manufacturing apparatus, a control program, and a program storage medium, which can simplify the process and reduce the manufacturing cost, and can improve the productivity as compared with the prior art. Can provide.
(발명을 실시하기 위한 최량의 형태)Best Mode for Carrying Out the Invention [
이하, 본 발명의 일 실시 형태에 대하여 도면을 참조하여 설명한다.EMBODIMENT OF THE INVENTION Hereinafter, one Embodiment of this invention is described with reference to drawings.
도1 은, 본 발명의 제1 실시 형태에 따른 반도체 웨이퍼의 일부를 확대하여 개략적으로 나타내는, 제1 실시 형태에 따른 반도체 장치의 제조 방법의 공정을 나타내는 것이다. 도1(a) 에 나타내는 바와 같이, 이 제1 실시 형태에서는, 패터닝을 목적으로 하는 피에칭층으로서의 폴리실리콘층(101)의 위에는, 유기 재료로 이루어지는 반사 방지막(BARC)(102)이 형성되어 있으며, 이 반사 방지막(BARC)(102)의 위에 포토레지스트(103)가 형성되어 있다. 포토레지스트(103)는, 노광, 현상 공정에 의해, 패터닝되어, 소정의 형상을 갖는 패턴으로 되어 있다. 또한, 도1 에 있어서 부호(100)는, 폴리실리콘층(101)의 하측에 형성된 하지(base)층을 나타내고 있다.1 shows a step of a method of manufacturing a semiconductor device according to the first embodiment, in which a part of the semiconductor wafer according to the first embodiment of the present invention is enlarged and schematically shown. As shown in Fig. 1 (a), in this first embodiment, an antireflection film (BARC) 102 made of an organic material is formed on the
도1(b) 는, 상기의 포토레지스트(103)를 트리밍(trimming)하여 선폭을 좁게 함과 아울러, 반사 방지막(BARC)(102)을 에칭한 상태를 나타내고 있다. 이 포토레 지스트(103)의 트리밍 및 반사 방지막(BARC)(102)의 에칭을 행하는 공정은, 예를 들면, 산소 플라즈마 등을 이용한 플라즈마 에칭에 의해 행할 수 있다.FIG. 1B shows a state in which the
다음으로, 도1(c) 에 나타내는 바와 같이, SiO2막(104)을 성막한다. 이 성막 공정에서는, 포토레지스트(103)의 위에 성막을 행하지만, 일반적으로 포토레지스트(103)는, 고온에 노출되면 쓰러짐이 발생하는 등, 고온에 약하기 때문에, 저온(예를 들면 300℃ 이하 정도)에서 성막하는 것이 바람직하다. 이 경우, 가열 촉매체로 성막 가스를 활성화시킨 화학기상성장에 의해 행할 수 있다.Next, as shown in Fig. 1C, a SiO 2
다음으로, 도1(d) 에 나타내는 바와 같이, SiO2막(104)을 에칭하여, SiO2막(104)이, 포토레지스트(103)의 패턴의 측벽부에만 남은 상태로 한다. 이 에칭은, 예를 들면, CF4, C4F8, CHF3, CH3F, CH2F2 등의 CF계 가스와, Ar 가스 등의 혼합 가스, 또는 이 혼합 가스에 필요에 따라 산소를 첨가한 가스 등을 이용하여 행할 수 있다.Next, as shown in Fig. 1 (d), the SiO 2
다음으로, 도1(e) 에 나타내는 바와 같이, 산소 플라즈마를 이용한 애싱(ashing) 등에 의해, 포토레지스트(103)의 패턴을 제거하여, 측벽부에 남은 SiO2막(104)에 의한 패턴을 형성한다.Next, as shown in Fig. 1E, the pattern of the
그리고, 도1(f) 에 나타내는 바와 같이, 상기의 SiO2막(104)에 의한 패턴을 마스크로 하여, 하층의 폴리실리콘층(101)을 에칭한다. 이 에칭은, 예를 들면, HBr 가스 등을 이용하여 행할 수 있다.As shown in Fig. 1 (f), the
상기의 제1 실시 형태에서는, 희생막을 이용하는 일이 없이, SWT법에 의한 미세한 패턴의 형성을 행할 수 있다. 또한, 공정의 도중에 웨트 에칭을 행하는 일이 없이, 에칭 공정은 모두 드라이 에칭 공정에 의해 실시할 수 있다. 따라서, 종래에 비하여 공정의 간략화와 제조 비용의 저감을 도모할 수 있어, 생산성의 향상을 도모할 수 있다.In said 1st Embodiment, the fine pattern by SWT method can be formed, without using a sacrificial film. In addition, all the etching processes can be performed by a dry etching process, without performing wet etching in the middle of a process. Therefore, compared with the conventional method, the process can be simplified and the manufacturing cost can be reduced, and the productivity can be improved.
실제로, 도1(c) 에 나타내는 공정에서 가열 촉매체로 성막 가스를 활성화시킨 화학기상성장에 의해 두께 약 35nm의 SiO2막(104)을 성막하고, 대향 전극의 상부 전극과 하부 전극에 고주파 전력을 공급하여 플라즈마 에칭을 행하는 장치를 이용하여, 이하의 조건으로 각 공정의 에칭을 행한 결과, 폴리실리콘층(101)(두께 약 100nm(하지층이 산화막))을 양호한 형상으로 패터닝할 수 있었다.In fact, in the process shown in Fig. 1C, a SiO 2 film 104 having a thickness of about 35 nm is formed by chemical vapor growth by activating the deposition gas with a heating catalyst body, and high frequency power is applied to the upper and lower electrodes of the counter electrode. As a result of etching each process under the following conditions using an apparatus for supplying and performing plasma etching, the polysilicon layer 101 (thickness of about 100 nm (base layer is an oxide film)) was patterned in a good shape.
(도1(b), (e) 의 포토레지스트(103), 반사 방지막(102)의 에칭)(Etching of the
에칭 가스 : O2 (374sccm)Etching Gas: O 2 (374sccm)
압력 : 13.3Pa (100mTorr)Pressure: 13.3Pa (100mTorr)
전력 : 600W (상부) / 30W (하부)Power: 600W (top) / 30W (bottom)
(도1(d) 의 SiO2막(104)의 에칭)(Etching of SiO 2 Film 104 in Fig. 1 (d))
에칭 가스 : Ar/C4F8 (500sccm/20sccm)Etching Gas: Ar / C 4 F 8 (500sccm / 20sccm)
압력 : 5.3Pa (40mTorr)Pressure: 5.3Pa (40mTorr)
전력 : 600W (상부) / 100W (하부)Power: 600W (top) / 100W (bottom)
(도1(f) 의 폴리실리콘층(101)의 에칭)(Etching of
(메인 에칭)(Main etching)
에칭 가스 : HBr/O2 (400sccm/2sccm)Etching Gas: HBr / O 2 (400sccm / 2sccm)
압력 : 4.0Pa (30mTorr)Pressure: 4.0Pa (30mTorr)
전력 : 200W (상부) / 150W (하부)Power: 200W (top) / 150W (bottom)
(오버 에칭)(Over etching)
에칭 가스 : HBr/O2 (934sccm/4sccm)Etching Gas: HBr / O 2 (934sccm / 4sccm)
압력 : 20.0Pa (150mTorr)Pressure: 20.0Pa (150mTorr)
전력 : 650W (상부) / 200W (하부)Power: 650W (top) / 200W (bottom)
도2 는, 상기한 제1 실시 형태에 있어서의 폴리실리콘층(101)과 반사 방지막(BARC)(102)과의 사이에, 다른 막, 예를 들면 Si3N4막(120)이 형성되어 있는 제2 실시 형태의 반도체 장치의 제조 공정을 나타내는 것이다. 이 제2 실시 형태의 경우, 도1 에 나타낸 제1 실시 형태의 경우와 동일하게 하여 도2(a)∼(e) 의 공정을 행한다. 그리고 이 이후, SiO2막(104)에 의한 패턴을 마스크로 하여, 하층의 Si3N4막(120)을 에칭하고(f), 이 Si3N4막(120) 등을 마스크로 하여 폴리실리콘층(101)을 에칭한다(g). 또한, 도2 의 경우에 있어서, Si3N4막(120)을 대신하여 SiON(산질화실리콘)막을 이용해도 좋다.Fig. 2 shows another film, for example, a Si 3 N 4 film 120, between the
도3 은, 제3 실시 형태의 반도체 장치의 제조 방법의 공정을 나타내는 것이다. 도3(a) 에 나타내는 바와 같이, 이 제3 실시 형태에서는, 예를 들면, 산화막, 질화막, 폴리실리콘 등으로 이루어지며, 패터닝을 목적으로 하는 피에칭층(131)의 위에는, 유기막(132)이 형성되어 있으며, 이 유기막(132)의 위에, 무기 재료로 이루어지는 반사 방지막으로서 SOG막(또는 LTO막)(133)이 형성되어 있고, 이 SOG막(또는 LTO막)(133)의 위에 포토레지스트(134)가 형성되어 있다. 포토레지스트(134)는, 노광, 현상 공정에 의해, 패터닝되어, 소정의 형상을 갖는 패턴으로 되어 있다.3 shows a step of the manufacturing method of the semiconductor device of the third embodiment. As shown in Fig. 3A, in the third embodiment, the
도3(b) 는, 상기의 포토레지스트(134)를 트리밍하여 선폭을 좁게 한 상태를 나타내고 있다. 이 포토레지스트(134)의 트리밍을 행하는 공정은, 예를 들면, 산소 플라즈마 등을 이용한 플라즈마 에칭에 의해 행할 수 있다. 또한, 이 트리밍 공정은, 필요에 따라 행하는 것이며, 포토레지스트(134)가 소망의 선폭으로 되어 있는 경우는 생략된다.FIG. 3B shows a state in which the line width is narrowed by trimming the
다음으로, 도3(c) 에 나타내는 바와 같이, SiO2막(135)을 성막한다. 이 성막 공정에서는, 포토레지스트(134)의 위에 성막을 행하기 때문에, 전술한 바와 같이, 저온(예를 들면 300℃ 이하 정도)에서 성막하는 것이 바람직하고, 가열 촉매체로 성막 가스를 활성화시킨 화학기상성장 등에 의해 행할 수 있다.Next, as shown in Fig. 3C, a SiO 2 film 135 is formed. In this film forming step, since the film is formed on the
다음으로, 도3(d) 에 나타내는 바와 같이, SiO2막(135)을 에칭하여, SiO2막(135)이, 포토레지스트(134)의 패턴의 측벽부에만 남은 상태로 한다. 이 에칭은, 예를 들면, CF4, C4F8, CHF3, CH3F, CH2F2 등의 CF계 가스와, Ar 가스 등의 혼합 가스, 또는 이 혼합 가스에 필요에 따라 산소를 첨가한 가스 등을 이용하여 행할 수 있다.Next, as shown in Fig. 3 (d), the SiO 2 film 135 is etched so that the SiO 2 film 135 remains in only the sidewall portion of the pattern of the
다음으로, 도3(e) 에 나타내는 바와 같이, 산소 플라즈마를 이용한 애싱 등에 의해, 포토레지스트(134)의 패턴을 제거하여, 측벽부에 남은 SiO2막(135)에 의한 패턴을 형성한다.Next, as shown in Fig. 3E, the pattern of the
이 이후, 도3(f) 에 나타내는 바와 같이, 상기의 SiO2막(135)에 의한 패턴을 마스크로 하여, 하층의 SOG막(또는 LTO막)(133)을 에칭하고, 또한, 도3(g) 에 나타내는 바와 같이, 하층의 유기막(132)을 에칭한다. 그리고, 패터닝된 유기막(132)을 포함하는 마스크를 통하여 하층의 피에칭층(131)을 에칭한다. 이 경우 피에칭층(131)은, 폴리실리콘 등의 외에, 산화막, 질화막 등의 무기 재료로 이루어지는 막이어도 좋다. 또한, SOG막(또는 LTO막)(133)의 에칭은, 전술한 CF계 가스 등으로 이루어지는 혼합 가스를 이용하여 행할 수 있고, 유기막(132)의 에칭은, 산소 또는 질소 등의 가스를 이용하여 행할 수 있다.After that, as shown in Fig. 3 (f), the lower SOG film (or LTO film) 133 is etched using the pattern of the SiO 2 film 135 as a mask, and further, Fig. 3 ( As shown in g), the lower
도4 는, 상기한 제3 실시 형태에 있어서의 SOG막(또는 LTO막)(133)의 대신에 반사 방지막으로서 SiON막(140)이 형성되어 있는 제4 실시 형태의 반도체 장치의 제조 공정을 나타내는 것이다. 이 제4 실시 형태의 경우, 도3 에 나타낸 제3 실시 형태의 경우의 도3(a)∼(g) 의 공정과 동일하게 하여 도4(a)∼(g) 의 공정을 행한다.Fig. 4 shows a manufacturing process of the semiconductor device of the fourth embodiment in which the
다음으로, 도6 내지 10 을 참조하여, 제5 실시 형태에 대하여 설명한다. 도6(a) 에 나타내는 바와 같이, 이 제5 실시 형태에서는, 패터닝을 목적으로 하는 피 에칭층으로서의 산화실리콘층(500)의 위에, 제2 마스크 구성층으로서의 질화실리콘층(501)이 형성되어 있다. 이 질화실리콘층(501)의 위에는, 제1 마스크 구성층으로서의 아모퍼스(amorphous) 실리콘층(502)이 형성되어 있다. 이 아모퍼스 실리콘층(502)은, 폴리실리콘층이어도 좋다. 이 아모퍼스 실리콘층(502)의 위에, 유기 재료로 이루어지는 반사 방지막(BARC)(503)이 형성되어 있다. 그리고, 이 반사 방지막(BARC)(503)의 위에 포토레지스트(504)가 형성되어 있다. 포토레지스트(504)는, 노광, 현상 공정에 의해, 패터닝되어, 복수의 라인 형상을 갖는 소정의 패턴(제1 패턴)으로 되어 있다. 이 포토레지스트(504)의 라인 형상의 패턴은, 예를 들면, 라인의 폭(선폭)이 60nm, 라인과 라인과의 사이의 간격이 60nm 등으로 된다.Next, with reference to FIGS. 6-10, 5th Embodiment is described. As shown in Fig. 6A, in the fifth embodiment, the
도6(b) 는, 상기의 포토레지스트(504)를 트리밍하여 선폭을 좁게 함(예를 들면, 30nm로 함)과 아울러, 반사 방지막(BARC)(503)을 에칭한 상태를 나타내고 있다. 이 포토레지스트(504)의 트리밍 및 반사 방지막(BARC)(503)의 에칭을 행하는 공정은, 예를 들면, 산소 플라즈마 등을 이용한 플라즈마 에칭에 의해 행할 수 있다.FIG. 6B shows a state in which the anti-reflection film (BARC) 503 is etched while trimming the
다음으로, 도6(c) 에 나타내는 바와 같이, 포토레지스트(504)의 위에, SiO2막(505)을 성막하는 제1 성막 공정을 행한다. 이 성막 공정은, 전술한 실시 형태와 동일하게, 가열 촉매체로 성막 가스을 활성화시킨 화학기상성장 등에 의해 행한다.Next, as shown in Fig. 6C, a first film forming step of forming a SiO 2 film 505 on the
다음으로, 도6(d) 에 나타내는 바와 같이, SiO2막(505)을 에칭하여, SiO2 막(505)이, 포토레지스트(504)의 패턴의 측벽부에만 남은 상태로 하는 제1 에칭 공정을 행한다. 이 에칭은, 예를 들면, CF4, C4F8, CHF3, CH3F, CH2F2 등의 CF계 가스와, Ar 가스 등의 혼합 가스, 또는 이 혼합 가스에 필요에 따라 산소를 첨가한 가스 등을 이용하여 행할 수 있다.Next, as shown in FIG. 6 (d), the SiO 2 film 505 is etched so that the SiO 2 film 505 remains in only the sidewall portion of the pattern of the
다음으로, 도6(e) 에 나타내는 바와 같이, 산소 플라즈마를 이용한 애싱 등에 의해, 포토레지스트(504)의 패턴을 제거하여, 측벽부에 남은 SiO2막(505)에 의한 패턴(제2 패턴)을 형성하는 제2 패턴 형성 공정을 행하고, 이 SiO2막(505)에 의한 패턴을 마스크로 하여 아모퍼스 실리콘층(502)을 에칭하는 제2 에칭 공정을 행한다. 아모퍼스 실리콘층(502)의 에칭은, 예를 들면, HBr 가스 등을 이용하여 행할 수 있다.Next, as shown in Fig. 6E, the pattern of the
그리고, 도6(f) 에 나타내는 바와 같이, 에칭 마스크로서 사용한 SiO2막(505)을 제거한다. 이상의 공정에 의해, 도7 의 평면도에 나타내는 바와 같이, 반도체 웨이퍼를 위에서 보았을 때에, 아모퍼스 실리콘층(502)이 라인 형상(선폭 예를 들면 30nm, 간격 예를 들면 30nm)으로 형성되고, 이들의 아모퍼스 실리콘층(502)의 사이에, 하층의 질화실리콘층(501)이 노출한 상태가 된다. 또한, 도6(f) 는, 도7 의 일점쇄선으로 나타내는 A단면의 단면도이다.As shown in Fig. 6F, the SiO 2 film 505 used as the etching mask is removed. By the above process, as shown in the plan view of FIG. 7, when the semiconductor wafer is viewed from above, the
다음으로, 상기한 도6(f) 의 상태로부터, 도8(B1), (C1) 에 나타내는 바와 같이, 반사 방지막(BARC)(513)을 형성하고, 그 위에 도포, 노광, 현상 공정에 의해 패터닝한 포토레지스트(514)(제3 패턴)를 형성하는 제3 패턴 형성 공정을 행한다. 이 포토레지스트(514)는, 도7 에 나타낸 라인 형상의 아모퍼스 실리콘층(502)과 직교하는 방향의 라인 형상의 패턴으로, 예를 들면, 라인의 폭(선폭)이 60nm, 라인과 라인과의 사이의 간격이 60nm의 패턴으로 이루어진다. 또한, 도8 의 좌측에는, 후술하는 도9 에 나타내는 평면도에 있어서의 B단면, 도8 의 우측에는, C단면을 나타내고 있다.Next, as shown in Figs. 8B1 and C1, the
도8(B2), (C2) 는, 상기의 포토레지스트(514)를 트리밍하여 선폭을 좁게 함(예를 들면, 30nm로 함)과 아울러, 반사 방지막(BARC)(513)을 에칭한 상태를 나타내고 있다. 이 포토레지스트(514)의 트리밍 및 반사 방지막(BARC)(513)의 에칭을 행하는 공정은, 예를 들면, 산소 플라즈마 등을 이용한 플라즈마 에칭에 의해 행할 수 있다.8 (B2) and (C2) show a state where the anti-reflection film (BARC) 513 is etched while trimming the
다음으로, 도8(B3), (C3) 에 나타내는 바와 같이, SiO2막(515)을 성막하는 제2 성막 공정을 행한다. 이 성막 공정은, 전술한 실시 형태와 동일하게, 예를 들면, 가열 촉매체로 성막 가스를 활성화시킨 화학기상성장 등에 의해 행한다.Next, as shown in Fig. 8 (B3), (C3), it performs a second film forming step of forming the SiO 2 film 515. This film forming step is performed by chemical vapor growth or the like in which, for example, the film forming gas is activated with a heating catalyst body, similarly to the above-described embodiment.
다음으로, 도8(B4), (C4) 에 나타내는 바와 같이, SiO2막(515)을 에칭하여, SiO2막(515)이, 포토레지스트(514)의 패턴의 측벽부에만 남은 상태로 하는 제3 에칭 공정을 행한다. 이 에칭은, 예를 들면, CF4, C4F8, CHF3, CH3F, CH2F2 등의 CF계 가스와, Ar 가스 등의 혼합 가스, 또는 이 혼합 가스에 필요에 따라 산소를 첨가한 가스 등을 이용하여 행할 수 있다.Next, as shown in FIGS. 8B4 and 4C, the SiO 2 film 515 is etched so that the SiO 2 film 515 remains in only the sidewall portion of the pattern of the
다음으로, 도8(B5), (C5) 에 나타내는 바와 같이, 산소 플라즈마를 이용한 애싱 등에 의해, 포토레지스트(514)의 패턴을 제거하여, 측벽부에 남은 SiO2막(515)에 의한 패턴(제4 패턴)을 형성하는 제4 패턴 형성 공정을 행한다.Next, as shown in FIGS. 8B5 and C5, the pattern of the
다음으로, 도8(B6), (C6) 에 나타내는 바와 같이, SiO2막(515)에 의한 패턴 및 아모퍼스 실리콘층(502)을 마스크로 하여, 질화실리콘층(501)을 에칭하는 제4 에칭 공정을 행한다. 질화실리콘층(501)의 에칭은, 예를 들면, CF4, C4F8, CHF3, CH3F, CH2F2 등의 CF계 가스와, Ar 가스 등의 혼합 가스, 또는 이 혼합 가스에 필요에 따라 산소를 첨가한 가스 등을 이용하여 행할 수 있다. 이 상태에서는, 도9 의 평면도에 나타내는 바와 같이, 반도체 웨이퍼를 위에서 보았을 때에, 라인 형상의 SiO2막(515)과, 이 라인 형상의 SiO2막(515)의 사이의 직사각 형상의 아모퍼스 실리콘층(502)에 둘러싸여 직사각 형상으로 산화실리콘층(500)이 노출한 영역이 형성된 상태로 되어 있다.Next, as shown in FIGS. 8B6 and C6, the
다음으로, 도10 에 나타내는 바와 같이, SiO2막(515)을 제거함과 아울러, 아모퍼스 실리콘층(502) 및 질화실리콘층(501)을 마스크로 하여, 산화실리콘층(500)을 에칭하는 제5 에칭 공정을 행한다. 이상의 공정에 의해, 도10 에 나타내는 바와 같이, 산화실리콘층(500)에 반도체 웨이퍼(W)의 표면이 노출하는 홀(hole) 형상을 형성한다. 또한, 도10(a) 는 평면도, 도10(b) 는, 도10(a) 에 나타내는 일점쇄선(B)을 따른 단면도, 도10(c) 는, 도10(a) 에 나타내는 일점쇄선(C)을 따른 단면도이다.Next, as shown in FIG. 10, the SiO 2 film 515 is removed, and the
상기의 제5 실시 형태에 의하면, 예를 들면, 1변이 30nm 등의 미세한 홀 형상의 패턴을 형성할 수 있다.According to said fifth embodiment, for example, one side can form a fine hole-shaped pattern such as 30 nm.
도5 는, 상기의 반도체 장치의 제조 방법을 실시하기 위한 반도체 장치의 제조 장치의 구성의 일 예를 개략적으로 나타내는 상면도(上面圖)이다. 반도체 장치의 제조 장치(1)의 중앙 부분에는, 진공 반송 챔버(10)가 형성되어 있고, 이 진공 반송 챔버(10)를 따라, 그 주위에는, 복수(본 실시 형태에서는 6개)의 처리 챔버(11∼16)가 설치되어 있다. 이들 처리 챔버는, 내부에서 플라즈마 에칭 및 가열 촉매체로 성막 가스를 활성화시킨 화학기상성장을 행하는 것이다.FIG. 5 is a top view schematically showing an example of the configuration of a semiconductor device manufacturing apparatus for carrying out the above-described method for manufacturing a semiconductor device. FIG. The
진공 반송 챔버(10)의 바로 앞측(도면 중 하측)에는, 2개의 로드락 챔버(load lock chamber;17)가 형성되고, 이들 로드락 챔버(17)의 더욱 바로 앞측(도면 중 하측)에는, 대기(大氣) 중에서 기판(본 실시 형태에서는 반도체 웨이퍼(W))을 반송하기 위한 반송 챔버(18)가 형성되어 있다. 또한, 반송 챔버(18)의 더욱 바로 앞측(도면 중 하측)에는, 복수매의 반도체 웨이퍼(W)가 수용 가능하게 된 기판 수용 케이스(카세트(cassette) 또는 후프(hoop))가 배치되는 재치부(載置部;19)가 복수(도5 에서는 3개) 형성되어 있고, 반송 챔버(18)의 측방(도면 중 좌측)에는, 오리엔테이션 플랫(orientation flat) 또는 노치(notch)에 의해 반도체 웨이퍼(W)의 위치를 검출하는 오리엔터(orienter;20)가 형성되어 있다.Two
로드락 챔버(17)와 반송 챔버(18)와의 사이, 로드락 챔버(17)와 진공 반송 챔버(10)와의 사이, 진공 반송 챔버(10)와 처리 챔버(11∼16)와의 사이에는, 각각 게이트 밸브(22)가 형성되어, 이들의 사이를 기밀하게 폐색 및 개방할 수 있도록 되어 있다. 또한, 진공 반송 챔버(10) 내에는 진공 반송 기구(30)가 형성되어 있다. 이 진공 반송 기구(30)는, 제1 픽(pick;31)과 제2 픽(32)을 구비하고, 이들에 의해 2장의 반도체 웨이퍼(W)가 지지 가능하게 구성되어 있고, 각 처리 챔버(11∼16), 로드락 챔버(17)에, 반도체 웨이퍼(W)를 반입, 반출할 수 있도록 구성되어 있다.Between the
또한, 반송 챔버(18) 내에는, 대기 반송 기구(40)가 형성되어 있다. 이 대기 반송 기구(40)는, 제1 픽(41)과, 제2 픽(42)을 구비하고 있고, 이들에 의해 2장의 반도체 웨이퍼(W)가 지지 가능하게 구성되어 있다. 대기 반송 기구(40)는, 재치부(19)에 올려놓여진 각 카세트 또는 후프, 로드락 챔버(17), 오리엔터(20)에 반도체 웨이퍼(W)를 반입, 반출할 수 있도록 구성되어 있다.In addition, the
상기 구성의 반도체 장치의 제조 장치(1)는, 제어부(60)에 의해, 그 동작이 통괄적으로 제어된다. 이 제어부(60)에는, CPU를 구비하여 반도체 장치의 제조 장치(1)의 각 부(部)를 제어하는 프로세스 컨트롤러(61)와, 유저 인터페이스부(62)와, 기억부(63)가 형성되어 있다.As for the
유저 인터페이스부(62)는, 공정 관리자가 반도체 장치의 제조 장치(1)를 관리하기 위해 커맨드(command)의 입력 조작을 행하는 키보드나, 반도체 장치의 제조 장치(1)의 가동 상황을 가시화하여 표시하는 디스플레이 등으로 구성되어 있다.The
기억부(63)에는, 반도체 장치의 제조 장치(1)에서 실행되는 각종 처리를 프로세스 컨트롤러(61)의 제어로 실현하기 위한 제어 프로그램(소프트웨어)이나 처리 조건 데이터 등이 기억된 레시피(recipe)가 격납되어 있다. 그리고, 필요에 따라, 유저 인터페이스부(62)로부터의 지시 등으로 임의의 레시피를 기억부(63)로부터 불러내어 프로세스 컨트롤러(61)에 실행시킴으로써, 프로세스 컨트롤러(61)의 제어 하에서, 반도체 장치의 제조 장치(1)에서의 소망의 처리가 행해진다. 또한, 제어 프로그램이나 처리 조건 데이터 등의 레시피는, 컴퓨터에서 판독 가능한 프로그램 기억 매체(예를 들면, 하드디스크, CD, 플렉시블 디스크(flexible disk), 반도체 메모리 등) 등에 격납된 상태의 것을 이용하거나, 또는, 다른 장치로부터, 예를 들면 전용 회선을 통하여 수시 전송시켜 온라인에서 이용하거나 하는 것도 가능하다.The
상기 구성의 반도체 장치의 제조 장치(1)를 이용하여, 제1∼5 실시 형태에 나타낸 일련의 공정을 실시할 수 있다. 또한, 성막 공정에 대해서는, 일단 반도체 웨이퍼(W)를 상기의 반도체 장치의 제조 장치(1)로부터 반출하여 다른 장치에 의해 행해도 좋다. 또한, 포토레지스트의 도포, 노광, 현상 공정에 대해서는, 다른 도포 장치, 노광 장치, 현상 장치에 의해 행한다.Using the
도1 은 본 발명의 제1 실시 형태의 공정을 개략적으로 나타내는 도면이다.BRIEF DESCRIPTION OF THE DRAWINGS It is a figure which shows the process of 1st embodiment of this invention schematically.
도2 는 본 발명의 제2 실시 형태의 공정을 개략적으로 나타내는 도면이다.2 is a diagram schematically showing a process of a second embodiment of the present invention.
도3 은 본 발명의 제3 실시 형태의 공정을 개략적으로 나타내는 도면이다.3 is a view schematically showing a process of a third embodiment of the present invention.
도4 는 본 발명의 제4 실시 형태의 공정을 개략적으로 나타내는 도면이다.4 is a diagram schematically showing a process of a fourth embodiment of the present invention.
도5 는 본 발명의 일 실시 형태에 사용하는 장치의 개략 구성을 개략적으로 나타내는 도면이다.5 is a diagram schematically showing a schematic configuration of an apparatus used in an embodiment of the present invention.
도6 은 본 발명의 제5 실시 형태의 공정을 개략적으로 나타내는 도면이다.6 is a diagram schematically showing a process of a fifth embodiment of the present invention.
도7 은 본 발명의 제5 실시 형태의 공정에 있어서의 평면 구성을 개략적으로 나타내는 도면이다.FIG. 7 is a diagram schematically showing a planar configuration in a step of the fifth embodiment of the present invention. FIG.
도8 은 본 발명의 제5 실시 형태의 공정을 개략적으로 나타내는 도면이다.8 is a view schematically showing a process of the fifth embodiment of the present invention.
도9 는 본 발명의 제5 실시 형태의 공정에 있어서의 평면 구성을 개략적으로 나타내는 도면이다.9 is a diagram schematically showing a planar configuration in a step of the fifth embodiment of the present invention.
도10 은 본 발명의 제5 실시 형태의 공정에 있어서의 평면 구성 및 단면 구성을 개략적으로 나타내는 도면이다.10 is a diagram schematically showing a planar configuration and a cross-sectional configuration in a step of the fifth embodiment of the present invention.
(도면의 주요 부분에 대한 부호의 설명)(Explanation of symbols for the main parts of the drawing)
100 : 하지(base)층100: base layer
101 : 폴리실리콘층101: polysilicon layer
102 : 반사 방지막(BARC)102: antireflection film (BARC)
103 : 포토레지스트103: photoresist
104 : SiO2막104: SiO 2 film
Claims (12)
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JPJP-P-2007-00253409 | 2007-09-28 | ||
JP2007253409 | 2007-09-28 | ||
JPJP-P-2008-00107467 | 2008-04-17 | ||
JP2008107467A JP5236983B2 (en) | 2007-09-28 | 2008-04-17 | Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, control program, and program storage medium |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20090032938A KR20090032938A (en) | 2009-04-01 |
KR100967924B1 true KR100967924B1 (en) | 2010-07-06 |
Family
ID=40517629
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020080056158A Expired - Fee Related KR100967924B1 (en) | 2007-09-28 | 2008-06-16 | A method of manufacturing a semiconductor device, an apparatus for manufacturing a semiconductor device, and a program storage medium |
Country Status (4)
Country | Link |
---|---|
JP (1) | JP5236983B2 (en) |
KR (1) | KR100967924B1 (en) |
CN (1) | CN101399189A (en) |
TW (1) | TWI389202B (en) |
Families Citing this family (399)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP5336283B2 (en) * | 2008-09-03 | 2013-11-06 | 信越化学工業株式会社 | Pattern formation method |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP4815519B2 (en) * | 2009-09-14 | 2011-11-16 | 東京エレクトロン株式会社 | Mask pattern forming method and semiconductor device manufacturing method |
JP5391055B2 (en) | 2009-12-25 | 2014-01-15 | 東京エレクトロン株式会社 | Semiconductor device manufacturing method and semiconductor device manufacturing system |
KR101041742B1 (en) * | 2009-12-30 | 2011-06-16 | 광주과학기술원 | Resistance change memory device, manufacturing method and driving method thereof |
TW201203313A (en) * | 2010-02-19 | 2012-01-16 | Tokyo Electron Ltd | Method for manufacturing semiconductor device |
JP4733214B1 (en) * | 2010-04-02 | 2011-07-27 | 東京エレクトロン株式会社 | Mask pattern forming method and semiconductor device manufacturing method |
CN101944483A (en) * | 2010-08-11 | 2011-01-12 | 上海集成电路研发中心有限公司 | Method for enhancing anti-etching capacity of photoresist |
US8901016B2 (en) | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
JP5473962B2 (en) | 2011-02-22 | 2014-04-16 | 東京エレクトロン株式会社 | Pattern forming method and semiconductor device manufacturing method |
US8298951B1 (en) | 2011-04-13 | 2012-10-30 | Asm Japan K.K. | Footing reduction using etch-selective layer |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
JP5818710B2 (en) | 2012-02-10 | 2015-11-18 | 東京応化工業株式会社 | Pattern formation method |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
JP5899082B2 (en) | 2012-08-08 | 2016-04-06 | 富士フイルム株式会社 | Pattern forming method and electronic device manufacturing method using the same |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
JP2014211541A (en) * | 2013-04-18 | 2014-11-13 | Jsr株式会社 | Method for forming fine pattern |
JP6114622B2 (en) * | 2013-04-26 | 2017-04-12 | 東京エレクトロン株式会社 | Etching method |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
JP6126961B2 (en) * | 2013-09-30 | 2017-05-10 | 富士フイルム株式会社 | Pattern forming method, pattern mask forming method, and electronic device manufacturing method |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (en) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing thin film |
US9349952B1 (en) | 2014-12-08 | 2016-05-24 | Sony Corporation | Methods for fabricating a memory device with an enlarged space between neighboring bottom electrodes |
KR102263121B1 (en) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor device and manufacuring method thereof |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
JP6462477B2 (en) * | 2015-04-27 | 2019-01-30 | 東京エレクトロン株式会社 | Method for processing an object |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (en) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming metal interconnection and method of fabricating semiconductor device using the same |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
KR102532607B1 (en) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and method of operating the same |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (en) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Gas supply unit and substrate processing apparatus including the same |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR102762543B1 (en) | 2016-12-14 | 2025-02-05 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (en) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (en) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (en) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
TWI815813B (en) | 2017-08-04 | 2023-09-21 | 荷蘭商Asm智慧財產控股公司 | Showerhead assembly for distributing a gas within a reaction chamber |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (en) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (en) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (en) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (en) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (en) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | Storage device for storing wafer cassettes used in batch furnaces |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
JP6960839B2 (en) * | 2017-12-13 | 2021-11-05 | 東京エレクトロン株式会社 | Manufacturing method of semiconductor devices |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
TWI799494B (en) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | Deposition method |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (en) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (en) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method and apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (en) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (en) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method |
KR102600229B1 (en) | 2018-04-09 | 2023-11-10 | 에이에스엠 아이피 홀딩 비.브이. | Substrate supporting device, substrate processing apparatus including the same and substrate processing method |
TWI843623B (en) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US12272527B2 (en) | 2018-05-09 | 2025-04-08 | Asm Ip Holding B.V. | Apparatus for use with hydrogen radicals and method of using same |
TWI816783B (en) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures |
JP2019204815A (en) * | 2018-05-21 | 2019-11-28 | 東京エレクトロン株式会社 | Substrate processing method and substrate processing apparatus |
KR102596988B1 (en) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (en) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (en) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing system |
KR20210027265A (en) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (en) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
JP2020017569A (en) | 2018-07-23 | 2020-01-30 | 東京エレクトロン株式会社 | Etching method and etching apparatus |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (en) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | Method for deposition of a thin film |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (en) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | Substrate holding apparatus, system comprising the same and method of using the same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (en) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (en) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
KR102546322B1 (en) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102748291B1 (en) | 2018-11-02 | 2024-12-31 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and substrate processing apparatus including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (en) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | A method for cleaning a substrate processing apparatus |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (en) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method and system for forming device structures using selective deposition of gallium nitride - Patents.com |
TWI866480B (en) | 2019-01-17 | 2024-12-11 | 荷蘭商Asm Ip 私人控股有限公司 | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
KR102727227B1 (en) | 2019-01-22 | 2024-11-07 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor processing device |
CN111524788B (en) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | Method for forming topologically selective films of silicon oxide |
JP7603377B2 (en) | 2019-02-20 | 2024-12-20 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method and apparatus for filling recesses formed in a substrate surface - Patents.com |
TWI838458B (en) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus and methods for plug fill deposition in 3-d nand applications |
TWI845607B (en) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
KR102626263B1 (en) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | Cyclical deposition method including treatment step and apparatus for same |
TWI842826B (en) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus and method for processing substrate |
KR102782593B1 (en) | 2019-03-08 | 2025-03-14 | 에이에스엠 아이피 홀딩 비.브이. | Structure Including SiOC Layer and Method of Forming Same |
KR20200108242A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (en) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | Door opener and substrate processing apparatus provided therewith |
KR102809999B1 (en) | 2019-04-01 | 2025-05-19 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device |
WO2020209939A1 (en) | 2019-04-08 | 2020-10-15 | Applied Materials, Inc. | Methods for modifying photoresist profiles and tuning critical dimensions |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (en) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system and method of using same |
KR20200130121A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Chemical source vessel with dip tube |
KR20200130118A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for Reforming Amorphous Carbon Polymer Film |
KR20200130652A (en) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing material onto a surface and structure formed according to the method |
JP7612342B2 (en) | 2019-05-16 | 2025-01-14 | エーエスエム・アイピー・ホールディング・ベー・フェー | Wafer boat handling apparatus, vertical batch furnace and method |
JP7598201B2 (en) | 2019-05-16 | 2024-12-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | Wafer boat handling apparatus, vertical batch furnace and method |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (en) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | Method of using a gas-phase reactor system including analyzing exhausted gas |
KR20200141931A (en) | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | Method for cleaning quartz epitaxial chambers |
KR20200143254A (en) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (en) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Temperature control assembly for substrate processing apparatus and method of using same |
JP7499079B2 (en) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | Plasma device using coaxial waveguide and substrate processing method |
CN112216646A (en) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | Substrate supporting assembly and substrate processing device comprising same |
KR20210010307A (en) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210010820A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods of forming silicon germanium structures |
KR20210010816A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Radical assist ignition plasma system and method |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (en) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Method of Forming Topology-Controlled Amorphous Carbon Polymer Film |
TWI839544B (en) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming topology-controlled amorphous carbon polymer film |
CN112309843A (en) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | Selective Deposition Method for High Dopant Incorporation |
CN112309899A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112309900A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
US12169361B2 (en) | 2019-07-30 | 2024-12-17 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (en) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | Liquid level sensor for chemical source container |
KR20210018761A (en) | 2019-08-09 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | heater assembly including cooling apparatus and method of using same |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (en) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | Production apparatus of mixed gas of film deposition raw material and film deposition apparatus |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (en) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for forming a structure with a hole |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024420A (en) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR102806450B1 (en) | 2019-09-04 | 2025-05-12 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selective deposition using a sacrificial capping layer |
KR102733104B1 (en) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (en) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process |
KR20210042810A (en) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
TWI846953B (en) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
TWI846966B (en) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming a photoresist underlayer and structure including same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (en) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (en) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for selectively etching films |
KR20210050453A (en) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (en) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (en) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (en) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885692A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885693B (en) | 2019-11-29 | 2025-06-10 | Asmip私人控股有限公司 | Substrate processing apparatus |
JP7527928B2 (en) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | Substrate processing apparatus and substrate processing method |
KR20210070898A (en) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
JP7357528B2 (en) | 2019-12-06 | 2023-10-06 | 東京エレクトロン株式会社 | Etching method and etching equipment |
CN112992667A (en) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | Method of forming vanadium nitride layer and structure including vanadium nitride layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP7636892B2 (en) | 2020-01-06 | 2025-02-27 | エーエスエム・アイピー・ホールディング・ベー・フェー | Channeled Lift Pins |
TW202140135A (en) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | Gas supply assembly and valve plate assembly |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (en) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming high aspect ratio features |
KR102675856B1 (en) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming thin film and method of modifying surface of thin film |
TW202513845A (en) | 2020-02-03 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Semiconductor structures and methods for forming the same |
KR20210100010A (en) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | Method and apparatus for transmittance measurements of large articles |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
KR20210103956A (en) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus including light receiving device and calibration method of light receiving device |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
JP7437966B2 (en) | 2020-02-21 | 2024-02-26 | 東京エレクトロン株式会社 | Etching method and etching equipment |
TW202203344A (en) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | System dedicated for parts cleaning |
KR20210113043A (en) | 2020-03-04 | 2021-09-15 | 에이에스엠 아이피 홀딩 비.브이. | Alignment fixture for a reactor system |
KR20210116249A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | lockout tagout assembly and system and method of using same |
KR20210116240A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate handling device with adjustable joints |
CN113394086A (en) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | Method for producing a layer structure having a target topological profile |
US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
KR102755229B1 (en) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Thin film forming method |
KR102719377B1 (en) | 2020-04-03 | 2024-10-17 | 에이에스엠 아이피 홀딩 비.브이. | Method For Forming Barrier Layer And Method For Manufacturing Semiconductor Device |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
KR20210128343A (en) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210130646A (en) | 2020-04-21 | 2021-11-01 | 에이에스엠 아이피 홀딩 비.브이. | Method for processing a substrate |
TW202208671A (en) | 2020-04-24 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | Methods of forming structures including vanadium boride and vanadium phosphide layers |
KR20210132612A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods and apparatus for stabilizing vanadium compounds |
CN113555279A (en) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | Methods of forming vanadium nitride-containing layers and structures comprising the same |
TW202146831A (en) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Vertical batch furnace assembly, and method for cooling vertical batch furnace |
KR20210132600A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
KR102783898B1 (en) | 2020-04-29 | 2025-03-18 | 에이에스엠 아이피 홀딩 비.브이. | Solid source precursor vessel |
KR20210134869A (en) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Fast FOUP swapping with a FOUP handler |
KR102788543B1 (en) | 2020-05-13 | 2025-03-27 | 에이에스엠 아이피 홀딩 비.브이. | Laser alignment fixture for a reactor system |
TW202146699A (en) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming a silicon germanium layer, semiconductor structure, semiconductor device, method of forming a deposition layer, and deposition system |
KR20210143653A (en) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210145079A (en) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | Flange and apparatus for processing substrates |
KR102795476B1 (en) | 2020-05-21 | 2025-04-11 | 에이에스엠 아이피 홀딩 비.브이. | Structures including multiple carbon layers and methods of forming and using same |
KR102702526B1 (en) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus for depositing thin films using hydrogen peroxide |
TWI876048B (en) | 2020-05-29 | 2025-03-11 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
TW202212620A (en) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus for processing substrate, method of forming film, and method of controlling apparatus for processing substrate |
KR20210156219A (en) | 2020-06-16 | 2021-12-24 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing boron containing silicon germanium layers |
TW202218133A (en) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming a layer provided with silicon |
TWI873359B (en) | 2020-06-30 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
TW202202649A (en) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
TWI864307B (en) | 2020-07-17 | 2024-12-01 | 荷蘭商Asm Ip私人控股有限公司 | Structures, methods and systems for use in photolithography |
TWI878570B (en) | 2020-07-20 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Method and system for depositing molybdenum layers |
KR20220011092A (en) | 2020-07-20 | 2022-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Method and system for forming structures including transition metal layers |
TW202219303A (en) | 2020-07-27 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | Thin film deposition process |
KR20220021863A (en) | 2020-08-14 | 2022-02-22 | 에이에스엠 아이피 홀딩 비.브이. | Method for processing a substrate |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202228863A (en) | 2020-08-25 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for cleaning a substrate, method for selectively depositing, and reaction system |
TWI874701B (en) | 2020-08-26 | 2025-03-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming metal silicon oxide layer and metal silicon oxynitride layer |
TW202229601A (en) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system |
TW202217045A (en) | 2020-09-10 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Methods for depositing gap filing fluids and related systems and devices |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
KR20220036866A (en) | 2020-09-16 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | Silicon oxide deposition method |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
KR20220041751A (en) | 2020-09-25 | 2022-04-01 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor processing method |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (en) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | Deposition method and an apparatus for depositing a silicon-containing material |
CN114293174A (en) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | Gas supply unit and substrate processing apparatus including the same |
TW202229613A (en) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing material on stepped structure |
KR20220050048A (en) | 2020-10-15 | 2022-04-22 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device, and substrate treatment apparatus using ether-cat |
TW202217037A (en) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing vanadium metal, structure, device and a deposition assembly |
TW202223136A (en) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming layer on substrate, and semiconductor processing system |
TW202229620A (en) | 2020-11-12 | 2022-08-01 | 特文特大學 | Deposition system, method for controlling reaction condition, method for depositing |
TW202229795A (en) | 2020-11-23 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | A substrate processing apparatus with an injector |
TW202235649A (en) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Methods for filling a gap and related systems and devices |
TW202235675A (en) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Injector, and substrate processing apparatus |
US12255053B2 (en) | 2020-12-10 | 2025-03-18 | Asm Ip Holding B.V. | Methods and systems for depositing a layer |
TW202233884A (en) | 2020-12-14 | 2022-09-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming structures for threshold voltage control |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202232639A (en) | 2020-12-18 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Wafer processing apparatus with a rotatable table |
TW202242184A (en) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | Precursor capsule, precursor vessel, vapor deposition assembly, and method of loading solid precursor into precursor vessel |
TW202226899A (en) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Plasma treatment device having matching box |
TW202231903A (en) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
USD1060598S1 (en) | 2021-12-03 | 2025-02-04 | Asm Ip Holding B.V. | Split showerhead cover |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2001308076A (en) * | 2000-04-27 | 2001-11-02 | Nec Corp | Method of manufacturing semiconductor device |
US6423475B1 (en) | 1999-03-11 | 2002-07-23 | Advanced Micro Devices, Inc. | Sidewall formation for sidewall patterning of sub 100 nm structures |
KR20030002145A (en) * | 2001-06-30 | 2003-01-08 | 주식회사 하이닉스반도체 | Method for forming pattern in semiconductor device |
KR20030096563A (en) * | 2002-06-14 | 2003-12-31 | 삼성전자주식회사 | Method Of Forming Fine Pattern Of Semiconductor Device |
Family Cites Families (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0795543B2 (en) * | 1985-10-29 | 1995-10-11 | ソニー株式会社 | Etching method |
JPH0677180A (en) * | 1992-08-24 | 1994-03-18 | Fujitsu Ltd | Manufacture of fine linear etching mask |
JP4126517B2 (en) * | 1999-04-08 | 2008-07-30 | ソニー株式会社 | Vapor processing equipment |
JP2001160587A (en) * | 1999-12-03 | 2001-06-12 | Hitachi Ltd | Method for manufacturing semiconductor integrated circuit device |
JP3775500B2 (en) * | 2002-03-12 | 2006-05-17 | ソニー株式会社 | Method and apparatus for forming semiconductor thin film, and catalyst nozzle |
US7271107B2 (en) * | 2005-02-03 | 2007-09-18 | Lam Research Corporation | Reduction of feature critical dimensions using multiple masks |
US7253118B2 (en) * | 2005-03-15 | 2007-08-07 | Micron Technology, Inc. | Pitch reduced patterns relative to photolithography features |
JP2007081160A (en) * | 2005-09-14 | 2007-03-29 | Fujitsu Ltd | Manufacturing method of semiconductor device |
US7662718B2 (en) * | 2006-03-09 | 2010-02-16 | Micron Technology, Inc. | Trim process for critical dimension control for integrated circuits |
-
2008
- 2008-04-17 JP JP2008107467A patent/JP5236983B2/en not_active Expired - Fee Related
- 2008-06-16 KR KR1020080056158A patent/KR100967924B1/en not_active Expired - Fee Related
- 2008-07-30 CN CNA2008101351033A patent/CN101399189A/en active Pending
- 2008-08-14 TW TW097130967A patent/TWI389202B/en not_active IP Right Cessation
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6423475B1 (en) | 1999-03-11 | 2002-07-23 | Advanced Micro Devices, Inc. | Sidewall formation for sidewall patterning of sub 100 nm structures |
JP2001308076A (en) * | 2000-04-27 | 2001-11-02 | Nec Corp | Method of manufacturing semiconductor device |
KR20030002145A (en) * | 2001-06-30 | 2003-01-08 | 주식회사 하이닉스반도체 | Method for forming pattern in semiconductor device |
KR20030096563A (en) * | 2002-06-14 | 2003-12-31 | 삼성전자주식회사 | Method Of Forming Fine Pattern Of Semiconductor Device |
Also Published As
Publication number | Publication date |
---|---|
CN101399189A (en) | 2009-04-01 |
KR20090032938A (en) | 2009-04-01 |
TW200929358A (en) | 2009-07-01 |
JP2009099938A (en) | 2009-05-07 |
JP5236983B2 (en) | 2013-07-17 |
TWI389202B (en) | 2013-03-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100967924B1 (en) | A method of manufacturing a semiconductor device, an apparatus for manufacturing a semiconductor device, and a program storage medium | |
KR100967925B1 (en) | A method of manufacturing a semiconductor device, an apparatus for manufacturing a semiconductor device, and a program storage medium | |
US20090087990A1 (en) | Manufacturing method, manufacturing apparatus, control program and program recording medium of semiconductor device | |
TWI479565B (en) | The formation of fine graphics | |
KR101203201B1 (en) | Semiconductor device manufacturing method | |
US6926843B2 (en) | Etching of hard masks | |
KR101048009B1 (en) | Substrate Processing Method | |
US7919414B2 (en) | Method for forming fine patterns in semiconductor device | |
JP4815519B2 (en) | Mask pattern forming method and semiconductor device manufacturing method | |
KR101004691B1 (en) | Method of forming fine pattern of semiconductor device | |
JP5180121B2 (en) | Substrate processing method | |
US20090068842A1 (en) | Method for forming micropatterns in semiconductor device | |
KR20120090801A (en) | Method of forming mask pattern | |
US8198183B2 (en) | Forming method of etching mask, control program and program storage medium | |
TWI567785B (en) | Method for fabricating patterned structure of semiconductor device | |
JP5344824B2 (en) | Method for forming resist pattern and recording medium | |
JP2003109943A (en) | Pattern formation method | |
TWI518743B (en) | Method for fabricating patterned structure of semiconductor device | |
JP2004158538A (en) | Method for manufacturing semiconductor device | |
KR20090067508A (en) | Method of forming fine pattern of semiconductor device | |
KR20090044878A (en) | Method of forming fine pattern of semiconductor device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
PA0109 | Patent application |
Patent event code: PA01091R01D Comment text: Patent Application Patent event date: 20080616 |
|
PA0201 | Request for examination | ||
PG1501 | Laying open of application | ||
E902 | Notification of reason for refusal | ||
PE0902 | Notice of grounds for rejection |
Comment text: Notification of reason for refusal Patent event date: 20091116 Patent event code: PE09021S01D |
|
E701 | Decision to grant or registration of patent right | ||
PE0701 | Decision of registration |
Patent event code: PE07011S01D Comment text: Decision to Grant Registration Patent event date: 20100503 |
|
GRNT | Written decision to grant | ||
PR0701 | Registration of establishment |
Comment text: Registration of Establishment Patent event date: 20100628 Patent event code: PR07011E01D |
|
PR1002 | Payment of registration fee |
Payment date: 20100629 End annual number: 3 Start annual number: 1 |
|
PG1601 | Publication of registration | ||
FPAY | Annual fee payment |
Payment date: 20130531 Year of fee payment: 4 |
|
PR1001 | Payment of annual fee |
Payment date: 20130531 Start annual number: 4 End annual number: 4 |
|
FPAY | Annual fee payment |
Payment date: 20140603 Year of fee payment: 5 |
|
PR1001 | Payment of annual fee |
Payment date: 20140603 Start annual number: 5 End annual number: 5 |
|
FPAY | Annual fee payment |
Payment date: 20150601 Year of fee payment: 6 |
|
PR1001 | Payment of annual fee |
Payment date: 20150601 Start annual number: 6 End annual number: 6 |
|
FPAY | Annual fee payment |
Payment date: 20160527 Year of fee payment: 7 |
|
PR1001 | Payment of annual fee |
Payment date: 20160527 Start annual number: 7 End annual number: 7 |
|
FPAY | Annual fee payment |
Payment date: 20170530 Year of fee payment: 8 |
|
PR1001 | Payment of annual fee |
Payment date: 20170530 Start annual number: 8 End annual number: 8 |
|
FPAY | Annual fee payment |
Payment date: 20180618 Year of fee payment: 9 |
|
PR1001 | Payment of annual fee |
Payment date: 20180618 Start annual number: 9 End annual number: 9 |
|
FPAY | Annual fee payment |
Payment date: 20190618 Year of fee payment: 10 |
|
PR1001 | Payment of annual fee |
Payment date: 20190618 Start annual number: 10 End annual number: 10 |
|
PR1001 | Payment of annual fee |
Payment date: 20210621 Start annual number: 12 End annual number: 12 |
|
PC1903 | Unpaid annual fee |
Termination category: Default of registration fee Termination date: 20230409 |