JPH09129729A - Formation of connection hole - Google Patents
Formation of connection holeInfo
- Publication number
- JPH09129729A JPH09129729A JP7285697A JP28569795A JPH09129729A JP H09129729 A JPH09129729 A JP H09129729A JP 7285697 A JP7285697 A JP 7285697A JP 28569795 A JP28569795 A JP 28569795A JP H09129729 A JPH09129729 A JP H09129729A
- Authority
- JP
- Japan
- Prior art keywords
- film
- insulating film
- etching
- interlayer insulating
- connection hole
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Withdrawn
Links
- 230000015572 biosynthetic process Effects 0.000 title description 4
- 239000011229 interlayer Substances 0.000 claims abstract description 50
- 238000000034 method Methods 0.000 claims abstract description 35
- 238000001312 dry etching Methods 0.000 claims abstract description 24
- 229910052717 sulfur Inorganic materials 0.000 claims abstract description 19
- 239000011593 sulfur Substances 0.000 claims abstract description 19
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 claims abstract description 13
- 238000010438 heat treatment Methods 0.000 claims abstract description 10
- 238000005530 etching Methods 0.000 claims description 70
- 230000001681 protective effect Effects 0.000 claims description 21
- 239000000758 substrate Substances 0.000 claims description 17
- 239000010410 layer Substances 0.000 claims description 9
- 239000004065 semiconductor Substances 0.000 claims description 6
- 150000003464 sulfur compounds Chemical class 0.000 claims description 6
- 238000010494 dissociation reaction Methods 0.000 claims description 3
- 230000005593 dissociations Effects 0.000 claims description 3
- 239000011368 organic material Substances 0.000 claims description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 abstract description 27
- 229920005591 polysilicon Polymers 0.000 abstract description 27
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 abstract description 15
- 229910052814 silicon oxide Inorganic materials 0.000 abstract description 15
- PXJJSXABGXMUSU-UHFFFAOYSA-N disulfur dichloride Chemical compound ClSSCl PXJJSXABGXMUSU-UHFFFAOYSA-N 0.000 abstract 1
- 239000007789 gas Substances 0.000 description 23
- 238000001020 plasma etching Methods 0.000 description 13
- 238000013461 design Methods 0.000 description 8
- 238000012545 processing Methods 0.000 description 7
- 238000000151 deposition Methods 0.000 description 6
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 6
- -1 sulfur halides Chemical class 0.000 description 6
- BSYNRYMUTXBXSQ-UHFFFAOYSA-N Aspirin Chemical compound CC(=O)OC1=CC=CC=C1C(O)=O BSYNRYMUTXBXSQ-UHFFFAOYSA-N 0.000 description 5
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 5
- 238000009616 inductively coupled plasma Methods 0.000 description 5
- 238000009832 plasma treatment Methods 0.000 description 5
- 229920000642 polymer Polymers 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- 150000001875 compounds Chemical class 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- 229910052710 silicon Inorganic materials 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 238000004380 ashing Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000000605 extraction Methods 0.000 description 3
- 239000012535 impurity Substances 0.000 description 3
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 3
- 239000000463 material Substances 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- 239000000470 constituent Substances 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical class 0.000 description 2
- 229910052738 indium Inorganic materials 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 238000000859 sublimation Methods 0.000 description 2
- 230000008022 sublimation Effects 0.000 description 2
- RWSOTUBLDIXVET-UHFFFAOYSA-N Dihydrogen sulfide Chemical compound S RWSOTUBLDIXVET-UHFFFAOYSA-N 0.000 description 1
- 229920002377 Polythiazyl Polymers 0.000 description 1
- 229910018503 SF6 Inorganic materials 0.000 description 1
- 229910010282 TiON Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 1
- 238000000889 atomisation Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000005587 bubbling Effects 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- 238000007687 exposure technique Methods 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 229920002313 fluoropolymer Polymers 0.000 description 1
- 229910000037 hydrogen sulfide Inorganic materials 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000007348 radical reaction Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 150000003463 sulfur Chemical class 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
Landscapes
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- ing And Chemical Polishing (AREA)
Abstract
Description
【0001】[0001]
【発明の属する技術分野】本発明は半導体デバイス製造
等の微細加工分野に適用される接続孔の形成方法に関
し、特に絶縁膜/導電膜/絶縁膜の積層構造を持つ多層
膜を貫通して接続孔を形成する自己整合コンタクト・プ
ロセスにおいて、接続孔の側壁面に露出する導電膜と、
該接続孔に埋め込まれるメタル・プラグとの間の絶縁耐
圧を確保する方法に関する。BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of forming a connection hole applied to the field of microfabrication such as semiconductor device manufacturing, and in particular, it connects through a multilayer film having a laminated structure of insulating film / conductive film / insulating film. A conductive film exposed on the side wall surface of the contact hole in a self-aligned contact process for forming the hole,
The present invention relates to a method of ensuring a dielectric strength with a metal plug buried in the connection hole.
【0002】[0002]
【従来の技術】0.3μm以降のデザイン・ルールが適
用される微細な半導体デバイスの製造プロセスでは、接
続孔の設計余裕を下層配線との位置合わせのバラつきを
考慮して決定すると、接続孔の設計寸法(=ホール径+
設計余裕)が大きくなり過ぎる問題が生じている。この
位置合わせのバラつきは、フォトリソグラフィで用いら
れる縮小投影露光装置のアライメント性能の不足に起因
するものである。しかし、このバラつきは、半導体プロ
セスに含まれる様々なスケーリング・ファクターの中で
も特にスケール・ダウンが困難な項目であり、解像度以
上に露光技術の限界を決定する要因であるとすら言われ
ている。接続孔の設計寸法が大きくなると、下層配線の
線幅を縮小することができず、半導体デバイスの微細化
や高密度化の大きな障害となる。一方、設計寸法の増大
をホール径の縮小で抑えようとすると、現状の露光装置
では焦点深度が不足し、レジスト膜にホール・パターン
を形成することができない問題が起こる。2. Description of the Related Art In a manufacturing process of a fine semiconductor device to which a design rule of 0.3 μm or later is applied, when a design margin of a connection hole is determined in consideration of a variation in alignment with a lower layer wiring, Design dimensions (= hole diameter +
There is a problem that the design margin is too large. This variation in alignment is caused by insufficient alignment performance of a reduction projection exposure apparatus used in photolithography. However, this variation is an item that is particularly difficult to scale down among various scaling factors included in the semiconductor process, and is said to be a factor that determines the limit of the exposure technique beyond the resolution. If the design size of the connection hole becomes large, the line width of the lower wiring cannot be reduced, which is a major obstacle to miniaturization and high density of the semiconductor device. On the other hand, if it is attempted to suppress the increase in design size by reducing the hole diameter, the current exposure apparatus has a problem that the depth of focus is insufficient and a hole pattern cannot be formed in the resist film.
【0003】かかる背景から、位置合わせのための設計
余裕をフォトマスク上で不要にできる自己整合コンタク
ト・プロセスが関心を集めている。このプロセスには色
々な種類があるが、露光工程が増えないことから最もよ
く検討されているのは、窒化膜(SiN)をエッチング
停止層として用いるプロセスである。これは、2本の配
線パターンの間に接続孔を開口しようとする場合に、該
配線パターンとその両側のサイドウォールをまとめてコ
ンフォーマルなSiN膜で被覆し、この上に積層された
SiOx層間絶縁膜を上記配線間スペースよりも広い範
囲でエッチングするものである。SiN膜がその下の配
線パターンやサイドウォールをエッチングから保護する
ため、配線間スペースが両側のサイドウォールでさらに
狭められた微小な領域を底面とする接続孔が自己整合的
に形成される。このようなプロセスは、当然ながらチッ
プやメモリセルの占有面積の縮小にも貢献する。From such a background, a self-aligned contact process capable of eliminating a design margin for alignment on a photomask is attracting attention. Although there are various types of this process, the process that has been most studied since the number of exposure steps does not increase is a process using a nitride film (SiN) as an etching stop layer. This is because, when a connection hole is to be opened between two wiring patterns, the wiring pattern and the sidewalls on both sides thereof are collectively covered with a conformal SiN film, and the SiOx layer laminated on this. The insulating film is etched in a range wider than the space between the wirings. Since the SiN film protects the wiring pattern and the sidewalls thereunder from etching, a connection hole is formed in a self-aligned manner with a microscopic region where the inter-wiring space is further narrowed by the sidewalls on both sides as the bottom surface. Such a process naturally contributes to the reduction of the area occupied by chips and memory cells.
【0004】[0004]
【発明が解決しようとする課題】ところで、自己整合コ
ンタクト・プロセスは、デザイン・ルール増大の抑制や
露光工程のコスト低減等を目的として、上記以外の他の
構造部にも積極的に採用されようとしている。この例の
ひとつとして、ASIC用DRAMのプレート電極の間
でビット線を基板にコンタクトさせるプロセスを、図9
ないし図14を参照しながら説明する。By the way, the self-aligned contact process may be positively adopted for other structural parts than the above for the purpose of suppressing the increase of design rules and reducing the cost of the exposure process. I am trying. As one example of this, a process of contacting a bit line with a substrate between plate electrodes of a DRAM for ASIC is shown in FIG.
It will be described with reference to FIGS.
【0005】図9は、予め下層配線としての不純物拡散
領域(図示せず。)が形成されたシリコン基板(Si)
21の上に、酸化シリコンよりなる第1層間絶縁膜(S
iOx)22,n+ 型ポリシリコン膜(polySi)
23,酸化シリコンよりなる第2層間絶縁膜(SiO
x)24がこの順に積層された多層膜が形成され、さら
にこの多層膜上でレジスト・パターン(PR)25が形
成された、エッチング前のウェハの状態を示している。
上記n+ 型ポリシリコン膜23は、DRAMのプレート
電極を構成するものである。また、上記レジスト・パタ
ーン25には、ホール・パターンにならった開口26が
形成されている。FIG. 9 shows a silicon substrate (Si) in which an impurity diffusion region (not shown) as a lower layer wiring is formed in advance.
21 on the first interlayer insulating film (S
iOx) 22, n + type polysilicon film (polySi)
23, a second interlayer insulating film (SiO 2
x) 24 is a multilayer film formed by stacking in this order, and a resist pattern (PR) 25 is further formed on the multilayer film, showing the state of the wafer before etching.
The n + type polysilicon film 23 constitutes a plate electrode of DRAM. Further, the resist pattern 25 is formed with an opening 26 in the shape of a hole pattern.
【0006】次に、図10に示されるように、通常のフ
ルオロカーボン系ガスを用いて上記第1層間絶縁膜22
をドライエッチングする。このときのエッチングは、形
成されゆく第1開口27の側壁面にカーボン系ポリマー
を主体とする側壁保護膜28が堆積しながら進行するた
め、第1開口27は異方性形状を有するものとなる。こ
のカーボン系ポリマーは、フルオロカーボン系ガスの
他、レジスト・パターンのスパッタ生成物にも由来して
いる。Next, as shown in FIG. 10, the first interlayer insulating film 22 is formed by using an ordinary fluorocarbon type gas.
Dry etching. Since the etching at this time proceeds while depositing the side wall protective film 28 mainly made of carbon-based polymer on the side wall surface of the first opening 27 that is being formed, the first opening 27 has an anisotropic shape. . The carbon-based polymer is derived from the fluorocarbon-based gas as well as the sputtered product of the resist pattern.
【0007】続いて、エッチング・ガスをハロゲン系ガ
スに切り替えてn+ 型ポリシリコン膜23をドライエッ
チングし、さらにフルオロカーボン系ガスに戻して第1
層間絶縁膜22をドライエッチングする。このときのエ
ッチングも異方的に進行するが、先の第2層間絶縁膜2
4のエッチング時に堆積した側壁保護膜28の厚み分だ
けレジスト・パターン25の開口26が狭まる。このた
め、図11に示されるように、このエッチングにより形
成される第2開口29は第1開口27よりも開口径が狭
くなる。この結果、レジスト・パターン25と側壁保護
膜28をアッシングおよびRCA洗浄により除去した後
に得られるコンタクト・ホールCHは、第1層間絶縁膜
24とn+ 型ポリシリコン膜23との間に段差を生じた
ものとなる。Subsequently, the etching gas is switched to a halogen-based gas to dry-etch the n + -type polysilicon film 23, and then the fluorocarbon-based gas is returned to the first gas.
The interlayer insulating film 22 is dry-etched. The etching at this time also progresses anisotropically, but the second interlayer insulating film 2
The opening 26 of the resist pattern 25 is narrowed by the thickness of the side wall protective film 28 deposited during the etching of 4. Therefore, as shown in FIG. 11, the second opening 29 formed by this etching has a smaller opening diameter than the first opening 27. As a result, the contact hole CH obtained after removing the resist pattern 25 and the sidewall protection film 28 by ashing and RCA cleaning causes a step difference between the first interlayer insulating film 24 and the n + type polysilicon film 23. It becomes a thing.
【0008】上記コンタクト・ホールCHの側壁面には
n+ 型ポリシリコン膜23の加工断面が露出しているの
で、該コンタクト・ホールを上層配線膜のプラグで埋め
込む際には、予めこの加工断面を絶縁膜で被覆しておか
なければならない。そこで、図12に示されるように、
基体の全面に絶縁膜30としてSiOx膜を成膜し、続
いてこの絶縁膜30を異方的にエッチバックすることに
より、図13に示されるように、コンタクト・ホールC
Hの側壁面にサイドウォール30SWを形成する。しか
し、コンタクト・ホールCHの側壁面に段差が存在して
いるために、この段差の肩の部分は十分な厚さのサイド
ウォール30で被覆されることがなく、オーバーエッチ
ングの量によっては露出することもある。Since the processed cross section of the n + type polysilicon film 23 is exposed on the side wall surface of the contact hole CH, when the contact hole is filled with the plug of the upper wiring film, this processed cross section is previously formed. Must be covered with an insulating film. Therefore, as shown in FIG.
A SiOx film is formed as the insulating film 30 on the entire surface of the substrate, and then the insulating film 30 is anisotropically etched back to form contact holes C as shown in FIG.
The sidewall 30SW is formed on the sidewall surface of H. However, since there is a step on the side wall surface of the contact hole CH, the shoulder portion of this step is not covered with the sidewall 30 having a sufficient thickness and is exposed depending on the amount of overetching. Sometimes.
【0009】このような状態で、図14に示されるよう
なアルミニウム系多層膜からなる上層配線膜(Al)3
1を用いてコンタクト・ホールCHを埋め込んだとして
も、プラグ部分とn+ 型ポリシリコン膜23との間の絶
縁耐圧を十分高く確保することができず、最悪の場合は
両者が短絡してしまう。In this state, the upper wiring film (Al) 3 made of an aluminum-based multilayer film as shown in FIG.
Even if the contact hole CH is buried by using No. 1, it is not possible to secure a sufficiently high dielectric strength voltage between the plug portion and the n + type polysilicon film 23, and in the worst case, both are short-circuited. .
【0010】上述の問題は、そもそも積層膜のドライエ
ッチング中にカーボン系ポリマーが発生し、これが堆積
して側壁保護膜28を形成することに端を発している
が、現状の絶縁膜加工ではこのような堆積物の発生を完
全に抑えることは困難である。また、カーボン系ポリマ
ーの発生にはレジスト・パターン25も一部寄与してい
るが、同一マスクを介したエッチングが要求される上述
のようなケースでは、途中でレジスト・パターン25を
アッシングすることもできない。The above problem originates from the fact that carbon-based polymer is generated during dry etching of the laminated film and is deposited to form the side wall protective film 28. It is difficult to completely suppress the generation of such deposits. Further, although the resist pattern 25 partially contributes to the generation of the carbon-based polymer, in the above-mentioned case where etching through the same mask is required, the resist pattern 25 may be ashed during the process. Can not.
【0011】そこで本発明は、この問題を解決し、絶縁
膜/導電膜/絶縁膜の積層構造を持つ多層膜を貫通して
接続孔を形成する自己整合コンタクト・プロセスにおい
て、接続孔の側壁面に露出する導電膜と、該接続孔に埋
め込まれるプラグとの間の絶縁耐圧を確保することが可
能な接続孔の形成方法を提供することを目的とする。Therefore, the present invention solves this problem, and in the self-aligned contact process of forming a connection hole through a multilayer film having a laminated structure of insulating film / conductive film / insulating film, the sidewall surface of the connection hole is formed. It is an object of the present invention to provide a method of forming a connection hole capable of ensuring a withstand voltage between a conductive film exposed at the bottom and a plug embedded in the connection hole.
【0012】[0012]
【課題を解決するための手段】本発明は、第2層間絶縁
膜のドライエッチングの段階で発生する側壁保護膜をエ
ッチング終了時に一旦除去し、引き続き導電膜と第1層
間絶縁膜のドライエッチングを行うことで、上述の目的
を達成しようとするものである。このために、第2層間
絶縁膜のエッチングでは、放電解離条件下でプラズマ中
に遊離のイオウを発生できるエッチング・ガスを使用
し、イオウ系堆積物からなる側壁保護膜を生成させる。
イオウ系堆積物は昇華性もしくは熱分解性を有するた
め、たとえエッチング・マスクとしてレジスト・マスク
を使用した場合であっても、その耐熱温度を超えない温
度範囲で基板を加熱することにより容易に除去すること
ができる。しかも、基板上に何らパーティクル汚染を残
す虞れがない。According to the present invention, the sidewall protective film generated at the stage of dry etching of the second interlayer insulating film is temporarily removed at the end of etching, and then the dry etching of the conductive film and the first interlayer insulating film is continued. By doing so, the above-described object is achieved. For this reason, in the etching of the second interlayer insulating film, an etching gas capable of generating free sulfur in plasma under discharge dissociation conditions is used to form a sidewall protective film made of a sulfur-based deposit.
Sulfur-based deposits have sublimation or thermal decomposition properties, so even if a resist mask is used as an etching mask, it can be easily removed by heating the substrate within a temperature range that does not exceed its heat resistance temperature. can do. Moreover, there is no risk of particle contamination remaining on the substrate.
【0013】このように側壁保護膜が接続孔の形成途中
で除去されることで、その後の導電膜と第1層間絶縁膜
のエッチングがエッチング・マスクの初期の開口寸法に
したがって行われることになるため、本発明では接続孔
の途中に階段状の段差が発生しない。したがって、接続
孔の側壁面、特に導電膜の加工断面を絶縁膜からなるサ
イドウォールで十分に被覆することが可能となり、該導
電膜と後工程でこの接続孔に埋め込まれるプラグとの間
の絶縁耐圧を確保することができる。By removing the side wall protective film during the formation of the contact hole in this way, the subsequent etching of the conductive film and the first interlayer insulating film is performed according to the initial opening size of the etching mask. Therefore, in the present invention, a step-like step does not occur in the middle of the connection hole. Therefore, it becomes possible to sufficiently cover the side wall surface of the connection hole, particularly the processed cross section of the conductive film, with the side wall made of the insulating film, and the insulation between the conductive film and the plug to be embedded in this connection hole in a later step. Withstand voltage can be secured.
【0014】[0014]
【発明の実施の形態】本発明で第2層間絶縁膜のエッチ
ングに用いるエッチング・ガスは、具体的にはS2 F
2 ,SF2 ,SF4 ,S2 F10,S3 Cl2 ,S2 Cl
2 ,SCl2 ,S3 Br2 ,S2 Br2 ,SBr2 ,H
2 Sから選ばれる少なくとも1種類のイオウ系化合物を
含むものである。BEST MODE FOR CARRYING OUT THE INVENTION The etching gas used for etching the second interlayer insulating film in the present invention is specifically S 2 F
2 , SF 2 , SF 4 , S 2 F 10 , S 3 Cl 2 , S 2 Cl
2 , SCl 2 , S 3 Br 2 , S 2 Br 2 , SBr 2 , H
It contains at least one sulfur compound selected from 2 S.
【0015】これらのイオウ系化合物のうち、最後のH
2 S(硫化水素)以外はハロゲン化イオウと総称される
ものであり、第2層間絶縁膜の典型的な構成材料である
SiOx膜やSiN膜のエッチャントであるハロゲン・
ラジカル(F* ,Cl* ,Br* )や、ラジカル反応を
アシストするイオン(SFx+ ,SClx+ ,SBrx
+ ,Sx+ ,Clx+ ,Brx+ 等)を発生させる。ま
た、これらと共に遊離のイオウ(S)を発生させる。な
お、ハロゲン化イオウとしては従来よりSF6(六フッ
化イオウ)が良く知られ、ドライエッチングにも多用さ
れているが、この化合物は放電解離条件下における遊離
のイオウの放出効率に劣ることを本願出願人が以前に確
認しており、本発明では使用しない。また、上記ハロゲ
ン化イオウの中で常温で液体の化合物については、バブ
リングや超音波噴霧等の方法でエッチング・チャンバ内
へ導入することができる。上に列挙したイオウ系化合物
は、絶縁膜のエッチングに通常用いられるフルオロカー
ボン系ガスと併用しても良い。特に、イオウ系化合物が
H2 Sである場合には、これ自身がエッチャントの供給
源ではないため、フルオロカーボン系化合物との併用が
必要である。Of these sulfur compounds, the last H
2 Except for S (hydrogen sulfide), it is a generic name for sulfur halides. Halogen, which is an etchant for the SiOx film and SiN film, which is a typical constituent material of the second interlayer insulating film,
Radicals (F * , Cl * , Br * ) and ions (SFx + , SClx + , SBrx) that assist radical reaction.
+ , Sx + , Clx + , Brx +, etc.) are generated. In addition, free sulfur (S) is generated together with these. SF 6 (sulfur hexafluoride) has been well known as a sulfur halide and is often used for dry etching. However, this compound is inferior in the release efficiency of free sulfur under discharge dissociation conditions. It has been previously confirmed by the applicant and is not used in the present invention. Further, the compound that is liquid at room temperature in the above sulfur halide can be introduced into the etching chamber by a method such as bubbling or ultrasonic atomization. The sulfur compounds listed above may be used in combination with a fluorocarbon gas that is usually used for etching an insulating film. In particular, when the sulfur-based compound is H 2 S, it is necessary to use it together with the fluorocarbon-based compound because it is not a source of the etchant itself.
【0016】ここで、昇華性物質であるイオウは、真空
度等のドライエッチング条件にもよるが、基板温度がお
およそ90℃未満の領域に維持されていればその表面に
堆積し、それ以上の温度域で昇華することを、以前に本
願出願人が実験的に確認している。ただし、このイオウ
を効率良く堆積させて側壁保護に利用し、かつプロセス
の低温化による異方性の向上を図る観点からは、基板の
温度を室温以下に制御しながらエッチングを行うことが
特に好適である。Here, sulfur, which is a sublimable substance, depends on the dry etching conditions such as the degree of vacuum, but if the substrate temperature is maintained in a region of less than about 90 ° C., it is deposited on the surface thereof, and more than that. The applicant of the present application has previously experimentally confirmed that sublimation occurs in the temperature range. However, from the viewpoint of efficiently depositing this sulfur for sidewall protection and improving the anisotropy by lowering the process temperature, it is particularly preferable to carry out etching while controlling the substrate temperature below room temperature. Is.
【0017】上記イオウは、エッチング終了後に基板を
おおよそ90℃以上に加熱すれば昇華してしまうので、
基板上に何らパーティクル汚染を残す虞れがない。この
ときの加熱温度は、90℃を大幅に上回る必要はない。
通常のプロセス、特にエッチング・マスクとして有機材
料(レジスト材料)膜のパターンを用いるプロセスで
は、このパターンの耐熱性で加熱温度の上限が自ずと決
まる。Since the above sulfur will sublime if the substrate is heated to approximately 90 ° C. or higher after the etching is completed,
There is no risk of particle contamination remaining on the substrate. The heating temperature at this time does not have to significantly exceed 90 ° C.
In a normal process, particularly in a process using a pattern of an organic material (resist material) film as an etching mask, the upper limit of the heating temperature is naturally determined by the heat resistance of this pattern.
【0018】この他、ガス系に通常加えられる添加ガス
についても、その使用は任意である。たとえば、希釈効
果、スパッタリング効果、冷却効果を得るためにHe,
Ar等の希ガスを添加することができる。また、N2 ,
N2 O等の窒素系ガスを添加した場合には、窒素(N)
とイオウとの反応により生成する窒化イオウ系化合物を
側壁保護膜の構成成分として利用することができる。ポ
リチアジル(SN)xは、かかる窒化イオウ系化合物の
代表例であり、やはり基板を加熱するだけで容易に分解
除去することができる。In addition to the above, the use of an additive gas usually added to the gas system is optional. For example, in order to obtain a dilution effect, a sputtering effect, and a cooling effect, He,
A rare gas such as Ar can be added. Also, N 2 ,
If a nitrogen-based gas such as N 2 O is added, nitrogen (N)
The sulfur nitride-based compound produced by the reaction between the sulfur and sulfur can be used as a constituent component of the sidewall protective film. Polythiazyl (SN) x is a typical example of such a sulfur nitride compound and can be easily decomposed and removed only by heating the substrate.
【0019】なお、本発明では、導電膜と第1層間絶縁
膜のドライエッチングに用いるガス系については特に限
定しない。すなわち、導電膜が不純物含有ポリシリコン
膜であればCl2 /O2 混合ガス、第1層間絶縁膜がS
iOx膜であればCHF3 /CH2 F2 混合ガスといっ
た様に、従来公知のガス系を使用することができる。し
かし、これら導電膜と第1層間絶縁膜のドライエッチン
グに上述のイオウ系化合物を含むエッチング・ガスを用
いても、もちろん構わない。In the present invention, the gas system used for dry etching the conductive film and the first interlayer insulating film is not particularly limited. That is, if the conductive film is an impurity-containing polysilicon film, Cl 2 / O 2 mixed gas, and the first interlayer insulating film is S
For the iOx film, a conventionally known gas system such as a CHF 3 / CH 2 F 2 mixed gas can be used. However, it goes without saying that the above-mentioned etching gas containing a sulfur-based compound may be used for dry etching the conductive film and the first interlayer insulating film.
【0020】[0020]
【実施例】以下、本発明の具体的な実施例について説明
する。DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, specific embodiments of the present invention will be described.
【0021】実施例1 ここでは、本発明の具体的な実施例として、ASIC用
DRAMのプレート電極の間でビット線を基板にコンタ
クトクさせるプロセスを、図1ないし図8を参照しなが
ら説明する。 Embodiment 1 Here, as a specific embodiment of the present invention, a process of contacting a bit line with a substrate between plate electrodes of an ASIC DRAM will be described with reference to FIGS. 1 to 8. .
【0022】図1は、予め下層配線としての不純物拡散
領域(図示せず。)が形成されたシリコン基板(Si)
1の上に酸化シリコンよりなる第1層間絶縁膜(SiO
x)2,n+ 型ポリシリコン膜(polySi)3,酸
化シリコンよりなる第2層間絶縁膜(SiOx)4がこ
の順に積層された多層膜が形成され、さらにこの多層膜
上でレジスト・パターン(PR)5が形成された、エッ
チング前のウェハの状態を示している。FIG. 1 shows a silicon substrate (Si) in which an impurity diffusion region (not shown) as a lower layer wiring is formed in advance.
On the first interlayer insulating film (SiO 2) made of silicon oxide.
x) 2, an n + type polysilicon film (polySi) 3, and a second interlayer insulating film (SiOx) 4 made of silicon oxide are stacked in this order to form a multilayer film, and a resist pattern ( 2 shows the state of the wafer on which the PR) 5 has been formed and before etching.
【0023】ここで、上記第1層間絶縁膜2は、たとえ
ばSiH4 /N2 O混合ガスを用いたLPCVD法によ
り、約100nmの膜厚に形成した。上記n+ 型ポリシ
リコン膜3は、DRAMのプレート電極を構成する膜で
あり、たとえばSiH4 /PH3 混合ガスを用いたLP
CVD法により約100nmの厚さに形成した。さらに
上記第2層間絶縁膜4は、TEOS(テトラエトキシシ
ラン)/O3 混合ガスを用いた常圧CVD法により、約
500nmの膜厚に形成した。さらに、上記レジスト・
パターン5は化学増幅系レジスト材料を用い、KrFエ
キシマ・レーザ・リソグラフィおよび現像処理を経て形
成しており、ホール・パターンにならって直径約0.3
μmの開口6を有する。Here, the first interlayer insulating film 2 is formed to a thickness of about 100 nm by the LPCVD method using, for example, a SiH 4 / N 2 O mixed gas. The n + type polysilicon film 3 is a film that constitutes a plate electrode of DRAM, and is an LP using, for example, SiH 4 / PH 3 mixed gas.
It was formed to a thickness of about 100 nm by the CVD method. Further, the second interlayer insulating film 4 was formed to a thickness of about 500 nm by the atmospheric pressure CVD method using a mixed gas of TEOS (tetraethoxysilane) / O 3 . In addition, the above resist
The pattern 5 is formed using a chemically amplified resist material through KrF excimer laser lithography and development processing, and has a diameter of about 0.3 after the hole pattern.
It has an opening 6 of μm.
【0024】次に、上記開口6の内部に表出する第2層
間絶縁膜4をドライエッチングした。このときのドライ
エッチング条件は、たとえば、 エッチング装置 有磁場マイクロ波プラズマ・エッチング装置 (SiOxエッチング用) CHF3 流量 45 SCCM O2 流量 5 SCCM S2 F2 流量 5 SCCM 圧力 0.27 Pa マイクロ波パワー 1200 W(2.45 GHz) RFバイアス・パワー 250 W(800 kHz) ウェハ温度 20 ℃ オーバエッチング 30 % である。Next, the second interlayer insulating film 4 exposed inside the opening 6 was dry-etched. The dry etching conditions at this time are, for example, an etching apparatus, a magnetic field microwave plasma etching apparatus (for SiOx etching) CHF 3 flow rate 45 SCCM O 2 flow rate 5 SCCM S 2 F 2 flow rate 5 SCCM pressure 0.27 Pa microwave power 1200 W (2.45 GHz) RF bias power 250 W (800 kHz) Wafer temperature 20 ° C. Overetching 30%.
【0025】このエッチングでは、第2層間絶縁膜4の
加工断面が側壁保護膜8で保護されながらエッチングが
進行するため、図2に示されるように、異方性形状を有
するコンタクト・ホール7が途中まで形成された。上記
のエッチング条件は、ガス系のC/F比(炭素原子数と
フッ素原子数の比)を制御してフルオロカーボン系ポリ
マーの堆積を抑制しているため、上記側壁保護膜8はほ
ぼイオウを主体として構成されており、その最終的な厚
さは約30nmであった。In this etching, since the etching progresses while the processed cross section of the second interlayer insulating film 4 is protected by the side wall protection film 8, as shown in FIG. 2, the contact hole 7 having an anisotropic shape is formed. It was formed halfway. Since the etching conditions control the gas-based C / F ratio (ratio of the number of carbon atoms and the number of fluorine atoms) to suppress the deposition of the fluorocarbon-based polymer, the sidewall protection film 8 mainly contains sulfur. And its final thickness was about 30 nm.
【0026】この後、ウェハを100℃で3分間加熱す
ることにより、図3に示されるように側壁保護膜8を除
去した。本実施例ではこの加熱を、上記のエッチングを
行ったチャンバにインライン式に接続されているアッシ
ング・チャンバのウェハ・ステージ上で行った。この加
熱条件は、レジスト・パターン5の耐熱性にとって許容
範囲内である。また、側壁保護膜8が除去された時点
で、レジスト・パターン5は初期の開口寸法を維持して
いることになる。After that, the wafer was heated at 100 ° C. for 3 minutes to remove the side wall protective film 8 as shown in FIG. In this example, this heating was performed on the wafer stage of the ashing chamber which was connected inline to the chamber in which the above etching was performed. This heating condition is within an allowable range for the heat resistance of the resist pattern 5. Further, the resist pattern 5 maintains the initial opening size when the side wall protective film 8 is removed.
【0027】次に、n+ 型ポリシリコン膜3のドライエ
ッチングを行った。このときのエッチング条件は、たと
えば、 エッチング装置 有磁場マイクロ波プラズマ・エッチング装置 (ポリシリコン・エッチング用) Cl2 流量 75 SCCM O2 流量 5 SCCM 圧力 1.0 Pa マイクロ波パワー 1200 W(2.45 GHz) RFバイアス・パワー 50 W(2 MHz) ウェハ温度 20 ℃ オーバエッチング 50 % とした。このエッチングでは、SiOx系の側壁保護膜
の寄与で異方性加工が行われるが、被エッチング物が薄
く、側壁保護膜もごく少量であるため、図示は省略し
た。この段階では、従来プロセスと異なり、コンタクト
・ホール7の側壁面に階段状の段差は発生していない。Next, the n + type polysilicon film 3 was dry-etched. The etching conditions at this time are, for example, an etching apparatus, a magnetic field microwave plasma etching apparatus (for polysilicon etching), a Cl 2 flow rate 75 SCCM O 2 flow rate 5 SCCM pressure 1.0 Pa microwave power 1200 W (2.45). GHz) RF bias power 50 W (2 MHz) Wafer temperature 20 ° C. Overetching 50%. In this etching, the anisotropic processing is performed due to the contribution of the SiOx-based side wall protective film, but the illustration is omitted because the etching target is thin and the side wall protective film is very small. At this stage, unlike the conventional process, no step-like step is formed on the side wall surface of the contact hole 7.
【0028】次に、ウェハをSiOxエッチング用の有
磁場マイクロ波プラズマ・エッチング装置に戻し、第1
層間絶縁膜2をドライエッチングした。このときのエッ
チング条件は、たとえば エッチング装置 有磁場マイクロ波プラズマ・エッチング装置 (SiOxエッチング用) CHF3 流量 45 SCCM CH2 F2 流量 5 SCCM 圧力 0.27 Pa マイクロ波パワー 1200 W(2.45 GHz) RFバイアス・パワー 250 W(800 kHz) ウェハ温度 20 ℃ オーバエッチング 20 % とした。このエッチングでは、フルオロカーボン系ポリ
マーからなる側壁保護膜の寄与で異方性加工が行われる
が、被エッチング物が薄く、側壁保護膜もごく少量であ
るため、図示は省略した。Next, the wafer is returned to the magnetic field microwave plasma etching apparatus for SiOx etching, and the first
The interlayer insulating film 2 was dry-etched. The etching conditions at this time are, for example, an etching apparatus, a magnetic field microwave plasma etching apparatus (for SiOx etching) CHF 3 flow rate 45 SCCM CH 2 F 2 flow rate 5 SCCM pressure 0.27 Pa microwave power 1200 W (2.45 GHz) ) RF bias power 250 W (800 kHz) Wafer temperature 20 ° C. Overetching 20% In this etching, anisotropic processing is performed due to the contribution of the side wall protective film made of a fluorocarbon polymer, but the illustration is omitted because the object to be etched is thin and the side wall protective film is very small.
【0029】上述のドライエッチング終了後、レジスト
・パターン5を通常のO2 プラズマ・アッシングにより
除去し、図5に示されるように段差の無い、異方性形状
を有するコンタクト・ホール7を完成させた。After the above dry etching is completed, the resist pattern 5 is removed by ordinary O 2 plasma ashing to complete the contact hole 7 having a stepless and anisotropic shape as shown in FIG. It was
【0030】次に、図6に示されるように、上記コンタ
クト・ホール7を被覆するごとく絶縁膜9を堆積させ
た。ここでは、TEOS(テトラエトキシシラン)を原
料ガスとするLPCVDによりSiOx膜を堆積させ
た。その堆積条件は、たとえば TEOS流量 100 SCCM 圧力 70 Pa ウェハ温度 720 ℃ 堆積時間 3 分 とした。このLPCVDにより、絶縁膜9は約 ? n
mの厚さに形成された。次に、図7に示されるように、
上記の絶縁膜9をエッチバックしてサイドウォール9S
Wを形成した。このときのエッチバック条件はたとえ
ば、 エッチング装置 有磁場マイクロ波プラズマ・エッチング装置 (SiOxエッチング用) CHF3 流量 50 SCCM 圧力 0.27 Pa マイクロ波パワー 1200 W(2.45 GHz) RFバイアス・パワー 200 W(800 kHz) ウェハ温度 20 ℃ オーバエッチング 20 % とした。本発明では、コンタクト・ホール7の側壁面が
平らであるため、サイドウォール9SWがホール底まで
到達しており、n+ 型ポリシリコン膜3の加工断面が絶
縁膜で完全に被覆された状態となった。Next, as shown in FIG. 6, an insulating film 9 was deposited so as to cover the contact holes 7. Here, a SiOx film was deposited by LPCVD using TEOS (tetraethoxysilane) as a source gas. The deposition conditions were, for example, TEOS flow rate 100 SCCM pressure 70 Pa wafer temperature 720 ° C. deposition time 3 minutes. By this LPCVD, the insulating film 9 is about? n
It was formed to a thickness of m. Next, as shown in FIG.
The insulating film 9 is etched back to form the sidewall 9S.
W was formed. The etchback conditions at this time are, for example, an etching apparatus, a magnetic field microwave plasma etching apparatus (for SiOx etching), a CHF 3 flow rate, 50 SCCM pressure, 0.27 Pa, a microwave power, 1200 W (2.45 GHz), an RF bias power, 200. W (800 kHz) Wafer temperature 20 ° C. Overetching 20% In the present invention, since the side wall surface of the contact hole 7 is flat, the side wall 9SW reaches the hole bottom, and the processed cross section of the n + type polysilicon film 3 is completely covered with the insulating film. became.
【0031】この後、コンタクト・ホール7を上層配線
膜10で埋め込んだ。この上層配線膜10は、パターニ
ングされてビット線取出し電極となる膜であり、その具
体的な構成はたとえばTi(厚さ約30nm)/TiN
(厚さ約70nm)積層系からなる厚さ約100nmの
バリヤメタル,厚さ約300nmのAl−1%Si膜,
厚さ約30nmのTiON反射防止膜を順次積層したA
l系多層膜である。このようにして作製されたDRAM
セルの降伏電圧は約50Vであり、コンタクト・ホール
に段差が残る従来のDRAMセルに比べて約2倍に改善
されていた。After that, the contact hole 7 is filled with the upper wiring film 10. The upper wiring film 10 is a film that becomes a bit line extraction electrode by patterning, and its specific configuration is, for example, Ti (thickness about 30 nm) / TiN.
(Thickness: about 70 nm) About 100 nm thick barrier metal composed of laminated system, about 300 nm thick Al-1% Si film,
A 30 nm thick TiON anti-reflection film was sequentially laminated
It is an l-based multilayer film. DRAM manufactured in this way
The breakdown voltage of the cell is about 50 V, which is about twice as much as that of the conventional DRAM cell in which a step remains in the contact hole.
【0032】実施例2 本実施例では、第1層間絶縁膜4のドライエッチングが
終了した後の側壁保護膜8の除去を、実施例1のような
基板加熱ではなく、O2 プラズマ処理で行った。このO
2 プラズマ処理は、たとえば エッチング装置 有磁場マイクロ波プラズマ・エッチング装置 (SiOxエッチング用) O2 流量 50 SCCM 圧力 1.0 Pa マイクロ波パワー 1200 W(2.45 GHz) RFバイアス・パワー 0 W ウェハ温度 20 ℃ プラズマ処理時間 10 秒 の条件で行った。このプラズマ処理は短時間で行われる
ため、レジスト・パターン5に悪影響が及ぶことはな
い。 Example 2 In this example, the sidewall protective film 8 is removed after the dry etching of the first interlayer insulating film 4 is completed by O 2 plasma treatment instead of substrate heating as in Example 1. It was This O
2 Plasma processing is, for example, an etching apparatus, a magnetic field microwave plasma etching apparatus (for SiOx etching) O 2 flow rate 50 SCCM pressure 1.0 Pa microwave power 1200 W (2.45 GHz) RF bias power 0 W wafer temperature The plasma treatment was performed at 20 ° C. for 10 seconds. Since this plasma treatment is performed in a short time, the resist pattern 5 is not adversely affected.
【0033】実施例3 本実施例では、第2層間絶縁膜4と第1層間絶縁膜2の
ドライエッチング、側壁保護膜8の除去、および絶縁膜
9のエッチバックにいずれも誘導結合プラズマ・エッチ
ング装置を用いた。サンプル・ウェハの構成やプロセス
の流れは、ほぼ実施例1で上述した通りなので、ここで
は主として実施例1と異なる部分について述べる。 Embodiment 3 In this embodiment, inductively coupled plasma etching is used for dry etching the second interlayer insulating film 4 and the first interlayer insulating film 2, removing the side wall protective film 8 and etching back the insulating film 9. The device was used. Since the structure of the sample wafer and the process flow are almost the same as those described in the first embodiment, the parts different from the first embodiment will be mainly described here.
【0034】第2層間絶縁膜4のドライエッチング条件
は、たとえば エッチング装置 誘導結合プラズマ・エッチング装置 C2 F6 流量 30 SCCM O2 流量 5 SCCM S2 Cl2 流量 10 SCCM 圧力 0.2 Pa ソース・パワー 2000 W(2 MHz) RFバイアス・パワー 1200 W(1.8 MHz) ウェハ温度 30 ℃ 上部電極温度 200 ℃ オーバーエッチング 50 % とした。The dry etching conditions for the second interlayer insulating film 4 are, for example, an etching device, an inductively coupled plasma etching device, a C 2 F 6 flow rate, 30 SCCM O 2 flow rate, 5 SCCM S 2 Cl 2 flow rate, 10 SCCM pressure, 0.2 Pa source, Power 2000 W (2 MHz) RF bias power 1200 W (1.8 MHz) Wafer temperature 30 ° C. Upper electrode temperature 200 ° C. Overetching 50%
【0035】また、上記第2層間絶縁膜のドライエッチ
ング中に形成された側壁保護膜8は、たとえば エッチング装置 誘導結合プラズマ・エッチング装置 O2 流量 20 SCCM 圧力 1.0 Pa ソース・パワー 2000 W(2 MHz) RFバイアス・パワー 0 W ウェハ温度 30 ℃ 上部電極温度 200 ℃ プラズマ処理時間 5 秒 の条件で除去した。The side wall protective film 8 formed during the dry etching of the second interlayer insulating film is, for example, an etching apparatus inductively coupled plasma etching apparatus O 2 flow rate 20 SCCM pressure 1.0 Pa source power 2000 W ( 2 MHz) RF bias power 0 W Wafer temperature 30 ° C. Upper electrode temperature 200 ° C. Plasma treatment time 5 seconds
【0036】この後、有磁場マイクロ波プラズマ・エッ
チング装置を用いて実施例1と同じ条件でn+ 型ポリシ
リコン膜3をドライエッチングした後、第1層間絶縁膜
2のドライエッチングをたとえば エッチング装置 誘導結合プラズマ・エッチング装置 C2 F6 流量 20 SCCM 圧力 0.2 Pa ソース・パワー 2000 W(2 MHz) RFバイアス・パワー 1000 W(1.8 MHz) ウェハ温度 30 ℃ 上部電極温度 200 ℃ オーバーエッチング 30 % の条件で行った。After that, the n + type polysilicon film 3 is dry-etched under the same conditions as in Embodiment 1 by using a magnetic field microwave plasma etching apparatus, and then the first interlayer insulating film 2 is dry-etched by, for example, an etching apparatus. Inductively coupled plasma etching equipment C 2 F 6 flow rate 20 SCCM pressure 0.2 Pa source power 2000 W (2 MHz) RF bias power 1000 W (1.8 MHz) wafer temperature 30 ° C upper electrode temperature 200 ° C overetching It was conducted under the condition of 30%.
【0037】さらに、実施例1と同じ条件で絶縁膜9を
成膜した後、これをサイドウォール9SWに加工するた
めのエッチバックを、たとえば エッチング装置 誘導結合プラズマ・エッチング装置 C2 F6 流量 20 SCCM 圧力 1.0 Pa ソース・パワー 2000 W(2 MHz) RFバイアス・パワー 1000 W(1.8 MHz) ウェハ温度 20 ℃ 上部電極温度 200 ℃ オーバーエッチング 20 % の条件で行った。さらに、実施例1と同様に、コンタク
ト・ホール7を上層配線膜10で埋め込んだ。Further, after forming the insulating film 9 under the same conditions as in Example 1, etching back for processing the insulating film 9 into the sidewall 9SW is performed by, for example, an etching apparatus inductively coupled plasma etching apparatus C 2 F 6 flow rate 20. SCCM pressure 1.0 Pa source power 2000 W (2 MHz) RF bias power 1000 W (1.8 MHz) Wafer temperature 20 ° C. Upper electrode temperature 200 ° C. Overetching 20%. Further, as in Example 1, the contact hole 7 was filled with the upper wiring film 10.
【0038】本実施例においても、サイドウォール9S
Wがn+ 型ポリシリコン膜3の加工断面を完全に被覆し
ているために、プレート電極(n+ 型ポリシリコン膜
3)とビット線取出し電極(上層配線膜10)との間の
絶縁耐圧が、従来よりも改善された。Also in this embodiment, the sidewall 9S is formed.
W To completely covers the processed cross section of the n + -type polysilicon film 3, the dielectric strength between the plate electrode (n + -type polysilicon film 3) and the bit line extraction electrode (upper wiring layer 10) However, it is an improvement over the past.
【0039】実施例4 本実施例では、第2層間絶縁膜4と第1層間絶縁膜2の
ドライエッチング、側壁保護膜8の除去、および絶縁膜
9のエッチバックにいずれもヘリコン波プラズマ・エッ
チング装置を用いた。サンプル・ウェハの構成やプロセ
スの流れは、ほぼ実施例1で上述した通りなので、ここ
では主として実施例1と異なる部分について述べる。 Embodiment 4 In this embodiment, helicon wave plasma etching is used for dry etching the second interlayer insulating film 4 and the first interlayer insulating film 2, removing the side wall protective film 8 and etching back the insulating film 9. The device was used. Since the structure of the sample wafer and the process flow are almost the same as those described in the first embodiment, the parts different from the first embodiment will be mainly described here.
【0040】第2層間絶縁膜4のドライエッチング条件
は、たとえば エッチング装置 ヘリコン波プラズマ・エッチング装置 c−C4 F8 流量 30 SCCM O2 流量 5 SCCM S2 Br2 流量 10 SCCM 圧力 0.2 Pa ソース・パワー 1500 W(13.56 MHz) RFバイアス・パワー 200 W(400 kHz) ウェハ温度 30 ℃ オーバーエッチング 30 % とした。The dry etching conditions for the second interlayer insulating film 4 are, for example, an etching device, a helicon wave plasma etching device, c-C 4 F 8 flow rate, 30 SCCM O 2 flow rate, 5 SCCM S 2 Br 2 flow rate, 10 SCCM pressure, 0.2 Pa. Source power 1500 W (13.56 MHz) RF bias power 200 W (400 kHz) Wafer temperature 30 ° C. Overetching 30%.
【0041】また側壁保護膜8は、たとえば エッチング装置 ヘリコン波プラズマ・エッチング装置 O2 流量 20 SCCM He流量 80 SCCM 圧力 1.0 Pa ソース・パワー 1500 W(13.56 MHz) RFバイアス・パワー 0 W ウェハ温度 30 ℃ プラズマ処理時間 5 秒 の条件で除去した。このときのプラズマ放電は、ウェハ
を静電気力によりウェハ・ステージに吸着させている単
極式静電チャックの動作を解除して、該ステージの残留
電荷を除去するための放電を兼ねている。The side wall protective film 8 is, for example, an etching device, a helicon wave plasma etching device, an O 2 flow rate 20 SCCM He flow rate 80 SCCM pressure 1.0 Pa source power 1500 W (13.56 MHz) RF bias power 0 W The wafer was removed at a temperature of 30 ° C. and a plasma treatment time of 5 seconds. The plasma discharge at this time also serves as a discharge for removing the residual charge of the stage by releasing the operation of the monopolar electrostatic chuck that attracts the wafer to the wafer stage by electrostatic force.
【0042】この後、有磁場マイクロ波プラズマ・エッ
チング装置を用いて実施例1と同じ条件でn+ 型ポリシ
リコン膜3をドライエッチングした後、第1層間絶縁膜
2のドライエッチングをたとえば エッチング装置 ヘリコン波プラズマ・エッチング装置 c−C4 F8 流量 40 SCCM O2 流量 10 SCCM 圧力 0.2 Pa ソース・パワー 1500 W(13.56 MHz) RFバイアス・パワー 180 W(400 kHz) ウェハ温度 30 ℃ オーバーエッチング 30 % の条件で行った。After that, the n + type polysilicon film 3 is dry-etched under the same conditions as in Embodiment 1 by using a magnetic field microwave plasma etching apparatus, and then the first interlayer insulating film 2 is dry-etched by, for example, an etching apparatus. Helicon wave plasma etching device c-C 4 F 8 flow rate 40 SCCM O 2 flow rate 10 SCCM pressure 0.2 Pa source power 1500 W (13.56 MHz) RF bias power 180 W (400 kHz) wafer temperature 30 ° C. Over-etching was performed under the condition of 30%.
【0043】さらに、実施例1と同じ条件で絶縁膜9を
成膜した後、これをサイドウォール9SWに加工するた
めのエッチバックを、たとえば エッチング装置 ヘリコン波プラズマ・エッチング装置 c−C4 F8 流量 40 SCCM O2 流量 10 SCCM 圧力 0.2 Pa ソース・パワー 1500 W(13.56 MHz) RFバイアス・パワー 180 W(400 kHz) ウェハ温度 20 ℃ オーバーエッチング 20 % の条件で行った。さらに、実施例1と同様に、コンタク
ト・ホール7を上層配線膜10で埋め込んだ。Further, after forming the insulating film 9 under the same conditions as in Example 1, an etching back process for processing the insulating film 9 into the sidewall 9SW is performed by, for example, an etching apparatus helicon wave plasma etching apparatus c-C 4 F 8 Flow rate 40 SCCM O 2 Flow rate 10 SCCM Pressure 0.2 Pa Source power 1500 W (13.56 MHz) RF bias power 180 W (400 kHz) Wafer temperature 20 ° C. Overetching 20%. Further, as in Example 1, the contact hole 7 was filled with the upper wiring film 10.
【0044】本実施例においても、サイドウォール9S
Wがn+ 型ポリシリコン膜3の加工断面を完全に被覆し
ているために、プレート電極(n+ 型ポリシリコン膜
3)とビット線取出し電極(上層配線膜10)との間の
絶縁耐圧が、従来よりも改善された。Also in this embodiment, the sidewall 9S is formed.
W To completely covers the processed cross section of the n + -type polysilicon film 3, the dielectric strength between the plate electrode (n + -type polysilicon film 3) and the bit line extraction electrode (upper wiring layer 10) However, it is an improvement over the past.
【0045】以上、具体的な実施例を4例挙げたが、本
発明はこれらの実施例に何ら限定されるものではなく、
プラズマ源、サンプル・ウェハの構成、堆積条件、ドラ
イエッチング条件、加熱条件、プラズマ処理条件の細部
は、適宜変更および選択が可能である。Although four specific examples have been given above, the present invention is not limited to these examples.
The details of the plasma source, the structure of the sample wafer, the deposition conditions, the dry etching conditions, the heating conditions, and the plasma processing conditions can be appropriately changed and selected.
【0046】[0046]
【発明の効果】以上の説明からも明らかなように、本発
明によれば、絶縁膜/導電膜/絶縁膜の積層構造を持つ
多層膜を貫通して接続孔を形成する自己整合コンタクト
・プロセスにおいて、接続孔の側壁面に露出する導電膜
と、該接続孔に埋め込まれるプラグとの間の絶縁耐圧を
確保することが可能となる。したがって本発明は、接続
孔形成の信頼性の向上を通じて、半導体デバイスの高集
積化,微細化,高性能化に大きく貢献するものである。As is apparent from the above description, according to the present invention, a self-aligned contact process for forming a connection hole through a multilayer film having a laminated structure of insulating film / conductive film / insulating film. In the above, it becomes possible to secure the dielectric strength voltage between the conductive film exposed on the side wall surface of the connection hole and the plug embedded in the connection hole. Therefore, the present invention greatly contributes to high integration, miniaturization, and high performance of semiconductor devices by improving the reliability of formation of connection holes.
【図1】本発明をDRAMの自己整合的なビット線コン
タクト形成に適用したプロセス例において、第1層間絶
縁膜/n+ 型ポリシリコン膜/第2層間絶縁膜の積層系
である多層膜上でレジスト・パターニングを行った状態
を示す模式的断面図である。FIG. 1 is a view showing an example of a process in which the present invention is applied to a self-aligned bit line contact formation of a DRAM, in which a first interlayer insulating film / n + -type polysilicon film / second interlayer insulating film is laminated on a multilayer film. FIG. 3 is a schematic cross-sectional view showing a state in which resist patterning has been performed in FIG.
【図2】図1の第2層間絶縁膜をドライエッチングして
コンタクト・ホールを途中まで形成した状態を示す模式
的断面図である。FIG. 2 is a schematic cross-sectional view showing a state in which a contact hole is formed halfway by dry etching the second interlayer insulating film of FIG.
【図3】図2の側壁保護膜を除去した状態を示す模式的
断面図である。3 is a schematic cross-sectional view showing a state in which the side wall protective film of FIG. 2 is removed.
【図4】図3のn+ 型ポリシリコン膜をドライエッチン
グした状態を示す模式的断面図である。FIG. 4 is a schematic cross-sectional view showing a state where the n + type polysilicon film of FIG. 3 is dry-etched.
【図5】図4の第1層間絶縁膜をドライエッチングして
コンタクト・ホールを完成させた状態を示す模式的断面
図である。5 is a schematic cross-sectional view showing a state where a contact hole is completed by dry etching the first interlayer insulating film of FIG.
【図6】図5のコンタクト・ホールを被覆して絶縁膜を
堆積させた状態を示す模式的断面図である。FIG. 6 is a schematic cross-sectional view showing a state in which an insulating film is deposited by covering the contact hole of FIG.
【図7】図6の絶縁膜をエッチバックしてコンタクト・
ホールの側壁面にサイドウォールを形成した状態を示す
模式的断面図である。FIG. 7 is a diagram showing a contact made by etching back the insulating film of FIG.
FIG. 6 is a schematic cross-sectional view showing a state in which a sidewall is formed on the sidewall surface of the hole.
【図8】図7のコンタクト・ホールに上層配線膜を埋め
込んだ状態を示す模式的断面図である。8 is a schematic cross-sectional view showing a state where an upper wiring film is embedded in the contact hole of FIG.
【図9】従来のDRAMの自己整合的なビット線コンタ
クト形成プロセスにおいて、第1層間絶縁膜/n+ 型ポ
リシリコン膜/第2層間絶縁膜の積層系である多層膜上
でレジスト・パターニングを行った状態を示す模式的断
面図である。FIG. 9 shows resist patterning on a multilayer film which is a laminated system of a first interlayer insulating film / n + type polysilicon film / second interlayer insulating film in a conventional DRAM self-aligning bit line contact forming process. It is a typical sectional view showing the state where it performed.
【図10】図9の第2層間絶縁膜をドライエッチングし
て第1開口を形成した状態を示す模式的断面図である。10 is a schematic cross-sectional view showing a state in which a first opening is formed by dry etching the second interlayer insulating film of FIG.
【図11】図10のn+ 型ポリシリコン膜と第1層間絶
縁膜をドライエッチングして第2開口を形成し、段差付
きのコンタクト・ホールを完成させた状態を示す模式的
断面図である。FIG. 11 is a schematic cross-sectional view showing a state where the n + type polysilicon film and the first interlayer insulating film of FIG. 10 are dry-etched to form a second opening and a stepped contact hole is completed. .
【図12】図11のコンタクト・ホールを被覆して絶縁
膜を堆積させた状態を示す模式的断面図である。12 is a schematic cross-sectional view showing a state in which an insulating film is deposited by covering the contact hole of FIG.
【図13】図12の絶縁膜をエッチバックして、コンタ
クト・ホールの段差上にサイドウォールを形成した状態
を示す模式的断面図である。FIG. 13 is a schematic cross-sectional view showing a state where the insulating film of FIG. 12 is etched back to form sidewalls on the steps of the contact holes.
【図14】図13のコンタクト・ホールに上層配線膜を
埋め込んだ状態を示す模式的断面図である。14 is a schematic cross-sectional view showing a state where an upper wiring film is embedded in the contact hole of FIG.
1 シリコン基板 2 第1層間絶縁膜 3 n+ 型ポリシリコン膜 4 第2層間絶縁膜 5 レジスト・パターン 7 コンタクト・ホール 8 側壁保護膜 9 絶縁膜 9SW サイドウォール 10 上層配線膜1 Silicon Substrate 2 First Interlayer Insulating Film 3 n + Type Polysilicon Film 4 Second Interlayer Insulating Film 5 Resist Pattern 7 Contact Hole 8 Sidewall Protective Film 9 Insulating Film 9SW Sidewall 10 Upper Wiring Film
Claims (6)
膜,第2層間絶縁膜がこの順に積層された多層膜を下層
配線を被覆するごとく成膜する工程と、 前記多層膜上にエッチング・マスクを形成する工程と、 放電解離条件下でプラズマ中に遊離のイオウを発生し得
るエッチング・ガスを用い、前記エッチング・マスクを
介して上記第2層間絶縁膜をエッチングする工程と、 前記第2層間絶縁膜の加工断面に付着したイオウ系堆積
物からなる側壁保護膜を除去する工程と、 前記導電膜および前記第1層間絶縁膜を順次ドライエッ
チングして前記下層配線に臨む接続孔を開口する工程
と、 前記エッチング・マスクを除去する工程と、 前記接続孔の側壁面に絶縁膜からなるサイドウォールを
形成する工程とを有する接続孔の形成方法。1. A step of forming a multilayer film in which a first interlayer insulating film, a conductive film, and a second interlayer insulating film are laminated in this order on a substrate so as to cover a lower layer wiring, and etching on the multilayer film. A step of forming a mask; a step of etching the second interlayer insulating film through the etching mask using an etching gas capable of generating free sulfur in plasma under discharge dissociation conditions; 2. A step of removing the side wall protective film made of a sulfur-based deposit adhering to the processed cross section of the interlayer insulating film, and a dry etching of the conductive film and the first interlayer insulating film in order to open a connection hole facing the lower layer wiring. And a step of removing the etching mask, and a step of forming a sidewall made of an insulating film on a sidewall surface of the connection hole.
F2 ,SF4 ,S2 F10,S3 Cl2 ,S2 Cl2 ,S
Cl2 ,S3 Br2 ,S2 Br2 ,SBr2 ,H2 Sか
ら選ばれる少なくとも1種類のイオウ系化合物を含む請
求項1記載の接続孔の形成方法。2. The etching gas is S 2 F 2 , S
F 2 , SF 4 , S 2 F 10 , S 3 Cl 2 , S 2 Cl 2 , S
The method of forming a connection hole according to claim 1, further comprising at least one sulfur compound selected from Cl 2 , S 3 Br 2 , S 2 Br 2 , SBr 2 and H 2 S.
用いて構成する請求項1記載の接続孔の形成方法。3. The method of forming a connection hole according to claim 1, wherein the etching mask is formed of an organic material film.
を、前記基板の温度を室温以下に制御しながら行う請求
項1記載の接続孔の形成方法。4. The method of forming a connection hole according to claim 1, wherein the dry etching of the second interlayer insulating film is performed while controlling the temperature of the substrate to be room temperature or lower.
度を90℃以上に加熱することにより行う請求項1記載
の接続孔の形成方法。5. The method of forming a connection hole according to claim 1, wherein the removal of the side wall protection film is performed by heating the temperature of the substrate to 90 ° C. or higher.
線コンタクト用に形成する請求項1記載の接続孔の形成
方法。6. The method of forming a connection hole according to claim 1, wherein the connection hole is formed for a bit line contact of a semiconductor memory device.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP7285697A JPH09129729A (en) | 1995-11-02 | 1995-11-02 | Formation of connection hole |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP7285697A JPH09129729A (en) | 1995-11-02 | 1995-11-02 | Formation of connection hole |
Publications (1)
Publication Number | Publication Date |
---|---|
JPH09129729A true JPH09129729A (en) | 1997-05-16 |
Family
ID=17694867
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP7285697A Withdrawn JPH09129729A (en) | 1995-11-02 | 1995-11-02 | Formation of connection hole |
Country Status (1)
Country | Link |
---|---|
JP (1) | JPH09129729A (en) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6184584B1 (en) | 1998-04-16 | 2001-02-06 | Nec Corporation | Miniaturized contact in semiconductor substrate and method for forming the same |
JP5268112B2 (en) * | 2007-04-11 | 2013-08-21 | 株式会社アルバック | Dry etching method |
WO2022230414A1 (en) * | 2021-04-28 | 2022-11-03 | ソニーセミコンダクタソリューションズ株式会社 | Semiconductor device and etching method |
-
1995
- 1995-11-02 JP JP7285697A patent/JPH09129729A/en not_active Withdrawn
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6184584B1 (en) | 1998-04-16 | 2001-02-06 | Nec Corporation | Miniaturized contact in semiconductor substrate and method for forming the same |
JP5268112B2 (en) * | 2007-04-11 | 2013-08-21 | 株式会社アルバック | Dry etching method |
WO2022230414A1 (en) * | 2021-04-28 | 2022-11-03 | ソニーセミコンダクタソリューションズ株式会社 | Semiconductor device and etching method |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US5935877A (en) | Etch process for forming contacts over titanium silicide | |
EP0987745B1 (en) | Metallization etching method using a hard mask layer | |
US7482279B2 (en) | Method for fabricating semiconductor device using ArF photolithography capable of protecting tapered profile of hard mask | |
JPH03291921A (en) | Manufacture of ic | |
US6309977B1 (en) | Method for the etchback of a conductive material | |
US20220020642A1 (en) | Ald (atomic layer deposition) liner for via profile control and related applications | |
JPH11330046A (en) | Method for manufacturing semiconductor device and semiconductor device | |
KR100493486B1 (en) | Method for etching a conductive layer | |
KR20080060376A (en) | Manufacturing method of semiconductor device | |
KR100512904B1 (en) | Fabricating method for semiconductor device | |
JPH1197414A (en) | Plasma etching method for silicon-oxide based insulating film | |
JP3700231B2 (en) | Method for forming connection hole | |
EP2988322B1 (en) | Method for selective oxide removal | |
JPH09129729A (en) | Formation of connection hole | |
JPH09120990A (en) | Formation of connecting hole | |
JPH0774147A (en) | Method and apparatus for dry etching | |
KR20080076236A (en) | Metal wiring formation method of semiconductor device | |
US6756315B1 (en) | Method of forming contact openings | |
JP3317279B2 (en) | Method for manufacturing semiconductor device | |
US20020009889A1 (en) | Semiconductor manufacturing process and semiconductor device | |
KR20050000970A (en) | Method of manufacturing semiconductor device | |
TW552673B (en) | A method of fabricating a semiconductor device | |
CN100358106C (en) | Method for fabricating semiconductor device | |
JPH08339987A (en) | Wiring forming method | |
JP3592642B2 (en) | Method for manufacturing semiconductor device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A300 | Withdrawal of application because of no request for examination |
Free format text: JAPANESE INTERMEDIATE CODE: A300 Effective date: 20030107 |