[go: up one dir, main page]

JP4106101B2 - 平面化相互接続層を構成する方法と半導体装置 - Google Patents

平面化相互接続層を構成する方法と半導体装置 Download PDF

Info

Publication number
JP4106101B2
JP4106101B2 JP20890795A JP20890795A JP4106101B2 JP 4106101 B2 JP4106101 B2 JP 4106101B2 JP 20890795 A JP20890795 A JP 20890795A JP 20890795 A JP20890795 A JP 20890795A JP 4106101 B2 JP4106101 B2 JP 4106101B2
Authority
JP
Japan
Prior art keywords
conductor
layer
aspect ratio
polishing
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP20890795A
Other languages
English (en)
Other versions
JPH0869999A (ja
Inventor
ケイ.ジェイン マノジ
Original Assignee
テキサス インスツルメンツ インコーポレイテツド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by テキサス インスツルメンツ インコーポレイテツド filed Critical テキサス インスツルメンツ インコーポレイテツド
Publication of JPH0869999A publication Critical patent/JPH0869999A/ja
Application granted granted Critical
Publication of JP4106101B2 publication Critical patent/JP4106101B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

【0001】
【産業上の利用分野】
この発明は全般的に半導体装置の上にある誘電体の平面化、特に局部的な及び大域的なウェーハの平面度を改善する方法に関する。
【0002】
【従来の技術及び課題】
半導体は計算機及びテレビの様な電子装置の集積回路に広く使われている。典形的には、こう云う集積回路は1個の結晶シリコン・チップの上に何千個又は何百万個ものトランジスタを組合せて、複雑な機能を果たすと共にデータを記憶する。所望の記憶容量及び機能は常に現在の製造能力に先行すると思われるので、これまで半導体業界では、所定の寸法のチップの上に一層多くのトランジスタを詰込むことができる様にする方法の改良に相当の研究努力が集中されてきた。従来、こう云う方法の改善により、トランジスタ及び相互接続部で達成し得る最小の特徴の幅は、大まかに云うと年間13%縮小した。
【0003】
現在の小形化及び機能化の傾向と共に、チップの中に信号を配送する為に使われる相互接続配線の複雑度もそれに対応して大きくなってきた。この配線は、金属導体の単一レベルに限られていたのもそんなに古いことではないが、現在では、稠密に詰込まれた導体の積重ね相互接続レベルは5個にもなっている(希望は更に多いレベルである)。パターンぎめされた導体の各レベルは、典形的には、好ましくは平面状の絶縁層の上に形成され、その後、隣合う導体の間のすき間が二酸化シリコンの様な絶縁材料で埋められている。都合の悪いことに、配線の高さ(即ち厚さ)を比例的に縮めることは一般的に望ましくないので、配線の幅及び間隔が縮むにつれて、このすき間を埋める作業が一層困難になる。こう云う状態の為、導体の間に深くて狭いすき間が形成され、絶縁材料に空所及び不連続部をつくらずに、こう云うすき間を埋めるのが困難である。
【0004】
次世代のすき間を埋める解決策として、多くの材料及び方法が開発中である。将来性のある1つの方法が、1992年2月18日にオルマーに付与された米国特許第5,089,442号に記載されている。この米国特許には、2工程の二酸化シリコン・デポジッション方法が記載されている。最初の工程の間、誘電体材料がデポジットされると同時にスパッタリングによってエッチングされる。即ち、外部から印加された磁界内でのプラズマ強化化学反応気相成長(PECVD)によってそれが行なわれる。スパッタリングによるエッチングは、導体の頂部の隅の上に於ける誘電体材料のデポジッションを除き、この材料の一部分を導体の間のすき間にデポジッションし直すことにより、すき間を埋める作業を助けると云われている。これは、すき間を埋めて、その下にある導体の形よりも一層滑らかな(縁がそれほど尖っていない)全体的にV字形の誘電体の面をつくることを保証すると云われている。或る点で、ウェーハを普通のPECVD室(即ちスパッタリングによるエッチング能力を持たない)に移し、そこで第2の層として別の酸化物を加える。随意選択により、この後ウェーハを、例えば導体の頂部まで研磨することによって、平面化する。この米国特許の方法の1つの欠点は、スパッタリング+デポジッション工程の正味のデポジッション速度が比較的低い(700Å/分)ことである。別の欠点は、スパッタリング+デポジッション工程が、埋設された空所及び不連続部を含まない「一層滑らかな」表面にする為に、誘電体の平面度を改善する様に見えないこと、そして実際にはその誘電体の平面度を損なう様に思われることである。
【0005】
【課題を解決する為の手段及び作用】
この発明は、平面度を高めた相互接続構造と、製造の出来高を高め、すき間の埋めを良好にし、平面度を高め、半導体装置上の相互接続層のウェーハ内での一様度を高める方法とを提供する。典形的には平面度は、ウェーハに認められる段形の(山から谷までの)高さの(所定の方法による)寸法の分数で表わした減少として定量化される。良好な誘電体の平面度は極めて重要であることがあり、所定の相互接続層の上に追加の導電層を形成しなければならない場合、特にそうである。滑らかにすることは、一般的に段形の特徴の縁の勾配を少なくすることであるのに対し、平面化は段形の特徴の相対的な高さを減らすことである点で、平面化は滑らかさと区別される。ウェーハ内部の一様性は、ウェーハにわたる厚さ測定値の集合の標準偏差によって定義される。従って、これは厚さのゆっくりと変わる変化の目安である。標準偏差が小さいことは、ウェーハ内部の一様性が高い方法を特徴づけるものであり、これはとりわけ分解能の高い製版並びに過剰エッチ条件の低下にとって望ましいことである。典形的な非一様性は、化学的−機械的な研磨(CMP)によって生ずることがあり、この結果、ウェーハにわたって誘電体の厚さの変動が起こり得る。
【0006】
高密度プラズマ(HDP)酸化物のデポジッションは、シリコン含有ガス、酸素含有ガス及び非反応性ガス(例えば稀ガス)の混合物を用いた直流バイアス・スパッタリングと同時の化学反応気相成長と定義する。この方法は、一般的に、良好な熱安定性、小さい湿気の吸収、及びすぐれた機械的な性質を持つ高品質の酸化物を形成する。多くの点で、HDP酸化物は稠密な熱成長の酸化物と似ている。勿論、HDPは前に引用した米国特許に記載される外部磁界スパッタリング方式に比べて、室の設計が一層実用的にできること、特に一層大きなウェーハ寸法に対する倍率をとりやすいこと、デポジッション速度が5,000Å/分より大きいこと、及び導体の幅に依存する平面化の性質を持つことと云う多くの利点がある。ここでは、すき間の埋めをよくする為に同時のデポジッション及び直流バイアス・スパッタリングを用いるHDP−SiO2 デポジッション方式が、層間誘電体、特に幅の狭い導体の上及び周りにある誘電体の平面化を高めることにつながることが認識された。驚くべきことに、同時のCVD/直流バイアス・スパッタリングによってHDP酸化物を形成し(以下HDPと呼ぶ)、その後他の幾つかの方法の内の1つ(例えばPETEOS又はプラズマ強化テトラエチルオルトシリケート、CVD)によって絶縁研磨層を形成し、その後に続いてこの中間構造の比較的短い化学的−機械的な研磨を行なうことを含む全体的な層間誘電体(ILD)方式は、予想外に、ウェーハの出来高、平面度及びウェーハ内部の一様性に同時的な改善を招くことがあることが分かった。これは1つには、HDP及びCMP方法の相補的な平面化(HDPによってうまく平面化されない特徴が、CMPによって選択的に平面化することができるとか或いはその逆)、HDP酸化物に対するよりも、候補としての研磨層の材料に対する観察された一層高いデポジッション及び研磨速度、及び所定の最終的な厚さ及び平面度に必要な初めのデポジッションの厚さが一層小さいことを含む幾つかの観測された属性によるものであるかもしれない。
【0007】
この発明は、前にデポジットされた導電層/絶縁層を含んでいてもよいが、半導体基板の上に平面化された相互接続層を構成する方法を提供する。この方法は、基板の上にパターンぎめされた導体の層を設け、この様な導体は基板の上方の予定の厚さまでデポジットされることが好ましく、好ましくは縦横比の小さい(即ち高さと幅の比が0.5未満)及び縦横比の大きい(即ち、高さと幅の比が1.0より大きい)導体の両方を含む。更にこの方法は、導体及び基板の上に同形の誘電体シード層(即ち、目立ったバックスパッタリングをしない)をデポジットすることを含むことができる。更にこの方法は、同時のCVD及びスパッタリングと云う方法により、パターンぎめされた導体及び基板の上に誘電体すき間充填層をデポジットすることを含む。この誘電体デポジッション方法は、シリコン含有成分、酸素含有成分及び不活性成分(更に好ましくは、シラン、O2 及びアルゴンの様なVIII族ガス)で構成されたガス混合物を使うことが好ましい。すき間充填層は、縦横比の小さい導体の上には、それが縦横比の大きい導体の上にデポジットされる時の少なくとも1.5倍の厚さにデポジットすることが好ましい。更にすき間充填層は、導体の間の1つ又は更に多くのすき間で測って、下側にある導体の厚さの50%乃至125%の厚さにデポジットすることが好ましい。この後、構造全体の上に軟質(すき間充填層よりも一層容易に研磨できる)同形の誘電体研磨層をデポジットすることができる。この様な研磨層を含める場合、これはPETEOS,BPSG,BSG,PSG,酸化シラン及びその組合せからなる群から選ばれた材料で構成することができる。更にこの方法は、平面化を完成する為の比較的短いCMP工程を含む。この発明の方法により、全ての誘電体のデポジッションを同じ反応室内で行なうことが可能になる。
【0008】
この発明は、基板の上に形成されたパターンぎめされた導体、好ましくは、縦横比の小さい導体及び大きい導体の両方の層を含む半導体構造をも提供する。更にこの構造は、導体及び基板の上にデポジットされた同形のシード層、好ましくは二酸化シリコンも含むことができる。更にこの構造は、縦横比の大きい導体の上にデポジットされた時の少なくとも1.5倍の厚さに縦横比の小さい導体の上にデポジットされた、導体の上に形成されるHDP酸化物の層を含む。HDP酸化物の層は、導体の間の1つ又は更に多くのすき間で測って、下側にある導体の厚さの50%乃至125%の厚さにデポジットすることが好ましい。更にこの構造は、HDP酸化物の層の上に重なる酸化物研磨層を含むことができる。研磨層は略平面状の上面を持ち、これは少なくとも導体の厚さの50%だけ導体の頂部より上方にある。
【0009】
この発明の種々の特徴並びに利点は、以下図面について説明する所から最もよく理解されよう。
【0010】
【実施例】
図1Aについて説明すると、基板20の上に変化する幅並びに大体7,500Åの高さを持つ導体が断面で示されている。例として、特定の幅及び高さを導体並びに導体の間隔に割当ててあるが、この発明の全体的な考えは、或る範囲の導体の寸法及び間隔に用いることができる。大きな導体22(例えばランディングパッド)は3mmを越える幅を持つ(縦横比が0.25未満)。小さい導体24は0.4mmの幅及び間隔(縦横比が1.9)を持ち、中くらいの導体26(例えば供給レール)は0.5mmの幅と間隔(縦横比が1.5)を持つ。
【0011】
公知の方法であるPETEOS CVDによってデポジットされた層間誘電体(ILT)28は20,000Åのデポジッションの後は図1Aに示すのと似たものになり得る。例えば、ウェーハを大体10トルの圧力まで真空に引いたアプライド・マティリアルズ・プレシジョン5,000デポジッション室内に配置することができる。10%のTEOS、10%のO2 及び80%のArからなるガス混合物を、約400℃に加熱されたウェーハの真上の領域内でrfプラズマ内に導入し、ウェーハの表面に8,000乃至9,000Å/分の速度で二酸化シリコンをデポジットする。一般的にこう云う方法では、すき間29の様な大きなすき間は平面化されない。然し、デポジッションの厚さが全般的にすき間の幅の半分を越えていれば、隣合った導体の向い合う壁にデポジットされた誘電体材料が側面からすき間を塞ぎ、不連続部30の原因になる。向い合う壁の上の隅が向い合う壁の下側部分よりも一層早く誘電体材料を受ければ、上の隅が最初に出会う可能性があり、この為空所32が形成される。不連続や空所の特徴は、ILD28の局部的な誘電体としての性質及び機械的な性質に悪影響を及ぼすことがある。
【0012】
この様なデポジッションに伴う他の問題が起こるのは、典形的にはILDの上面を平面化せず、その代わりに、下側にある導体の高さに比肩し得る段形の不連続を残す為である。上面をできるだけ平面化するのが一般的に望ましいから、CMPを使って装置の形状を滑らかにすることができる。例えば、その全体的な構成を図9に示したストラスボー6DS−SPの様な市場で入手し得る研磨装置で、装置を平面化することができる。この研磨装置は直径30インチの主プラテン50を持ち、それが1つ又は更に多くの研磨パッド52(例えばローデル・スバIVボトム・パッド及びローデル IC1,000トップ・パッド)によって覆われている。研磨用スラリ54は、典形的にはコロイド状シリカの懸濁質を含む塩基性溶液であるが、それが供給管56を介して、例えばプラテンが35rpm で回転する時、パッドの上に制御された速度(例えば200ml/分)で導入される。ウェーハがウェーハ支持体(ストラスボーではそれが2つある)又はスピンドル58に裏返しにして取付けられる。このスピンドルも好ましくは約20rpm で回転する。ウェーハを持つスピンドルを、好ましくは5乃至6psi の下向きの力で、研磨パッドに押付ける。こう云う状態では、典形的には、PETEOSデポジッションでは、1,800乃至2,000Å/分の研磨速度が観測される。
【0013】
図1Bは、上に述べた様なCMP研磨を2.5分間行なった後の図1Aの装置を示す。右側の大きな導体22の上では、ILDの平面度は1,500Å以内であるが、小さな導体及び中くらいの導体24,26の上のILDの平面度は3,000Åまでにとどまる。一層長く研磨することにより、一般的に局部的な平面度を改善することができる。然し、研磨を一層長くすることの欠点として、最初のデポジッションを一層厚手にすることが必要になり(研磨によって除く部分を一層多くしなければならないから)、それに伴ってデポジッションの深さが一層不確実になり、研磨が一層長くなることによって最終的なILDの厚さが不確実になる。一層厚手のデポジッションも一層長い研磨も、ウェーハの出来高を低下させる惧れがある。更に一層長い研磨はウェーハ内部の一様性にとって有害である傾向がある(例えば、ウェーハの縁がウェーハの中心よりもより多く研磨されることがある)。従って、ILD内の空所や不連続を避けることができても、この方法では、所望の平面度、出来高及びウェーハ内部の一様性の目標を同時に達成することは不可能であることがある。
【0014】
HDPは二酸化シリコンの化学反応気相成長の為に使われる比較的新しい方法である。図2A−2Fについて説明すると、図1に示すのと同様な大きな導体、中くらいの導体及び小さな導体の1組が基板の上に設けられている。次に述べる手順を使って、例えば、アプライド・マティーリアルズ社のデポジッション室内で品質のよいHDPをデポジットすることができる。ウェーハ(基板を含む)を、裏側のヘリウム冷却を利用して温度を制御することができる様に室内に取付ける。その後室を7ミリトルまで真空に引き、68sccmのO2 及び100sccmのArの混合物を室に供給する。2,500Wのrf源の電力を使って、プラズマ(これもウェーハを加熱する)をつくり、裏側冷却により、ウェーハの温度を330℃に保つ。50秒の動作の後、50sccmのシランも室に導入し、ウェーハの上に酸化シランをデポジットさせる(この工程は、比較的継目なしにHDPのデポジッションに移行する様に、一体のシード層を形成する為に使うことができる)。56秒の動作の後、1,600Wのバイアス電力を加えて、直流バイアス・スパッタリングを開始する。この時点で正味のデポジッション速度が40 Å/秒に下り、デポジッションとスパッタリングの比は大まかに云うと4:1になる。この速度で、優れた品質の酸化物をデポジットすることができる。然し、この速度は例えばPETEOSよりまだかなり低い。更に、このHDP酸化物は、PETEOSよりも一層稠密であるが、大まかに見て25%の一層低い平均研磨速度を持つことが分かった。スパッタリングは、段の頂部に隣接して、即ち、導体の頂部と側面が出会う上面で、大まかにいって45°の角度にHDP酸化物のデポジッションを整形すると考えられる。
【0015】
図2Bは、約3,000Åの深さ(例えば300Å乃至1,000Åの一体のシード層を含む)までデポジッションした後のHDP ILD 34の大体の外観を示す。大きな導体22の上では、隣接する導体からの間隔に無関係に、ILDのデポジッションの深さは予想通り3,000Åである。然し、中くらい及び小さい導体26,24の上では、最大のデポジッションの深さは、予想外に僅か夫々2,200Å及び1,750Åである。小さな導体24の幅は3,000Åのデポジッションの深さよりあまり大きくないので、ILD 34はこれらの導体の上では既に三角形の外観を持ち始めていると考えられる。三角形の外観が形成されるのが一層遅く且つ一層大きいが、中くらいの導体26でも同様である。驚くことに、導体の間隔又は隣接する導体の幅に関係なく、材料は全てのすき間で大体同じ速度でデポジットされている。
【0016】
図2Cは、約5,000Åの深さまでデポジッションを続けた後のILD 34の大体の外観を示す。大きな導体22の上のILDの深さは大まかに云って5,000Åであるが、中くらい及び小さい導体26,24の上のILDの深さは、夫々大まかに云って依然として2,200Å及び1,750Åである。よく分からないが、段形の特徴の上面の上でのHDPのデポジッションでは、幅に依存性を持つ平衡点に達していて、その後では、段が持続している限り、この特徴の上では正味のデポジッション速度は0に近い状態が保たれていると考えられる。
【0017】
図2Dは、約7,000Åの深さまでデポジッションを続けた後のILD 34の大体の外観を示す。導体のカバーについては5,000Åの時と同様な傾向が認められるが、隣合った小さい導体の間並びに隣合った大きな導体の間のILDの上面の形は、すき間が殆ど埋められるにつれて、滑らかになり始める。
【0018】
図2Eは、約10,000Åの深さまでデポジッションを続けた後のILD34の大体の外観を示す。この時点では、デポジッションの深さが導体の厚さを越えており、小さな導体24の上の区域は段の高さが僅か400Åである。中くらいの導体26の上の区域は段の高さが僅か900Åである。しかし、大きい導体22の上の段の高さは依然として大まかに云って7,500Åである。
【0019】
最後に、図2Fはデポジッションを18,000Åで停止した後のILD 34の大体の外観を示す。この深さでも、HDPのデポジッションは依然として大きな導体22の上でILDを平面化することができないが、段形の特徴はその下にある導体よりも一層狭くすることができる。驚くべきことに、この最後の8,000Åのデポジッションの間、大部分は滑らかにする作用により、小さい導体及び中くらいの導体の上のILDは大まかに云って200Åまでしか平面化されなかった。全般的に、HDPデポジッションは、縦横比に従って特徴を平面化し、縦横比の大きい特徴が最初に平面化される。同様な寸法の特徴は、その特徴を取巻くすき間の幅に関係なく、同じ様な割合で平面化される。
【0020】
例1
上に述べたHDP方法を図3のメタライズ試験回路に適用した。この回路は、アルミニウム−0.5%銅合金の約7,500Åのデポジッションによってつくられた種々の導体の配置で構成されている。DD1と記した領域は、何れも幅0.5μmで、隣接する導体からの間隔が0.5μmである規則的に相隔たる導体の1,500μm×3,000μmのブロック構造で構成される。DD2と記した領域は、何れも幅0.4μmで、隣接する導体からの間隔が0.4μmである規則的に相隔たった導体の1,500μm×3,000μmのブロック構造で構成される。DD1及びDD2の両方の脇に約10μm幅の配電レール38が接している。更に、構造全体の側面に110μm×110μmの結合パッド36の列があり、隣合うパッドは互いに約50μm隔たっている。構造の右側に、結合パッド36の別の大きなポピュレーションが存在する。
【0021】
この実験では、この様な試験回路を含む何個かのウェーハを最初に1,000ÅのPETEOSシード層で覆った(この様なシード層も前に述べた様に酸化シラン層であってよい)。この様な層は、HDPデポジッションの間、導体自体のスパッタリングを防止するのに有利であることがあることが分かった。その後、ウェーハをHDPデポジッション過程にかけたが、かけた時間の長さは異なっていて、HDP酸化物の厚さが約3,000Å,5,000Å,7,500Å,10,000Å,18,000Åのウェーハをつくった。この後、この様なウェーハをテンコールP1プロフィルメータ(微細に尖った針を使って、面に沿った高さの変動を測定する装置)によって個別に調べた。
【0022】
例1で得られた平面化の結果が図4にまとめてあり、この図は、DD1,DD2及び結合パッド36に対する段の高さ(構造の頂部から基板の上のILDの近くの区域までで測定する)を示すグラフである。配電レール38に対するグラフは示してないが、これは結合パッドについて示したものと略同様であるからである。全ての構造は、HDPデポジッションの前の大体8,000Åの測定された段の高さから始まる。図4から、3,000ÅのHDPデポジッションから大体10,000Åのデポジッションまで、DD1及びDD2の両方に対する段の高さは1:1の直線的な傾向を辿ることが分かる。即ち、段の高さが大まかに云うと、デポジッションの量だけ減少する。然し、線幅が一層細いDD2が、この線形領域に最初に入る様に見える。こう云う観測は、狭い構造の上のデポジッションでは、正味のデポジッション速度が0に近い動作領域になると云う理論を裏付ける。更に、1,000Åの後、細い導体の上並びにその間の材料は、大体同じ割合でデポジットされる様に思われることに注意されたい。結合パッド及び配電レールは、18,000Åのデポジッションの後でも、実質的に平面化されていない。
【0023】
HDP酸化物は全般的にPETEOS酸化物より硬いことが分かった。同様な研磨条件の下では、HDP酸化物の研磨は、PETEOS酸化物より大体25%遅い。一般的に、1ミクロン未満のメタライズ層は、その表面の大きな百分率は、縦横比の大きい稠密に詰込まれた導体でパターンぎめされており、その表面の比較的小さい百分率は、結合パッドの様な縦横比の小さい導体でパターンぎめされている。こう云う性質と、HDPの選択的な平面化との組合せにより、改良されたILD構造及び方法が得られた。図5について説明すると、新しいILD方法の最初の工程は、すき間を埋める為、並びに微細な構造の平面化の為の酸化物のデポジッションである。2番目に、酸化物の研磨層を加える。この層は特に面を一層平面化し又は滑らかにする必要はないが、すき間充填層よりも研磨しやすいことが好ましい。最後に、CMP工程が残りの構造を平面化する。これは主に研磨層の一部分を研磨して除くことによって行なわれるが、或る実施例では、すき間充填層の若干の区域も研磨されることがある。この方法の利点は図6の説明から明らかになる。
【0024】
図6Aについて説明すると、この発明の一実施例の中間構造が示されている。すき間充填層34(好ましくは一体のシード層を持つHDP酸化物)が、大体導体の高さまでデポジットされている。場合によってPETEOS、又は硼燐珪酸塩硝子(BPSG)、硼珪酸塩硝子(BSG)又は燐珪酸塩硝子(PSG)の様なドープされた酸化物の研磨層40が、好ましくは同形で、この構造の上にデポジットされる。この代わりに、研磨層はHDP酸化物と同じ室内でデポジットされた酸化シランでも有利に構成することができる。酸化シランは、例えば、400℃の温度、5乃至10トルの圧力及び400Wのrf電力で、50sccmのシラン及び1,000sccmのN2 Oを使ってデポジットすることができる。何れにせよ、この層はすき間充填層34よりも研磨が一層容易であって、それよりも一層高いデポジッション速度を持つことが好ましい。図6Aと、PETEOSしかない図1Aとの断面の違いに注意されたい。特に、図1Aでは、ILD 28の大きな百分率は隆起していて、すき間29のもとのレベルを通り越して研磨しなければならない。これと対照的に、図6Aは、大きな導体22の上で、層40の表面からの2つの主な突起を持っている。ウェーハの表面に研磨圧力が加えられると、力がこれらの突起区域(これは一般的にウェーハの表面積の小さな部分を占める)に集中し、こうして大きな導体の上での一層高い研磨速度を達成する。
【0025】
図6Bは、この後の処理に使える状態になった最終的なILD構造を示す。殆ど全体の研磨層が大きな導体22の上では除去されているが、すき間充填層と研磨層の厚さの比が異なる所定の実施例では、こう云うことが起こることも起こらないこともあることに注意されたい。
【0026】
例2
図7及び8について説明すると、何れも4個の試験ウェーハからなる6つの同一のロットに図3の試験導体パターンをつくった。各々のウェーハの上に、酸化シランのシード層、HDP酸化物の層、及びPETEOSの研磨層を表1に示す厚さにデポジットした。
【0027】
【表1】
ロット シード層(Å) HDP層(Å) 研磨層(Å)
1 18000
2 500 3000 15000
3 500 5000 13000
4 500 7500 10500
5 500 10000 8000
6 500 18000
各々のウェーハは、半製品のウェーハにデポジットされたPETEOSから3,000Åを除去する様な時間の間、同一CMP条件で研磨した。研磨の後、各々のウェーハで、DD1,DD2及び結合パッドの段の高さを測定し、各々のロットでの段の高さを平均して、図7のグラフを求めた。半製品のウェーハから合計5,000ÅのPETEOSが(両方の研磨によって)除去される様な時間の間、各々のウェーハを再び研磨した。同様な段の高さの測定値を編集して、図8になった。
【0028】
図7及び8は、HDPのすき間充填層を含めることにより、PETEOSだけの過程に比べて、ILDの平面度を著しく高めることができることを示している。3,000Åの研磨では、5,000ÅのHDP層で段の高さは2,200Å未満であることが観測されたのに対し、全部PETEOSのILDでは、段の高さは殆ど5,000Åである。5,000Åの研磨では、7,500ÅのHDP層で段の高さは200Å未満であることが観測されたが、全部PETEOSのILDでは、段の高さは2,000Åであった。更に、極めて厚手のHDPのデポジッションでは、10,000Å及び18,000ÅのHDPの厚さの所で、構造DD1及びDD2に対して、負の段の高さ又は「皿形」によって示される様に、研磨後の全体的な平面度は中間の厚さのデポジッションの場合よりも実際には悪くなることがある。
【0029】
一般的に、研磨条件がCMPによって達成すべき平面度を特定し、研磨時間はこの仕様に合う様に調節される。例えば、1,000Åの平面度の仕様では、ロット1の代わりに、ロット3又は4の中間のILD構造を使うことにより、33%一層高いCMPの出来高(一定の処理時間を含む)が得られる。この出来高は、研磨層にBPSG又は同様な研磨作用の早い材料を使うことによって更に高めることができる。
【0030】
表2は図面に用いた番号を用いた若干の実施例のまとめを示す。
【0031】
【表2】
Figure 0004106101
この発明は、ここに述べた特定の例が、この発明を制限するものではなく、例示と見做すべきものであるから、こう云う例に制限されるものと解釈してはならない。この発明は、この発明の範囲を逸脱しない全ての方法及び構造を包括するものである。例えば、希望によっては、軟質研磨層自体は幾つかの部分層で構成することができる。
【0032】
さらに以下の項目を開示する。
(1) 縦横比の大きい導体及び小さい導体の両方を持つ半導体基板上に平面化相互接続層を構成する方法に於て、(a)前記基板上に形成されたパターンぎめした導体の層を設け、該パターンぎめした導体は前記基板より上方に予定の導体の厚さまでデポジットされ、(b)前記導体及び前記基板の上に略同形の誘電体シード層をデポジットし、(c)シリコン含有成分、酸素含有成分及び不活性成分で構成されたガス混合物を用いて、同時のCVD及び直流バイアス・スパッタリングによって前記誘電体シード層の上に誘電体すき間充填層をデポジットし、該すき間充填層は、該すき間充填層が前記縦横比の大きい導体の上にデポジットされる時の少なくとも1.5倍の厚さに前記縦横比の小さい導体の上にデポジットされ、(d)PETEOS,BPSG,BSG,PSG,酸化シラン及びその組合せからなる群から選ばれた材料で構成される同形の誘電体研磨層を前記すき間充填層の上にデポジットし、(e)前記基板の上面を化学的−機械的な研磨過程によって研磨して、前記導体の頂部より前記導体の厚さの少なくとも50%上方にある略平面状の誘電体上面を設ける工程を含み、こうして所望の最終的な誘電体の厚さ及び平面度を達成するのに要するデポジッションの厚さ並びに研磨時間を減少並びに短縮する方法。
【0033】
(2) 第1項記載の方法に於て、前記ガス混合物中のシリコン含有成分がシランである方法。
【0034】
(3) 第1項記載の方法に於て、前記ガス混合物中の酸素含有成分がO2 である方法。
【0035】
(4) 第1項記載の方法に於て、前記ガス混合物中の不活性成分がVIII族ガスである方法。
【0036】
(5) 第1項記載の方法に於て、最初にCVDによって前記シード層をデポジットし、該CVDを続けながら、前記直流バイアス・スパッタリングを作用させることによって前記すき間充填層をデポジットすることに切換えることにより、前記誘電体シード層を前記誘電体すき間充填層と一体につくる方法。
【0037】
(6) 第1項記載の方法に於て、誘電体すき間充填層をデポジットする工程及び同形の誘電体研磨層をデポジットする工程が同じデポジッション室内で行なわれる方法。
【0038】
(7) 縦横比の大きい導体及び小さい導体の両方を持つ半導体基板上に平面化相互接続層を構成する方法に於て、(a)前記基板の上に形成されたパターンぎめした導体の層を設け、該パターンぎめした導体の頂部が予定の導体の厚さだけ前記基板より上方にあり、(b)デポジッション室内で前記導体及び前記基板の上に同形の誘電体シード層をデポジットし、(c)やはり前記デポジッション室内で、シラン、酸素含有成分及びVIII族ガス成分で構成されるガス混合物を用いて、同時のCVD及び直流バイアス・スパッタリングの方法によって、誘電体すき間充填層を前記シード層の上にデポジットし、前記すき間充填層は、該すき間充填層が前記縦横比の大きい導体の上にデポジットされる時の少なくとも1.5倍の厚さに縦横比の小さい導体の上にデポジットされ、(d)前記基板の上面を化学的−機械的な研磨過程によって研磨して、前記導体の厚さの少なくとも50%だけ前記導体の頂部より上方にある略平面状の誘電体上面を設ける工程を含み、こうして前記シード層を使って、前記直流バイアス・スパッタリングによって前記導体からの材料がスパッタリングされることを防止すると共に、所望の最終的な誘電体の厚さ及び平面度を達成するのに要するデポジッションの厚さを減少すると共に研磨時間を短縮した方法。
【0039】
(8) 第7項記載の方法に於て、前記研磨する工程の前に、前記すき間充填層の上に同形の誘電体研磨層をデポジットする工程を含み、該研磨層はPETEOS,BPSG,BSG,PSG,酸化シラン及びその組合せからなる群から選ばれた材料で構成されている方法。
【0040】
(9) 第8項記載の方法に於て、前記導体の間の1つ又は更に多くのすき間で測って、前記すき間充填層が前記導体の厚さの50%乃至125%の厚さにデポジットされる方法。
【0041】
(10) 共通の導電レベルの上に縦横比の大きい導体及び小さい導体の両方を持つ半導体装置に於て、(a)前記基板の上に形成されていて、予定の導体の厚さだけ前記基板より上方にある頂部を持つパターンぎめした導体の層と、(b)前記導体及び基板の上に重なっていて、当該HDP酸化物層が前記縦横比の大きい導体の上にデポジットされる時の少なくとも1.5倍の厚さに、(c)当該HDP酸化物層が前記縦横比の小さい導体の上にデポジットされるHDP酸化物層と、該HDP酸化物層の上に重なっていて、少なくとも導体の厚さの50%だけ前記導体の頂部より上方にある略平面状の上面を持つ酸化物研磨層とを有する半導体装置。
【0042】
(11) 第10項記載の半導体装置に於て、前記導体の間の1つ又は更に多くのすき間で測って、前記HDP酸化物の厚さが該導体の厚さの50%乃至125%である半導体装置。
【0043】
(12) 第10項記載の半導体装置に於て、前記導体及び基板の上に重なると共に前記HDP酸化物層の下にある同形の誘電体シード層を有する半導体装置。
【0044】
(13)半導体装置及びそれをつくる方法を説明した。これは、誘電体の積重ねを用いて、製造の出来高、すき間の埋め、平面度及びウェーハ内部の一様性を改善する。すき間充填誘電体層34(これは一体のシード層を含むことが好ましい)を最初に導体22,24,26の上にデポジットする。層34は高密度プラズマ(HDP)二酸化シリコンのデポジッションであることが好ましく、これは24,26に示す様な縦横比の大きい導体を平面化するが、22に示す様な縦横比の小さい導体を必ずしも平面化しない。好ましくはすき間充填層よりも研磨が一層早く進む誘電体研磨層40を層34の上にデポジットすることができる。研磨層は、例えばTEOSのプラズマ強化化学反応気相成長によって形成することができる。最後に、化学的−機械的な研磨過程を使って、研磨時間を最短にすると共に高度に平面化された構造をつくる様な形で、誘電体の積重ねを平面化する。
【図面の簡単な説明】
【図1】誘電体層の普通のPECVD及び誘電体層の研磨後のパターンぎめされた導体の層を夫々示す断面図。
【図2】導体の同様な層の断面図で、デポジッション過程に於る幾つかの工程でのHDP酸化物層のデポジッションを示す。
【図3】試験回路の一部分の平面図で、回路の幾つかの要素の相対位置及び寸法を示す。
【図4】同じ試験回路上にある幾つかの特徴に対するHDP酸化物のデポジッションの厚さに対して平均の段の高さを示すグラフ。
【図5】この発明の一実施例のブロック図。
【図6】CMPの前後のこの発明の一実施例の断面図。
【図7】3,000Åの研磨後の、積重ね内にあるHDP酸化物の厚さに対する19,000Å乃至20,000Åの誘電体の積重ねの平均の段の高さを示すグラフ。
【図8】5,000Åの研磨後の、積重ね内にあるHDP酸化物の厚さに対する19,000Å乃至20,000Åの誘電体の積重ねの平均の段の高さを示すグラフ。
【図9】化学的−機械的な研磨機の全体的な配置を示す図。
【符号の説明】
20 基板
22 縦横比の小さい導体
24,26 縦横比の大きい導体
34 すき間充填層
40 誘電体研磨層

Claims (2)

  1. 絶縁層上に平坦化相互接続層を構成する方法において、
    前記相互接続層は縦横比の大きい導体および小さい導体の両方を有し、前記方法は、
    (a)前記絶縁層上に形成されたパターンぎめした導体の層を設け、前記パターンぎめした導体は前記絶縁層より上方に第1の厚さを有し、
    (b)前記導体および前記絶縁層の上に略同形の誘電体シード層をデポジットし、
    (c)シリコン含有成分、酸素含有成分および不活性成分で構成されたガス混合物を用いて、同時のCVDおよび直流バイアススパッタリングによって前記誘電体シード層の上に誘電体すき間充填層をデポジットし、
    デポジションは前記縦横比の大きい導体の上の前記すき間充填層内に尖端部を形成し、尖端部は第1の段の高さを有し(縦横比の大きい導体近くのすき間の上のすき間充填層の頂部に対して)、
    デポジションは前記縦横比の小さい導体の上の前記すき間充填層内に平坦な領域を形成し、平坦な領域は第2の段の高さを有し(縦横比の小さい導体近くのすき間の上のすき間充填層の頂部に対して)、
    第1の段の高さは第2の段の高さよりも小さく、
    (d)PETEOS、BPSG、BSG、PSG、シラン酸化物およびその組み合わせからなる群から選ばれた材料で構成される同形の誘電体研磨層を前記すき間充填層の上にデポジットし、
    (e)前記研磨層の上面を化学的―機械的な研磨過程によって研磨して、前記導体の頂部より前記導体の厚さの少なくとも50%上方にある略平面状の誘電体上面を設ける、
    工程を含む方法。
  2. 共通の導電レベル上に縦横比の大きい導体および小さい導体の両方を持つ半導体装置において、前記装置は、
    (a)第1の厚さを有する、絶縁層上のパターンぎめした導体の層と、
    (b)前記導体および前記絶縁層の上に重なっていて、前記縦横比の大きい導体の上に尖端部を有し、尖端部は第1の段の高さを有する(縦横比の大きい導体近くのすき間の上のHDP酸化物層の頂部に対して)HDP酸化物層であって、
    前記HDP酸化物層は前記縦横比の小さい導体の上に平坦な領域を有し、平坦な領域は第2の段の高さを有し(縦横比の小さい導体近くのすき間の上のすき間充填層の頂部に対して)、
    第1の段の高さは第2の段の高さよりも小さいHDP酸化物層と、
    (c)前記HDP酸化物層の上に重なっていて、少なくとも前記導体の厚さの50%だけ前記導体の頂部よりも上方にある略平面状の上面を持つ酸化物研磨層と、
    を有する半導体装置。
JP20890795A 1994-08-17 1995-08-16 平面化相互接続層を構成する方法と半導体装置 Expired - Lifetime JP4106101B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US291636 1994-08-17
US08/291,636 US5494854A (en) 1994-08-17 1994-08-17 Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films

Publications (2)

Publication Number Publication Date
JPH0869999A JPH0869999A (ja) 1996-03-12
JP4106101B2 true JP4106101B2 (ja) 2008-06-25

Family

ID=23121136

Family Applications (1)

Application Number Title Priority Date Filing Date
JP20890795A Expired - Lifetime JP4106101B2 (ja) 1994-08-17 1995-08-16 平面化相互接続層を構成する方法と半導体装置

Country Status (4)

Country Link
US (2) US5494854A (ja)
EP (1) EP0697722B1 (ja)
JP (1) JP4106101B2 (ja)
DE (1) DE69535438T2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8110179B2 (en) 2002-09-06 2012-02-07 Cerulean Pharma Inc. Cyclodextrin-based polymers for therapeutics delivery

Families Citing this family (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5795495A (en) * 1994-04-25 1998-08-18 Micron Technology, Inc. Method of chemical mechanical polishing for dielectric layers
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
US5847464A (en) * 1995-09-27 1998-12-08 Sgs-Thomson Microelectronics, Inc. Method for forming controlled voids in interlevel dielectric
JP2838992B2 (ja) * 1995-11-10 1998-12-16 日本電気株式会社 半導体装置の製造方法
US5893750A (en) * 1995-11-13 1999-04-13 Advanced Micro Devices, Inc. Method for forming a highly planarized interlevel dielectric structure
US5861342A (en) * 1995-12-26 1999-01-19 Vlsi Technology, Inc. Optimized structures for dummy fill mask design
US5639697A (en) * 1996-01-30 1997-06-17 Vlsi Technology, Inc. Dummy underlayers for improvement in removal rate consistency during chemical mechanical polishing
US5783488A (en) * 1996-01-31 1998-07-21 Vlsi Technology, Inc. Optimized underlayer structures for maintaining chemical mechanical polishing removal rates
JPH09223737A (ja) * 1996-02-16 1997-08-26 Nec Corp 半導体装置の製造方法
KR100230392B1 (ko) * 1996-12-05 1999-11-15 윤종용 반도체 소자의 콘택 플러그 형성방법
KR100211540B1 (ko) * 1996-05-22 1999-08-02 김영환 반도체소자의 층간절연막 형성방법
JPH09321047A (ja) * 1996-05-24 1997-12-12 Nec Corp 半導体装置の製造方法
US5733798A (en) * 1996-06-05 1998-03-31 Advanced Micro Devices, Inc. Mask generation technique for producing an integrated circuit with optimal polysilicon interconnect layout for achieving global planarization
US6200894B1 (en) * 1996-06-10 2001-03-13 International Business Machines Corporation Method for enhancing aluminum interconnect properties
US5851899A (en) * 1996-08-08 1998-12-22 Siemens Aktiengesellschaft Gapfill and planarization process for shallow trench isolation
US5747382A (en) * 1996-09-24 1998-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Two-step planarization process using chemical-mechanical polishing and reactive-ion-etching
US5963840A (en) 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US6303464B1 (en) 1996-12-30 2001-10-16 Intel Corporation Method and structure for reducing interconnect system capacitance through enclosed voids in a dielectric layer
US6171896B1 (en) * 1997-02-03 2001-01-09 Taiwan Semiconductor Manufacturing Company Method of forming shallow trench isolation by HDPCVD oxide
US5854125A (en) * 1997-02-24 1998-12-29 Vlsi Technology, Inc. Dummy fill patterns to improve interconnect planarity
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
JP3638778B2 (ja) * 1997-03-31 2005-04-13 株式会社ルネサステクノロジ 半導体集積回路装置およびその製造方法
US6117345A (en) * 1997-04-02 2000-09-12 United Microelectronics Corp. High density plasma chemical vapor deposition process
EP1387394A3 (en) * 1997-04-15 2004-04-07 STMicroelectronics S.r.l. Process of final passivation of integrated circuit devices
US5728621A (en) * 1997-04-28 1998-03-17 Chartered Semiconductor Manufacturing Pte Ltd Method for shallow trench isolation
US5814564A (en) * 1997-05-15 1998-09-29 Vanguard International Semiconductor Corporation Etch back method to planarize an interlayer having a critical HDP-CVD deposition process
EP0893825A1 (en) * 1997-07-23 1999-01-27 STMicroelectronics S.r.l. Planarization method with a multilayer for integrated semiconductor electronic devices
DE19741704A1 (de) * 1997-09-22 1999-04-01 Siemens Ag Verfahren zur Erzeugung von Isolationen in einem Substrat
US5880007A (en) * 1997-09-30 1999-03-09 Siemens Aktiengesellschaft Planarization of a non-conformal device layer in semiconductor fabrication
EP0907201A1 (en) * 1997-10-03 1999-04-07 STMicroelectronics S.r.l. Planarization method for integrated semiconductor electronic devices
EP0907202A1 (en) * 1997-10-03 1999-04-07 STMicroelectronics S.r.l. Planarization method for integrated semiconductor electronic devices
US6268297B1 (en) * 1997-11-26 2001-07-31 Texas Instruments Incorporated Self-planarizing low-temperature doped-silicate-glass process capable of gap-filling narrow spaces
KR19990055162A (ko) * 1997-12-27 1999-07-15 김영환 반도체 소자의 층간절연막 평탄화 방법
US5946592A (en) * 1998-03-19 1999-08-31 Winbond Electronics, Corp. Combined in-situ high density plasma enhanced chemical vapor deposition (HDPCVD) and chemical mechanical polishing (CMP) process to form an intermetal dielectric layer with a stopper layer embedded therein
US5920792A (en) * 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6171917B1 (en) 1998-03-25 2001-01-09 Advanced Micro Devices, Inc. Transistor sidewall spacers composed of silicon nitride CVD deposited from a high density plasma source
US6057207A (en) * 1998-03-25 2000-05-02 Taiwan Semiconductor Manufacturing Company Shallow trench isolation process using chemical-mechanical polish with self-aligned nitride mask on HDP-oxide
US6358837B1 (en) 1998-03-31 2002-03-19 Lsi Logic Corporation Method of electrically connecting and isolating components with vertical elements extending between interconnect layers in an integrated circuit
US6417535B1 (en) 1998-12-23 2002-07-09 Lsi Logic Corporation Vertical interdigitated metal-insulator-metal capacitor for an integrated circuit
US6251740B1 (en) 1998-12-23 2001-06-26 Lsi Logic Corporation Method of forming and electrically connecting a vertical interdigitated metal-insulator-metal capacitor extending between interconnect layers in an integrated circuit
US6057571A (en) * 1998-03-31 2000-05-02 Lsi Logic Corporation High aspect ratio, metal-to-metal, linear capacitor for an integrated circuit
US6441419B1 (en) 1998-03-31 2002-08-27 Lsi Logic Corporation Encapsulated-metal vertical-interdigitated capacitor and damascene method of manufacturing same
US6846391B1 (en) 1998-04-01 2005-01-25 Novellus Systems Process for depositing F-doped silica glass in high aspect ratio structures
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6200911B1 (en) * 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
TW379416B (en) * 1998-05-04 2000-01-11 United Microelectronics Corp Method of manufacturing dual damascence
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6004863A (en) * 1998-05-06 1999-12-21 Taiwan Semiconductor Manufacturing Company Non-polishing sacrificial layer etchback planarizing method for forming a planarized aperture fill layer
US6593241B1 (en) * 1998-05-11 2003-07-15 Applied Materials Inc. Method of planarizing a semiconductor device using a high density plasma system
US6143672A (en) * 1998-05-22 2000-11-07 Advanced Micro Devices, Inc. Method of reducing metal voidings in 0.25 μm AL interconnect
KR100296137B1 (ko) * 1998-06-16 2001-08-07 박종섭 보호막으로서고밀도플라즈마화학기상증착에의한절연막을갖는반도체소자제조방법
US6150285A (en) * 1998-06-17 2000-11-21 Advanced Micro Devices, Inc. Method for simultaneous deposition and sputtering of TEOS
TW410435B (en) 1998-06-30 2000-11-01 United Microelectronics Corp The metal interconnection manufacture by using the chemical mechanical polishing process
US6037018A (en) * 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
US6759306B1 (en) * 1998-07-10 2004-07-06 Micron Technology, Inc. Methods of forming silicon dioxide layers and methods of forming trench isolation regions
KR100319185B1 (ko) * 1998-07-31 2002-01-04 윤종용 반도체 장치의 절연막 형성 방법
US6180525B1 (en) 1998-08-19 2001-01-30 Micron Technology, Inc. Method of minimizing repetitive chemical-mechanical polishing scratch marks and of processing a semiconductor wafer outer surface
US6281132B1 (en) 1998-10-06 2001-08-28 Advanced Micro Devices, Inc. Device and method for etching nitride spacers formed upon an integrated circuit gate conductor
US6365523B1 (en) * 1998-10-22 2002-04-02 Taiwan Semiconductor Maufacturing Company Integrated high density plasma chemical vapor deposition (HDP-CVD) method and chemical mechanical polish (CMP) planarizing method for forming patterned planarized aperture fill layers
US6218251B1 (en) 1998-11-06 2001-04-17 Advanced Micro Devices, Inc. Asymmetrical IGFET devices with spacers formed by HDP techniques
US6080639A (en) * 1998-11-25 2000-06-27 Advanced Micro Devices, Inc. Semiconductor device containing P-HDP interdielectric layer
US6225210B1 (en) * 1998-12-09 2001-05-01 Advanced Micro Devices, Inc. High density capping layers with improved adhesion to copper interconnects
US6153523A (en) * 1998-12-09 2000-11-28 Advanced Micro Devices, Inc. Method of forming high density capping layers for copper interconnects with improved adhesion
US6165905A (en) * 1999-01-20 2000-12-26 Philips Electronics, North America Corp. Methods for making reliable via structures having hydrophobic inner wall surfaces
US6242785B1 (en) 1999-01-26 2001-06-05 Advanced Micro Devices, Inc. Nitride based sidewall spaces for submicron MOSFETs
US6239024B1 (en) * 1999-03-05 2001-05-29 United Microelectronics Corp. Method of filling gap with dielectrics
US6127238A (en) * 1999-03-11 2000-10-03 Chartered Semiconductor Manufacturing Ltd. Plasma enhanced chemical vapor deposited (PECVD) silicon nitride barrier layer for high density plasma chemical vapor deposited (HDP-CVD) dielectric layer
US6194287B1 (en) 1999-04-02 2001-02-27 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) method with reproducible alignment registration
US6251795B1 (en) * 1999-04-08 2001-06-26 Wafertech, L.L.C. Method for depositing high density plasma chemical vapor deposition oxide with improved topography
US6197660B1 (en) 1999-04-29 2001-03-06 Taiwan Semiconductor Manufacturing Company Integration of CMP and wet or dry etching for STI
US6028013A (en) * 1999-05-06 2000-02-22 Vlsi Technology, Inc. Moisture repellant integrated circuit dielectric material combination
US6274514B1 (en) 1999-06-21 2001-08-14 Taiwan Semiconductor Manufacturing Company HDP-CVD method for forming passivation layers with enhanced adhesion
US6255207B1 (en) 1999-06-21 2001-07-03 Taiwan Semiconductor Manufacturing Company Composite planarizing dielectric layer employing high density plasma chemical vapor deposited (HDP-CVD) underlayer
KR100295782B1 (ko) * 1999-07-03 2001-07-12 윤종용 얕은 트렌치 소자분리 방법
US6033981A (en) * 1999-07-22 2000-03-07 Taiwan Semiconductor Manufacturing Company Keyhole-free process for high aspect ratio gap filing
US6448629B2 (en) * 1999-07-29 2002-09-10 International Business Machines Corporation Semiconductor device and method of making same
US6319796B1 (en) 1999-08-18 2001-11-20 Vlsi Technology, Inc. Manufacture of an integrated circuit isolation structure
US6261957B1 (en) 1999-08-20 2001-07-17 Taiwan Semiconductor Manufacturing Company Self-planarized gap-filling by HDPCVD for shallow trench isolation
DE19944304C2 (de) * 1999-09-15 2001-09-20 Infineon Technologies Ag Strukturierung von Kontaktflächen in Mehrlagen-Metallisierungen von Halbleiterbauelementen
US6211040B1 (en) 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6504202B1 (en) 2000-02-02 2003-01-07 Lsi Logic Corporation Interconnect-embedded metal-insulator-metal capacitor
US6346476B1 (en) 1999-09-27 2002-02-12 Taiwan Semiconductor Manufacturing Company Method for enhancing line-to-line capacitance uniformity of plasma enhanced chemical vapor deposited (PECVD) inter-metal dielectric (IMD) layers
US6268274B1 (en) 1999-10-14 2001-07-31 Taiwan Semiconductor Manufacturing Company Low temperature process for forming inter-metal gap-filling insulating layers in silicon wafer integrated circuitry
US6372664B1 (en) 1999-10-15 2002-04-16 Taiwan Semiconductor Manufacturing Company Crack resistant multi-layer dielectric layer and method for formation thereof
US6391795B1 (en) * 1999-10-22 2002-05-21 Lsi Logic Corporation Low k dielectric composite layer for intergrated circuit structure which provides void-free low k dielectric material between metal lines while mitigating via poisoning
US6339027B1 (en) * 1999-11-22 2002-01-15 Chartered Semiconductor Manufacturing Ltd. Process for borderless stop in tin via formation
JP4307664B2 (ja) 1999-12-03 2009-08-05 株式会社ルネサステクノロジ 半導体装置
US6423653B1 (en) 2000-01-11 2002-07-23 Taiwan Semiconductor Manufacturing Company Reduction of plasma damage for HDP-CVD PSG process
US6383925B1 (en) 2000-02-04 2002-05-07 Advanced Micro Devices, Inc. Method of improving adhesion of capping layers to cooper interconnects
US6281114B1 (en) * 2000-02-07 2001-08-28 Infineon Technologies Ag Planarization after metal chemical mechanical polishing in semiconductor wafer fabrication
KR100618802B1 (ko) 2000-03-13 2006-09-08 삼성전자주식회사 세리아 슬러리를 사용하는 반도체 소자의 평탄화 방법
JP3428556B2 (ja) * 2000-03-15 2003-07-22 セイコーエプソン株式会社 マスクデータの生成方法、マスクおよびコンピュータ読み取り可能な記録媒体
JP3539337B2 (ja) 2000-03-17 2004-07-07 セイコーエプソン株式会社 半導体装置およびその製造方法ならびにマスクデータの生成方法、マスクおよびコンピュータ読み取り可能な記録媒体
JP2001284450A (ja) * 2000-04-03 2001-10-12 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6342734B1 (en) 2000-04-27 2002-01-29 Lsi Logic Corporation Interconnect-integrated metal-insulator-metal capacitor and method of fabricating same
US6341056B1 (en) 2000-05-17 2002-01-22 Lsi Logic Corporation Capacitor with multiple-component dielectric and method of fabricating same
US6566186B1 (en) 2000-05-17 2003-05-20 Lsi Logic Corporation Capacitor with stoichiometrically adjusted dielectric and method of fabricating same
US6479385B1 (en) 2000-05-31 2002-11-12 Taiwan Semiconductor Manufacturing Company Interlevel dielectric composite layer for insulation of polysilicon and metal structures
US6555910B1 (en) * 2000-08-29 2003-04-29 Agere Systems Inc. Use of small openings in large topography features to improve dielectric thickness control and a method of manufacture thereof
CN1190007C (zh) * 2000-10-23 2005-02-16 松下电器产业株式会社 弹性表面波滤波器
US6583047B2 (en) * 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
US6632742B2 (en) * 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US20030143849A1 (en) * 2001-01-16 2003-07-31 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6514870B2 (en) 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6630407B2 (en) 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6432822B1 (en) * 2001-05-02 2002-08-13 Advanced Micro Devices, Inc. Method of improving electromigration resistance of capped Cu
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US7160739B2 (en) * 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US6913938B2 (en) * 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US7698012B2 (en) * 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US6429128B1 (en) * 2001-07-12 2002-08-06 Advanced Micro Devices, Inc. Method of forming nitride capped Cu lines with reduced electromigration along the Cu/nitride interface
DE10134099A1 (de) * 2001-07-13 2002-10-17 Infineon Technologies Ag Bedeckung von Leiterbahnen einer integrierten Halbleiterschaltung durch zwei Deckschichten
US6984198B2 (en) 2001-08-14 2006-01-10 Applied Materials, Inc. Experiment management system, method and medium
US7067440B1 (en) 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
DE10141839A1 (de) * 2001-08-27 2002-11-14 Infineon Technologies Ag Verfahren zur Herstellung einer selbstjustierenden Maske für eine Struktur mit einer grossen Fläche
DE10149916B4 (de) * 2001-10-10 2007-01-25 Infineon Technologies Ag Verfahren zum Planarisieren von Prozessflächen in Halbleitereinrichtungen
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US7290407B1 (en) * 2001-12-19 2007-11-06 Jesse Chienhua Shan Triangle-shaped planar optical waveguide having reduced scattering loss
DE10228771B4 (de) * 2002-06-27 2008-02-14 Infineon Technologies Ag Verfahren zur Planarisierung mit definierbarer Planarisierungslänge in integrierten Halbleiterschaltungen und derartige integrierte Halbleiterschaltung
JP4021283B2 (ja) * 2002-08-28 2007-12-12 富士通株式会社 半導体装置
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7001827B2 (en) * 2003-04-15 2006-02-21 International Business Machines Corporation Semiconductor wafer front side protection
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7078312B1 (en) 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
JP2005176152A (ja) * 2003-12-15 2005-06-30 Alps Electric Co Ltd 弾性表面波素子及びその製造方法
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) * 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
JP4679277B2 (ja) * 2005-07-11 2011-04-27 富士通セミコンダクター株式会社 半導体装置の製造方法
TWI338329B (en) * 2005-07-11 2011-03-01 Fujitsu Semiconductor Ltd Manufacture of semiconductor device with cmp
US20070123046A1 (en) * 2005-10-31 2007-05-31 Applied Materials, Inc. Continuous in-line monitoring and qualification of polishing rates
KR100650264B1 (ko) * 2005-12-28 2006-11-27 동부일렉트로닉스 주식회사 반도체소자의 금속절연막 형성방법
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
DE102007063271B4 (de) * 2007-12-31 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines dielektrischen Zwischenschichtmaterials mit unterschiedlichen Abtragsraten während eines CMP-Prozesses
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US8791002B2 (en) 2011-11-21 2014-07-29 Panasonic Corporation Semiconductor device and fabrication method for the same
CN103377911B (zh) * 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20150200111A1 (en) * 2014-01-13 2015-07-16 Globalfoundries Inc. Planarization scheme for finfet gate height uniformity control
US9558930B2 (en) * 2014-08-13 2017-01-31 International Business Machines Corporation Mixed lithography approach for e-beam and optical exposure using HSQ
US11393694B2 (en) * 2018-11-13 2022-07-19 Tokyo Electron Limited Method for planarization of organic films

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2109938B (en) * 1981-11-24 1985-05-01 Ferranti Ltd Temprature measuring circuit using semi-conductor diode
JPH0697660B2 (ja) * 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
US4966865A (en) * 1987-02-05 1990-10-30 Texas Instruments Incorporated Method for planarization of a semiconductor device prior to metallization
US4962064A (en) * 1988-05-12 1990-10-09 Advanced Micro Devices, Inc. Method of planarization of topologies in integrated circuit structures
US4879258A (en) * 1988-08-31 1989-11-07 Texas Instruments Incorporated Integrated circuit planarization by mechanical polishing
GB2222884A (en) * 1988-09-19 1990-03-21 Philips Electronic Associated Temperature sensing circuit
US4962063A (en) * 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5166101A (en) * 1989-09-28 1992-11-24 Applied Materials, Inc. Method for forming a boron phosphorus silicate glass composite layer on a semiconductor wafer
JPH0680657B2 (ja) * 1989-12-27 1994-10-12 株式会社半導体プロセス研究所 半導体装置の製造方法
US5094972A (en) * 1990-06-14 1992-03-10 National Semiconductor Corp. Means of planarizing integrated circuits with fully recessed isolation dielectric
EP0469214A1 (en) * 1990-07-31 1992-02-05 International Business Machines Corporation Method of forming stacked conductive and/or resistive polysilicon lands in multilevel semiconductor chips and structures resulting therefrom
US5089442A (en) * 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
US5290396A (en) * 1991-06-06 1994-03-01 Lsi Logic Corporation Trench planarization techniques
JPH0645327A (ja) * 1991-01-09 1994-02-18 Nec Corp 半導体装置の製造方法
US5187119A (en) * 1991-02-11 1993-02-16 The Boeing Company Multichip module and integrated circuit substrates having planarized patterned surfaces
US5169491A (en) * 1991-07-29 1992-12-08 Micron Technology, Inc. Method of etching SiO2 dielectric layers using chemical mechanical polishing techniques
US5246884A (en) * 1991-10-30 1993-09-21 International Business Machines Corporation Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop
US5270264A (en) * 1991-12-20 1993-12-14 Intel Corporation Process for filling submicron spaces with dielectric
US5314843A (en) * 1992-03-27 1994-05-24 Micron Technology, Inc. Integrated circuit polishing method
US5234868A (en) * 1992-10-29 1993-08-10 International Business Machines Corporation Method for determining planarization endpoint during chemical-mechanical polishing
US5356513A (en) * 1993-04-22 1994-10-18 International Business Machines Corporation Polishstop planarization method and structure

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8110179B2 (en) 2002-09-06 2012-02-07 Cerulean Pharma Inc. Cyclodextrin-based polymers for therapeutics delivery

Also Published As

Publication number Publication date
DE69535438T2 (de) 2007-12-13
DE69535438D1 (de) 2007-05-10
US5621241A (en) 1997-04-15
EP0697722A2 (en) 1996-02-21
EP0697722A3 (en) 1997-07-09
US5494854A (en) 1996-02-27
JPH0869999A (ja) 1996-03-12
EP0697722B1 (en) 2007-03-28

Similar Documents

Publication Publication Date Title
JP4106101B2 (ja) 平面化相互接続層を構成する方法と半導体装置
JP3229278B2 (ja) ダマシン金属回路パターンの平坦化方法
CN101582390B (zh) 集成电路结构的形成方法
US6653717B2 (en) Enhancement in throughput and planarity during CMP using a dielectric stack containing an HDP oxide
US4956313A (en) Via-filling and planarization technique
US7226853B2 (en) Method of forming a dual damascene structure utilizing a three layer hard mask structure
US6632742B2 (en) Method for avoiding defects produced in the CMP process
JP4084513B2 (ja) デュアルダマシン(dualdamascene)の製造方法
JPH06326065A (ja) 半導体デバイスおよび製造方法
JPH10178096A (ja) アルミニウム接点の製造法
US6645863B2 (en) Method of manufacturing semiconductor device and semiconductor device
US5969409A (en) Combined in-situ high density plasma enhanced chemical vapor deposition (HDPCVD) and chemical mechanical polishing (CMP) process to form an intermetal dielectric layer with a stopper layer embedded therein
JP2003077920A (ja) 金属配線の形成方法
KR100412996B1 (ko) 무-슬러리 화학-기계적 폴리싱
KR100350111B1 (ko) 반도체 장치의 배선 및 이의 제조 방법
EP0305691B1 (en) Method of forming a plurality of conductive studs within an insulator layer
US6294471B1 (en) Method of eliminating dishing effect in polishing of dielectric film
JP2991695B2 (ja) 半導体素子の絶縁膜の形成方法
JP3636887B2 (ja) 半導体基板の平坦化方法
US6977216B2 (en) Method for forming metal wire in semiconductor device
US6458708B1 (en) Method for forming metal wiring in semiconductor device
KR100368082B1 (ko) 연마 저지층을 가진 금속 다마신 배선 토포그라피를산화막 충전과 산화막의 선택적 화학 기계적 연마를이용하여 수정하는 방법
KR100542749B1 (ko) 반도체 장치의 박막 형성 방법, 층간 절연막 형성 방법 및평탄화 방법.
JP2917917B2 (ja) 半導体装置の製造方法
KR100637095B1 (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040909

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041019

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050119

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050124

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050419

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060825

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20061127

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20061130

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070420

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070720

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070725

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070820

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070823

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070920

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070926

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071211

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080116

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080227

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080321

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080331

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110404

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130404

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140404

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term